KR20170126827A - Hardmask materials - Google Patents

Hardmask materials Download PDF

Info

Publication number
KR20170126827A
KR20170126827A KR1020170147917A KR20170147917A KR20170126827A KR 20170126827 A KR20170126827 A KR 20170126827A KR 1020170147917 A KR1020170147917 A KR 1020170147917A KR 20170147917 A KR20170147917 A KR 20170147917A KR 20170126827 A KR20170126827 A KR 20170126827A
Authority
KR
South Korea
Prior art keywords
film
hard mask
layer
plasma
germanium
Prior art date
Application number
KR1020170147917A
Other languages
Korean (ko)
Other versions
KR101907802B1 (en
Inventor
비쉬와나탄 랑가라잔
조지 앤드류 안토넬리
아난다 배너지
바트 반 슈라벤디지크
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/631,691 external-priority patent/US8247332B2/en
Priority claimed from US12/631,709 external-priority patent/US8178443B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20170126827A publication Critical patent/KR20170126827A/en
Application granted granted Critical
Publication of KR101907802B1 publication Critical patent/KR101907802B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Abstract

Provided is a hard mask layer having high hardness and low stress. According to some embodiments, a layer has about 600 to 600 MPa of stress, and about 12 GPa of hardness. According to some embodiments, the hard mask layer is produced by depositing a plurality of sub-layers of doped or undoped silicon carbide using post-treatment of a plurality of densification plasmas in a plasma-enhanced chemical vapor deposition (PECVD) process chamber. According to some embodiments, the hard mask layer comprises a boron-containing layer of high hardness selected from Si_xB_yC_z, Si_xB_yN_z, Si_xB_yC_zN_w, B_xC_y, and B_xN_y. According to some embodiments, the hard mask layer comprises a germanium-rich GeN_x material including about 60 atomic percent germanium. Hard masks may be used in various post-processes and pre-processes of manufacturing an integrated circuit.

Description

하드마스크 물질{HARDMASK MATERIALS}Hard mask material {HARDMASK MATERIALS}

본 발명은 반도체 공정에서 사용되기 위한 하드마스크 막에 관한 것이다. 또한 본 발명은 이러한 막을 형성하기 위한 방법 및 장치에 관한 것이다.The present invention relates to a hard mask film for use in a semiconductor process. The present invention also relates to a method and apparatus for forming such a film.

하드마스크 막은 다마신(Damascene) 공정 중 리소그래피 패턴 처리(가령, 트렌치 및/또는 비아 형성) 동안 희생 층으로서 사용되는 것이 일반적이다. 다마신 공정에서, 하드마스크 막은 패터닝이 필요한 유전체 층 위에 증착되는 것이 일반적이다. 포토레지스트의 층이 상기 하드마스크 막 위에 증착되고(이때, 선택적으로, 하드마스크와 포토레지스트 사이에 반사방지 층이 증착되며), 포토레지스트가 원하는 대로 패터닝된다. 아래 위치하는 구조물과의 패턴의 정렬을 위해 레이저가 사용되는 것이 일반적이며, 따라서, 하드마스크는 정렬을 위해 사용되는 파장에 실질적으로 투과성이어야 한다. 포토레지스트가 현상된 후, 패턴 아래에서 노출된 하드마스크 막이 제거되고, 노출된 유전체는, 요구되는 크기의 오목한 특징부가 형성되도록, 에칭된다. 잔여 하드마스크가, 에칭 공정 동안 보존될 필요가 있는 유전체 부분을 보호하는 기능을 수행한다. 따라서 하드마스크 물질은 유전체에 비해 바람직한 에칭 선택비(etch selectivity)를 가져야 한다. 통상, 할로겐계 플라스마 화학물을 이용하는 반응성 이온 에칭(RIE: Reactive Ion Etching)이 유전체 에칭을 위해 채용된다. The hard mask film is typically used as a sacrificial layer during lithographic patterning (e.g., trench and / or via formation) during a damascene process. In a damascene process, a hard mask film is typically deposited over a dielectric layer that needs to be patterned. A layer of photoresist is deposited over the hard mask film (optionally, an anti-reflective layer is deposited between the hard mask and the photoresist), and the photoresist is patterned as desired. It is common for lasers to be used for alignment of the pattern with underlying structures, and therefore the hard mask must be substantially transmissive to the wavelength used for alignment. After the photoresist is developed, the exposed hard mask film under the pattern is removed, and the exposed dielectric is etched to form recessed features of the desired size. The remaining hardmask functions to protect the dielectric portions that need to be preserved during the etching process. Thus, the hard mask material should have a desirable etch selectivity over the dielectric. Generally, reactive ion etching (RIE) using a halogen-based plasma chemical is employed for dielectric etching.

*그 후, 에칭된 오목형 특징부에, 집적 회로의 전도 경로를 형성하는 전도성 물질(가령, 구리)이 충전된다. 통상적으로, 오목형 특징부가 충전된 후, 부분적으로 제조된 반도체 기판으로부터 하드마스크 물질이 완전히 제거된다. The etched recessed feature is then filled with a conductive material (e.g., copper) that forms a conductive path of the integrated circuit. Typically, after the recess feature is filled, the hard mask material is completely removed from the partially fabricated semiconductor substrate.

현재, 물리 기상 증착(PVD: Physical Vapor Deposition)에 의해 증착되는 티타늄 니트라이드가, 이러한 적용예의 하드마스크 물질로서 일반적으로 이용된다. 실리콘 카바이드를 하드마스크 물질로서 사용하는 것이 또한, US 특허 제6,455,409호 및 US 특허 제6,506,692호에서 보고되었다. At present, titanium nitride deposited by physical vapor deposition (PVD) is commonly used as a hard mask material in this application. The use of silicon carbide as a hardmask material has also been reported in US Pat. No. 6,455,409 and US Pat. No. 6,506,692.

개선된 속성을 갖는 하드마스크 막과 상기 하드마스크 제조 방법이 제공된다. 리소그래피 적용예에서, 높은 압축응력 또는 인장 응력을 갖는 물질은 기판 상의 하드마스크 막의 휘어짐(buckling), 또는 박리를 초래하여, 결과적으로 리소그래피에서 형편없는 패턴 정렬을 초래하기 때문에, 저응력의 하드마스크 물질이 요구된다. 저응력에 추가로, 아래 위치하는 물질을 적정하게 보호하기 위해 하드마스크 물질은 고경도 및/또는 고영률을 가져야 하는데, 이는 일반적으로, 경도와 탄성은 높은 에칭 선택비와 상관있기 때문이다. A hard mask film having improved properties and a method of manufacturing the hard mask are provided. In lithographic applications, materials with high compressive stress or tensile stresses result in buckling or exfoliation of the hard mask film on the substrate, resulting in poor pattern alignment in lithography, . In addition to low stress, the hard mask material must have a hardness and / or a high Young's modulus in order to adequately protect the underlying material, since hardness and elasticity generally correlate to high etch selectivity.

물질이 고경도일수록, 고압축응력을 갖는 것이 일반적이기 때문에, 이러한 저응력과 고경도(또는 고탄성계수)의 조합은 얻기 어렵다. 예를 들어, 기존에 사용되는 티타늄 니트라이드는 비교적 단단한 물질이지만, 약 1,000MPa 초과의 압축응력을 갖는다. 이러한 고압축응력의 하드마스크의 사용은, 특히, 연성의 초저-k 유전체(k=2.8 이하)를 가질 때, 그리고 특히 높은 종횡비의 특징부(가령, 2:1 이상의 종횡비를 갖는 특징부)를 형성할 때, 형편없는 정렬과, 형성된 구조물의 바람직하지 않은 위글링(wiggling)을 초래한다. 일반적으로, 실리콘 카바이드는 광범위한 물리적 속성을 가질 수 있으며, 본 발명의 특수 증착 공정을 이용해 제작되지 않는다면, 저응력과 고경도 속성을 동시에 갖지 않을 것이다. It is generally difficult to obtain a combination of the low stress and the high hardness (or the high elastic modulus) because the higher the hardness of the material, the higher the compressive stress. For example, conventionally used titanium nitride is a relatively hard material, but has a compressive stress of greater than about 1,000 MPa. The use of such a hard mask of high compressive stress is particularly advantageous when it has a ductile, ultra-low k dielectric (k = 2.8 or less), and in particular a high aspect ratio feature (e.g. a feature with an aspect ratio of 2: 1 or more) Resulting in poor alignment and undesirable wiggling of the formed structure. In general, silicon carbide can have a wide range of physical properties and will not have low stress and hardness properties at the same time unless made using the special deposition process of the present invention.

본 발명의 일부 양태에서, 저응력과 고경도를 갖는 하드마스크 물질이 제공된다. 일부 실시예에서, 막은 약 12GPa 이상의, 바람직하게는 약 16GPa의, 가령, 약 20GPa의 경도와, 약 -600MPa 내지 600MPa의, 가령 약 -300MPa 내지 300MPa의, 가장 바람직하게는 약0MPa 내지 300MPa의 응력을 갖는다. 상기 막은 금속을 포함하지 않으며, 고경도 저응력 도핑된, 또는 도핑되지 않은 실리콘 카바이드, SixByCz, SixByNz, SixByCzNw, BxNy 및 BxCy 중에서 선택된 물질을 포함하는 것이 일반적이다. 이들 물질은 PECVD(plasma enhanced chemical vapor deposition) 및 그 밖의 다른 CVD-기반 공정에 의해 형성될 수 있다. 제공된 하드마스크는, 반도체 공정의 전공정(front-end processing)과 후공정(back-end processing)에서 다양한 리소그래피 방식에서 사용될 수 있다. 저응력 고경도 속성을 제공하는 증착 조건이 기재된다. 이들 속성과 연계되는 구조적 막 특성이 또한 제공된다. In some aspects of the present invention, a hardmask material having low stress and hardness is provided. In some embodiments, the membrane has a hardness of at least about 12 GPa, preferably at least about 16 GPa, such as at least about 20 GPa, a stress of from about -600 MPa to about 600 MPa, such as from about 300 MPa to about 300 MPa, and most preferably, from about 0 MPa to about 300 MPa Respectively. The film does not contain a metal and typically comprises a material selected from hardness low stress doped or undoped silicon carbide, SixByCz, SixByNz, SixByCzNw, BxNy and BxCy. These materials can be formed by plasma enhanced chemical vapor deposition (PECVD) and other CVD-based processes. The provided hard mask can be used in various lithography methods in front-end processing and back-end processing of a semiconductor process. Deposition conditions that provide low stress hardness properties are described. Structural film properties associated with these attributes are also provided.

하나의 형태에서, 반도체 기판 상에 고경도 저응력 하드마스크 막을 형성하는 방법은, PECVD 공정 챔버에 반도체 기판을 수용하는 단계와, 복수의 치밀화 플라스마 처리를 이용하여 도핑된 또는 도핑되지 않은 다중-층 실리콘 카바이드 막을 증착하는 단계를 포함한다. 상기 처리는 실리콘 카바이드의 각각의 서브-층이 증착된 후 수행되는 것이 바람직하다. 일부 실시예에서, 상기 공정은 실리콘-함유 전구체(가령, 테트라메틸실란)를 포함하는 공정 기체를 공정 챔버로 도입하는 단계와, 플라스마를 형성하여 실리콘 카바이드 하드마스크 막의 제 1 서브-층을 증착하는 단계를 포함한다. 그 후, 퍼징 기체를 이용해 챔버를 퍼징함으로써, 상기 실리콘-함유 전구체가 공정 챔버로부터 제거된다. 그 후, 플라스마 처리 기체가 챔버로 도입되며, 플라스마가 형성되며, 실리콘 카바이드 서브-층이 플라스마-처리되어, 물질을 치밀화할 수 있다. 플라스마 처리 기체는 퍼징 기체와 동일하거나, 이들 기체는 서로 다를 수 있다. 퍼징 및/또는 플라스마 처리를 위한 적합한 기체는 비활성 기체(가령, He, Ar), CO2, N2, NH3 및 H2를 포함한다. 일부 실시예에서, He, Ar, H2, 또는 이들의 다양한 혼합물이 퍼징과 플라스마 처리 모두를 위해 선호된다. 실리콘 카바이드의 제 1 서브-층이 플라스마-처리된 후, 증착, 퍼징 및 플라스마 처리 동작이 반복되어, 실리콘 카바이드의 추가적인 서브-층을 형성하고 치밀화할 수 있다. 통상적으로, 각각의 서브-층은 바람직한 치밀화를 위해 약 100옹스트롬 미만의, 가령, 약 50옹스트롬 미만의 두께를 갖는다. 일부 실시예에서, 상기 방법은 일부 실시예에서, 약 1,000옹스트롬 내지 약 6,000옹스트롬의 두께를 갖는 하드마스크 막을 형성하기 위해 10개 이상의 서브-층, 가령, 20개 이상의 서브-층을 증착하고 치밀화하는 단계를 포함하며, In one aspect, a method of forming a hard hardness low stress hardmask film on a semiconductor substrate includes the steps of: receiving a semiconductor substrate in a PECVD process chamber; depositing a doped or undoped multi-layer using a plurality of densified plasma treatments; And depositing a silicon carbide film. The treatment is preferably carried out after each sub-layer of silicon carbide is deposited. In some embodiments, the process comprises introducing a process gas comprising a silicon-containing precursor (e.g., tetramethylsilane) into a process chamber, and forming a plasma to deposit a first sub-layer of the silicon carbide hardmask film . The silicon-containing precursor is then removed from the process chamber by purging the chamber with a purging gas. Thereafter, a plasma-treated gas is introduced into the chamber, a plasma is formed, and the silicon carbide sub-layer is plasma-treated to densify the material. The plasma-treated gas may be the same as the purge gas, or these gases may be different from each other. Suitable gases for purge and / or plasma treatment include inert gases (e.g., He, Ar), CO 2 , N 2 , NH 3 and H 2 . In some embodiments, He, Ar, H 2 , or various mixtures thereof are preferred for both purging and plasma treatment. After the first sub-layer of silicon carbide has been plasma-treated, the deposition, purging and plasma processing operations can be repeated to form and densify additional sub-layers of silicon carbide. Typically, each sub-layer has a thickness of less than about 100 angstroms, for example less than about 50 angstroms, for the preferred densification. In some embodiments, the method includes, in some embodiments, depositing and densifying 10 or more sub-layers, e.g., 20 or more sub-layers, to form a hard mask film having a thickness of about 1,000 angstroms to about 6,000 angstroms ≪ / RTI >

복수 번의 플라스마 처리가, 단일 층 실리콘 카바이드 막과 비교해서, 막의 경도를 개선한다. 일부 실시예에서, 형성된 고경도 저응력 막은, Si-C 결합의 고 함유량을 갖는 도핑되지 않은 실리콘 카바이드 막을 포함한다. 일부 실시예에서, IR 스펙트럼에서의 Si-H에 대한 Si-C 피크 면적 비가 약 20 이상이다. 일부 실시예에서, IR 스펙트럼에서의 C-H에 대한 Si-C 피크의 면적 비는 약 50 이상이다. 또한, 본 발명에 의해 제공되는 실리콘 카바이드 막은 약 2g/㎤ 이상의 밀도를 갖는 것이 통상적이다. 일부 실시예에서, 고주파 무선 주파수(HF RF) 및 저주파 무선 주파수(LF RF) 플라스마 발생을 이용하는 플라스마 후-처리를 수행하는 것이 바람직하며, 여기서 LF/HF 전력 비는 약 1.5 이상, 가령 약 2 이상이다.A plurality of plasma treatments improve the hardness of the film compared to a single-layer silicon carbide film. In some embodiments, the formed low hardness low stress film comprises an undoped silicon carbide film having a high content of Si-C bonds. In some embodiments, the Si-C peak area ratio to Si-H in the IR spectrum is greater than about 20. In some embodiments, the area ratio of Si-C peak to C-H in the IR spectrum is greater than or equal to about 50. In addition, the silicon carbide film provided by the present invention typically has a density of at least about 2 g / cm3. In some embodiments, it is desirable to perform a plasma post-treatment using high frequency radio frequency (HF RF) and low frequency radio frequency (LF RF) plasma generation wherein the LF / HF power ratio is at least about 1.5, to be.

본 발명의 또 하나의 양태에서, 고경도 저응력 막을 형성하는 방법은, SixByCz, SixByNz, SixByCzNw, BxNy 및 BxCy 중에서 선택된 붕소-함유 막을 증착하는 단계를 포함한다. 이들 막은 적정 실리콘, 탄소 및 붕소-함유 전구체를 이용하는 PECVD에 의해 증착될 수 있다. 예를 들어, 하나의 실시예에서, SixByCz의 증착을 위해, 붕소-함유 전구체(가령, B2H6)와 탄소 및 실리콘을 포함하는 전구체(가령, 테트라메틸실란)이 PECVD 공정 챔버로 제공되어, 플라스마에서 SixByCz 막이 형성될 수 있다. 고경도 및 저응력의 막을 제작하기 위해, 약 1.5 이상의, 가령 약 2 이상의 LF/HF 전력 비를 갖는 2중 주파수 플라스마가 선호된다. 일부 실시예에서, 상기 막은 붕소-풍부(boron-rich) 막이며, IR 스펙트럼에서의 대응하는 피크의 면적에 의해 판단된 바에 의하면, BC/[BC+SiC]는 약 0.35 이상이다. 일부 실시예에서, B2H6을 테트라메틸실란의 유량보다 약 2배 이상의 유량으로 흐르게 함으로써, 고경도 붕소-풍부 SixByCz 막이 제조된다. 바람직하게도, 화학 기계적 연마(CMP: chemical mechanical polishing)에 의해 패터닝이 완료된 후, 붕소-함유 막이 쉽게 제거될 수 있는데, 이는 붕소-함유 막은 친수성을 갖고, CMP 화학반응에 의해 용해되기 쉽기 때문이다. In another aspect of the invention, the high hardness method of forming a film that stress, Si x B y C z, Si x B y N z, Si x B y C z N w, B x N y and B x C y . < / RTI > These films can be deposited by PECVD using amorphous silicon, carbon, and boron-containing precursors. For example, in one embodiment, for the deposition of Si x B y C z , a boron-containing precursor (eg, B 2 H 6 ) and a precursor comprising carbon and silicon (eg, tetramethylsilane) Process chamber, so that a Si x B y C z film can be formed in the plasma. For fabrication of hard and low stress films, a dual frequency plasma with an LF / HF power ratio of about 1.5 or more, such as about 2 or more, is preferred. In some embodiments, the film is a boron-rich film and BC / [BC + SiC] is at least about 0.35, as judged by the area of the corresponding peak in the IR spectrum. In some embodiments, a high hardness boron-rich Si x B y C z film is prepared by flowing B 2 H 6 at a flow rate of at least about two times the flow rate of tetramethylsilane. Preferably, after the patterning is completed by chemical mechanical polishing (CMP), the boron-containing film can be easily removed because the boron-containing film is hydrophilic and is liable to be dissolved by the CMP chemical reaction.

본 발명의 또 하나의 양태에서, GeNx 하드마스크 막을 형성하는 방법이 제공된다. 상기 방법은, 일부 실시예에서, PECVD 공정 챔버에 반도체 기판을 수용하는 단계와, GeNx 하드마스크 막을 형성하는 단계를 포함한다. 상기 막은 게르마늄-함유 전구체와 질소-함유 전구체를 PECVD 공정 챔버로 흐르게 하고, 플라스마를 형성함으로써 형성될 수 있다. 일부 실시예에서, 형성된 GeNx 막은 약 100GPa 이상의 탄성계수를 가지며, 게르마늄-풍부(germanium-rich) 막이다. 일부 실시예에서, 상기 게르마늄-풍부 막은 (수소를 제외하고) 약 60 원자%, 바람직하게는 70 원자%의 게르마늄을 포함한다. 상기 막의 밀도는 4g/㎤를 초과할 수 있다. 바람직하게도, GeNx는 리소그래피 패터닝에서 사용되는 정렬 파장(가령, 스펙트럼의 가시 부분 및 근적외선 부분)에 의해 실질적으로 투과된다. 일부 실시예에서, 게르만, 암모니아 및 질소를 포함하는 공정 기체에서 플라스마를 형성함으로써, GeNx 막이 증착되며, 여기서, 게르만/암모니아의 유량 비는 약 0.05 이상이다. 일부 실시예에서, 2중 주파수 플라스마 공급원이 GeNx 막을 증착하기 위해 사용되는 것이 바람직하다. 일부 실시예에서, 증착 동안 사용되는 LF/HF 전력 비는 약 1 이상이다. 앞서 언급된 그 밖의 다른 막들과 마찬가지로, 상기 GeNx 막도, 반도체 공정의 전공정과 후공정에서 여러 공정 방식에서 사용될 수 있다. In another aspect of the present invention, a method of forming a GeNx hard mask film is provided. The method includes, in some embodiments, receiving a semiconductor substrate in a PECVD process chamber and forming a GeNx hard mask film. The film may be formed by flowing a germanium-containing precursor and a nitrogen-containing precursor into a PECVD process chamber and forming a plasma. In some embodiments, the GeNx film formed has a modulus of elasticity greater than about 100 GPa and is a germanium-rich film. In some embodiments, the germanium-rich film comprises about 60 atomic% (excluding hydrogen), preferably 70 atomic% germanium. The density of the film may exceed 4 g / cm3. Preferably, GeN x is substantially transmitted by the alignment wavelength (e.g., the visible and near infrared portions of the spectrum) used in lithography patterning. In some embodiments, a GeN x film is deposited by forming a plasma in a process gas comprising germane, ammonia, and nitrogen, wherein the germane / ammonia flow rate ratio is greater than or equal to about 0.05. In some embodiments, a dual frequency plasma source is preferably used to deposit the GeN x film. In some embodiments, the LF / HF power ratio used during deposition is greater than or equal to about one. Like the other films mentioned above, the GeN x film can also be used in various processing methods in the pre-process and post-process of the semiconductor process.

일부 실시예에서, 하드마스크 막(가령, 앞서 언급된 막들 중 임의의 막)이 유전체(가령, 약 3 미만의, 가령, 약 2.8 미만의 유전 상수를 갖는 유전체) 층 상에 증착된다. 포토레지스트 층이 하드마스크 위에 증착되는 것이 일반적이다(그러나 포토레지스트 층이 하드마스크와 직접 접촉할 필요는 없으며, 반사방지 층이 그 사이에 증착될 수 있다). 그 후, 리소그래피 패터닝이 수행되며, 이때 유전체 층에 오목한 특징부(비아 및/또는 트렌치)가 형성된다. 패터닝이 완료되고, 특징부가 금속으로 충전된 후, (가령, CMP에 의해) 하드마스크는 제거된다. 일부 실시예에서, 유전체에 대한 하드마스크 막의 에칭 선택비는 약 8:1 이상이며, 에칭은 비아 및/또는 트렌치를 에칭하기 위해 사용되는 화학반응을 지칭하며, 일반적으로 RIE 공정이다.In some embodiments, a hard mask film (e.g., any of the aforementioned films) is deposited on a dielectric (e.g., a dielectric with a dielectric constant of less than about 3, e.g., less than about 2.8). It is common that a photoresist layer is deposited over the hardmask (but the photoresist layer need not be in direct contact with the hardmask, and the antireflective layer may be deposited therebetween). Lithographic patterning is then performed, wherein concave features (vias and / or trenches) are formed in the dielectric layer. After the patterning is completed and the feature is filled with metal, the hard mask is removed (e.g., by CMP). In some embodiments, the etch selectivity ratio of the hard mask film to the dielectric is greater than about 8: 1, and the etch refers to the chemical reaction used to etch vias and / or trenches and is typically an RIE process.

또 다른 실시예에서, 전공정에서 하드마스크 막(가령, 앞서 언급된 막들 중 임의의 막)이 폴리실리콘 층 상에 증착되고, 다양한 공정 단계 동안 폴리실리콘을 보호하는 기능을 수행한다. 일부 실시예에서, 하드마스크 물질은 제거되지 않으며, 제조된 디바이스에 남아 있을 것이다. In another embodiment, a hard mask film (e. G. Any film of the aforementioned films) is deposited on the polysilicon layer in the previous process and performs the function of protecting the polysilicon during various process steps. In some embodiments, the hard mask material is not removed and will remain in the fabricated device.

도 1A-1K는 본 발명에서 제공되는 하드마스크를 이용하여, 반도체 소자 제작 공정의 후공정 리소그래피 공정 동안 생성된 디바이스 구조물의 단면도이다.
도 2A-2E는 본 발명에서 제공되는 하드마스크를 이용하여, 반도체 소자 제작 공정의 전공정 리소그래피 공정 동안 생성된 디바이스 구조물의 단면도이다.
도 3은 본 발명에서 제공되는 하드마스크를 이용하는 용도에 적합한 후공정 리소그래피 공정의 공정 순서도이다.
도 4는 본 발명에서 제공되는 하드마스크를 이용하는 용도에 적합한 전공정 리소그래피 공정의 공정 순서도이다.
도 5A는 본 발명에서 제시되는 하나의 실시예에 따르는 실리콘 카바이드 하드마스크를 증착하는 방법의 공정 순서도이다.
도 5B는 단일-층 실리콘 카바이드 막과 비교되는, 복수의 치밀화 플라스마 후-처리를 이용하여 얻어진 다중-층 실리콘 카바이드 막의 IR 스펙트럼을 제공한다. 더 우세한 Si-C 피크가 도시된다.
도 5C는 단일-층 막과 비교되는, 다중-층 실리콘 카바이드 막의 응력과 경도 특성에 대한 실험 도표이다.
도 5D는 단일-층 막과 비교되는, 다중-층 실리콘 카바이드 막의 응력 및 영률 특성에 대한 실험 도표이다.
도 6A는 본 발명에서 제공되는 하나의 실시예에 따라, 붕소-함유 하드마스크를 이용하는 예시적 공정 방법의 공정 순서도이다.
도 6B는 하드마스크 용도에 적합한 붕소-함유 막의 응력 및 경도 특성에 대한 실험 도표이다.
도 6C는 하드마스크 용도에 적합한 붕소-함유 막의 응력 및 영률 특성에 대한 실험 도표이다.
도 6D는 PECVD 동안 사용되는 B2H6/테트라메틸실란 유량 비에 대한, SixByCz 막의 경도의 종속성을 도시하는 실험 도표이다.
도 6E는 BC/[BC+SiC] IR 피크 면적 비에 대한, SixByCz의 영률 및 응력 매개변수의 종속성을 도시하는 실험 도표이다.
도 6F는 BN/[BN+SiN] IR 피크 면적 비에 대한, SixByNz 막의 영률 및 응력 매개변수의 종속성을 도시하는 실험 도표이다.
도 6G는 접촉각 소수성 테스트에서, 도핑되지 않은 실리콘 카바이드 막에 비교되는, SixByCz 막의 성능을 도시하는 실험 도표이다. SixByCz 막의 비교적 높은 친수 속성이 도시된다.
도 7은 본원에서 제시되는 하나의 실시예에 따르는, GeNx 하드마스크를 이용하는 예시적 공정 방법의 공정 순서도이다.
도 8은 본 발명의 일부 실시예에 따라, 하드마스크 막을 증착하기 위해 사용될 수 있는 저주파(LF) 및 고주파(HF) 무선 주파수 플라스마 공급원을 이용할 수 있는 PECVD 장치의 개략적 도시이다.
도 9는 본 발명의 일부 실시예에 따라, 하드마스크 막을 형성하기에 적합한 다중-스테이션 PECVD 장치의 개략적 도시이다.
1A-1K are cross-sectional views of a device structure created during a post-processing lithographic process of a semiconductor device fabrication process using the hard mask provided in the present invention.
2A-2E are cross-sectional views of a device structure created during a pre-process lithography process of a semiconductor device fabrication process using the hard mask provided in the present invention.
Figure 3 is a process flow diagram of a post-processing lithography process suitable for use with the hard mask provided in the present invention.
4 is a process flow diagram of a full-scale lithography process suitable for use with the hard mask provided in the present invention.
5A is a process flow diagram of a method for depositing a silicon carbide hard mask in accordance with one embodiment presented in the present invention.
Figure 5B provides an IR spectrum of a multi-layer silicon carbide film obtained using a plurality of densified plasma post-treatments, as compared to a single-layer silicon carbide film. A more dominant Si-C peak is shown.
Figure 5C is an experimental plot of the stress and hardness characteristics of a multi-layer silicon carbide film compared to a single-layer film.
5D is an experimental plot of the stress and Young's modulus characteristics of a multi-layer silicon carbide film compared to a single-layer film.
6A is a process flow diagram of an exemplary process method using a boron-containing hard mask, according to one embodiment provided in the present invention.
6B is an experimental plot of the stress and hardness characteristics of a boron-containing film suitable for hard mask applications.
Figure 6C is an experimental plot of the stress and Young's modulus characteristics of a boron-containing film suitable for hardmask applications.
6D is an experimental plot showing the dependency of the hardness of the Si x B y C z film versus the B 2 H 6 / tetramethylsilane flow rate ratio used during PECVD.
6E is an experimental plot showing the dependency of the Young's modulus and stress parameters of Si x B y C z versus the BC / [BC + SiC] IR peak area ratio.
Figure 6F is an experimental plot showing the dependence of the Young's modulus and stress parameter of the Si x B y N z film versus the BN / [BN + SiN] IR peak area ratio.
FIG. 6G is an experimental plot showing the performance of a Si x B y C z film compared to an undoped silicon carbide film in a contact angle hydrophobicity test. A relatively high hydrophilic property of the Si x B y C z film is shown.
Figure 7 is a process flow diagram of an exemplary process method using a GeN x hard mask, in accordance with one embodiment presented herein.
8 is a schematic illustration of a PECVD apparatus that may utilize a low frequency (LF) and high frequency (HF) radio frequency plasma source that may be used to deposit a hard mask film, in accordance with some embodiments of the present invention.
Figure 9 is a schematic illustration of a multi-station PECVD apparatus suitable for forming a hard mask film, in accordance with some embodiments of the present invention.

도입 및 개요Introduction and overview

반도체 공정의 후공정(back-end) 및 전공정(front-end) 적용예에서의 하드마스크 막이 제공된다. 상기 막은 SiCx(도핑되거나, 도핑되지 않거나), SixByCz, SixByCzNw, BxNy, BxCy 및 GeNx 중에서 선택된 물질을 포함한다. A hard mask film in a back-end and front-end application of a semiconductor process is provided. The film comprises a material selected from SiC x (doped or undoped), Si x B y C z , Si x B y C z N w , B x N y , B x C y and GeN x .

상기 물질은 실질적으로 해당하는 화학식에서 언급되는 원소로 이뤄지며, 선택적으로, 명시적으로 언급되어 있지는 않은 수소를 포함한다. 아래 첨자 x, y, z 및 w는 물질이 반드시 화학양론적인 것은 아님을 나타낸다. 상기 물질은, 도펀트의 존재가 명시적으로 언급된 경우에만, 도펀트를 포함한다. 예를 들어, 본원에서 기재된, 도핑되지 않은 SiCx(실리콘 카바이드)는, 실질적으로 실리콘과 탄소로 이루어지고(반드시 화학양론적 비에 따라 이뤄진 것은 아님), 선택적으로 수소를 포함하는 물질이다. 도핑된 SiC는 도펀트 원소(가령, 붕소, 산소, 인 또는 질소)를 더 포함한다. The material consists essentially of the elements mentioned in the corresponding formulas and, optionally, includes hydrogen which is not explicitly mentioned. The subscripts x, y, z, and w indicate that the material is not necessarily stoichiometric. The material includes a dopant only if the presence of the dopant is explicitly mentioned. For example, the undoped SiC x (silicon carbide) described herein is a material consisting essentially of silicon and carbon (not necessarily according to a stoichiometric ratio), optionally including hydrogen. The doped SiC further includes a dopant element (e.g., boron, oxygen, phosphorus, or nitrogen).

일부 실시예에서, 본원에서 제공되는 물질은, 고경도, 고영률 및 저응력이라는 바람직한 속성 중 한 가지 이상을 갖는다. 바람직한 실시예에서, 물질은 동시에 고경도와 저응력의 조합을 가지며, 이로 인해서, 물질은, 진보된 기술노드(technology node)(예를 들어, 45㎚ 및 그 이하(가령, 22㎚)의 기술노드)의 하드마스크 적용예에 적합하고, 특히, 기계적으로 취약한 초저-k(ULK: ultra low-k) 유전체의 패터닝에 적합하며, 2:1 이상(가령, 4:1 이상)의 종횡비(aspect ratio)를 갖는 오목부를 형성하기에 적합해 진다.In some embodiments, the materials provided herein have at least one of the desirable attributes of high hardness, high Young's modulus and low stress. In a preferred embodiment, the material has a combination of high hardness and high hardness at the same time, whereby the material is transferred to an advanced technology node (e.g., 45 nm and below (e.g., 22 nm) ), And is particularly suitable for patterning mechanically weak ultra-low k dielectric (ULK) dielectrics and has an aspect ratio of at least 2: 1 (e.g., at least 4: 1) ) Is formed.

일부 실시예에서, 하드마스크 물질은 약 12GPa 이상(가령, 약 16GPa 이상, 또는 약 18GPa 이상, 또는 약 20GPa 이상)의 경도를 갖는다. 경도는 재료 공학 분야에서 잘 정의된 속성이며, 예를 들어, 임의의 적합한 장치(가령, 나노-압입 장치(nano-indentation device))를 이용하여 신뢰할만하게 측정될 수 있다. 일부 실시예에서, 고경도에 추가로, 하드마스크 물질은 약 -600 내지 600MPa의, 바람직하게는 0 내지 600MPa의, 특히 바람직하게는, 0 내지 300MPa의 낮은 응력을 갖는다.In some embodiments, the hardmask material has a hardness of at least about 12 GPa (e.g., at least about 16 GPa, or at least about 18 GPa, or at least about 20 GPa). Hardness is a well-defined property in the field of materials engineering and can be reliably measured, for example, using any suitable device (e.g., a nano-indentation device). In some embodiments, in addition to hardness, the hard mask material has a low stress of about -600 to 600 MPa, preferably 0 to 600 MPa, particularly preferably 0 to 300 MPa.

압축응력과 인장응력은, 인장응력에 대응하는 양의 값과 압축응력에 대응하는 음의 값을 갖는 하나의 척도로 측정된다. 이 척도에 따르면, 압축응력이 높을수록, 낮은 음의 값을 가지며, 인장응력이 높을수록 높은 양의 값을 갖는다. 이 척도에 따르면, 어떠한 잔류 응력도 갖지 않는 막은 0에 대응한다. 응력은, 가령, KLA-Tencor Corporation의“Flexus" 툴을 이용하여 측정될 수 있는 잘 정의된 매개변수이다. Compressive and tensile stresses are measured on a single scale with positive values corresponding to tensile stresses and negative values corresponding to compressive stresses. According to this scale, the higher the compressive stress, the lower the negative value, and the higher the tensile stress, the higher the positive value. According to this scale, the film having no residual stress corresponds to zero. Stress is a well-defined parameter that can be measured, for example, using the "Flexus" tool from KLA-Tencor Corporation.

고압축응력을 갖는 물질은 기판의 휘어짐(buckling)을 초래하는 경향이 있으며, 고인장응력을 갖는 물질은 (특히, 물질들 간의 접착이 형편없을 때) 박리(delamination)를 초래하는 경향이 있다. 두 유형의 응력은 모두, 하드마스크 물질에서 바람직하지 않다. 그러나 가령, 본원에서 기재된 붕소 함유 물질의 일부에 존재하는 낮고 적정한 인장응력(가령, 200 내지 600MPa)은, 동일한 크기의 압축응력보다는 더 허용될 수 있다. Materials with high compressive stress tend to cause buckling of the substrate, and materials with high tensile stress tend to cause delamination (especially when adhesion between materials is poor). Both types of stresses are undesirable in hardmask materials. However, for example, low and appropriate tensile stresses (e.g., 200 to 600 MPa) present in some of the boron-containing materials described herein may be more acceptable than compressive stresses of the same magnitude.

일부 실시예에서, 본원에서 기재된 하드마스크 막은, 약 100MPa 이상의, 예를 들어 약 125MPa 이상의, 가령, 150MPa 이상의 영률(Young's modulus)을 갖는다. 영률은 나노 압입 장치를 이용하는 표준 기법에 의해 측정될 수 있다. In some embodiments, the hard mask film described herein has a Young's modulus of at least about 100 MPa, such as at least about 125 MPa, such as at least 150 MPa. The Young's modulus can be measured by standard techniques using nanoindentation devices.

본원에서 기재된 하드마스크 물질은, 유전체 확산 장벽 층 및 에칭 저지 층으로서 사용되는 물질과 크게 구별된다. 유전체 확산 장벽 및 에칭-저지 물질은 약 10GPa 미만의 경도를 갖고 약 5 미만의 유전 상수를 갖는 연성 물질인 것이 일반적이다. 확산 장벽 층은 최종 집적 회로 구조물에서 보존되며, 이 경우 낮은 유전 상수가 필수이다. 이와 달리, 본원에서 제공되는 하드마스크 물질은 낮은 유전 상수를 가질 필요는 없으며, 일반적으로, 약 4 초과의, 가령, 약 5 초과의, 또는 약 6 초과의 유전 상수를 갖는다. 이는 많은 실시예에서, 하드마스크가, 패턴 공정 후, 구조물로부터 완전히 제거되는 희생 층이기 때문이며, 따라서 형성된 집적 회로의 전기적 속성에 기여하지 않기 때문이다. 이 실시예에서, 하드마스크가 최종 구조물에서 제거되지 않는 경우, 하드마스크는, 낮은 유전 상수가 필수가 아닌 위치, 또는 디바이스가 비교적 높은 유전 상수를 갖는 물질을 허용할 수 있는 곳에서 존재한다. 덧붙여, PECVD에 의해 증착되는 하드마스크 물질은, 더 연성의 저-k 확산 장벽 물질보다, 플라스마 발생 시 상당히 더 높은 전력을 이용해 증착되는 것이 일반적이다. 구조적으로, 하드마스크 물질은 더 긴밀하게 패킹되며, 더 연성의 저-k 확산 방지 물질보다 더 치밀질(dense)이다.The hard mask material described herein is very different from the material used as the dielectric diffusion barrier layer and the etch stop layer. The dielectric diffusion barrier and the etch-stop material are typically soft materials having a hardness of less than about 10 GPa and a dielectric constant of less than about 5. The diffusion barrier layer is preserved in the final integrated circuit structure, in which case a low dielectric constant is essential. Alternatively, the hard mask material provided herein does not need to have a low dielectric constant, and generally has a dielectric constant of greater than about 4, such as greater than about 5, or greater than about 6. This is because in many embodiments the hard mask is a sacrificial layer that is completely removed from the structure after the patterning process and thus does not contribute to the electrical properties of the formed integrated circuit. In this embodiment, where the hard mask is not removed from the final structure, the hard mask is present where a low dielectric constant is not required, or where the device can tolerate a material having a relatively high dielectric constant. In addition, the hard mask material deposited by PECVD is typically deposited using significantly higher power in plasma generation than a softer low-k diffusion barrier material. Structurally, the hard mask material is packed more tightly and is more dense than the more ductile low-k diffusion barrier material.

많은 실시예에서, 본원에서 제공되는 하드마스크 물질은 패턴 정렬을 위해 사용되는 레이저 파장(가령, 스펙트럼의 가시 부분 및 근적외선 부분의 파장, 가령, 633㎚의 파장)에 의해 실질적으로 투과된다. In many embodiments, the hard mask material provided herein is substantially transmitted by the laser wavelength (e.g., the wavelength of the visible portion of the spectrum and the wavelength of the near infrared portion, e.g., 633 nm) used for pattern alignment.

증착되는 하드마스크 막의 두께는 많은 매개변수(가령, 특정 하드마스크 물질 vs. 하드마스크 아래 위치하는 에칭될 필요가 있는 물질의 에칭 선택비, 사용되는 에칭 화학물질)에 따라 달라진다. 일반적으로, 더 높은 경도를 갖고 더 높은 에칭 선택비를 갖는 하드마스크 물질일수록, 증착될 때, 더 낮은 경도를 갖고 더 낮은 에칭 선택비를 갖는 물질보다, 더 얇은 막을 형성할 수 있다. 덧붙이자면, 높은 선택비를 갖는 단단한 물질은, 더 얇은 막의 비교적 더 높은 투과성으로 인해 더 바람직한 광학적 정렬을 가능하게 하기 때문에, 바람직하다. 일부 실시예에서, 막은 약 100 내지 10,000Å, 가령, 약 500 내지 6000Å의 두께까지 증착된다. The thickness of the hard mask film to be deposited depends on many parameters (e.g., the specific hard mask material vs. etch selectivity of the material that needs to be etched under the hard mask, etch chemistry used). In general, a hard mask material having a higher hardness and a higher etch selectivity ratio, when deposited, can form a thinner film than a material having a lower hardness and a lower etch selectivity. In addition, a rigid material with a high selectivity ratio is desirable because it allows for more desirable optical alignment due to the relatively higher permeability of the thinner film. In some embodiments, the film is deposited to a thickness of from about 100 to about 10,000 A, for example, from about 500 to about 6000A.

본 발명의 막은, 비아 및/또는 트렌치 에칭을 위해 사용되는 화학반응에서, 유전체(가령, 3.0 이하의, 가령, 2.8 이하, 또는 2.4 이하의 유전 상수를 갖는 유전체)에 비교할 때 높은 에칭 선택비를 갖는다. 예시적 에칭 화학반응으로는, CxFy(가령, CF4), 비활성 기체(가령, Ar) 및 산화제(가령, O2)를 포함하는 공정 기체에서 형성되는 플라스마를 이용하는 RIE가 있다. 그 밖의 다른 건식 에칭, 가령, Cl2 및 N2를 포함하는 공정 기체를 이용하는 플라스마 에칭이 이용될 수 있다. 일부 실시예에서, 가령, 앞서 언급된 CxFy를 포함하는 플라스마 에칭 화학반응에서, 약 5:1 이상의 에칭 선택비, 가령, 약 8:1 이상의 에칭 선택비(즉, 하드마스크 물질이 유전체보다 8배 이상 느리게 에칭됨)가 얻어질 수 있다. 일부 실시예에서, 가령, 습식 플루오라이드 에칭 화학반응을 이용하는 실리콘 옥사이드계 물질의 선택적 습식 에칭에서, 본 발명의 막은 습식 에칭 동작 동안 하드마스크로서 기능할 수 있다. The films of the present invention have a high etch selectivity compared to a dielectric (e.g., a dielectric with a dielectric constant of 3.0 or less, e.g., 2.8 or less, or 2.4 or less) in a chemical reaction used for via and / or trench etch . Exemplary etch chemistries include RIE using plasma formed in a process gas comprising C x F y (eg, CF 4 ), an inert gas (eg, Ar), and an oxidant (eg, O 2 ). Other dry etching, such as plasma etching using a process gas comprising Cl 2 and N 2 , may be used. In some embodiments, for example, in a plasma etch chemistry involving the aforementioned C x F y , an etch selectivity of at least about 5: 1, such as an etch selectivity of at least about 8: 1, Etched more than 8 times slower). In some embodiments, for example, in selective wet etching of a silicon oxide-based material using wet fluoride etch chemistry, the inventive film may function as a hard mask during a wet etch operation.

본원에서 제공되는 노출된 하드마스크 물질이 있는 데서, 에칭될 수 있는 유전체로는, 실리콘 다이옥사이드, 탄소-도핑된 실리콘 옥사이드(SiCOH), TEOS(tetraethyl orthosilicate)-증착된 옥사이드, 다양한 실리케이트 유리, HSQ(hydrogen silsesquioxane), MSQ(methylsilsesquioxane)뿐 아니라 다공질 및/또는 유기 유전체가 있으며, 이들은 폴리이미드, 폴리노르보르넨, 벤조사이클로부텐 등을 포함한다. 본원에서 제공되는 하드마스크는, 2.8 이하의, 가령 2.4 이하의 유전 상수를 갖는 기계적으로 취약한 유기 및/또는 다공질 유전체의 패터닝을 위해 가장 바람직하게 사용된다. The dielectric that can be etched in the presence of the exposed hard mask material provided herein includes silicon dioxide, carbon-doped silicon oxide (SiCOH), tetraethyl orthosilicate-deposited oxide, various silicate glasses, HSQ hydrogen silsesquioxane, MSQ (methylsilsesquioxane), as well as porous and / or organic dielectrics, including polyimide, polynorbornene, benzocyclobutene, and the like. The hard masks provided herein are most preferably used for patterning mechanically fragile organic and / or porous dielectrics having a dielectric constant of 2.8 or less, such as 2.4 or less.

본원에서 기재된 하드마스크 물질은 다양한 방법(가령, CVD 기반 방법 및 PVD 기반 방법)을 이용하여 증착될 수 있다. PECVD는 특히 선호되는 증착 방법이며, 이중 주파수 플라스마 발생을 가능하게 하는 PECVD가 더 선호된다. 고주파수 및 저주파수 전원을 갖는 장치로는, Novellus Systems 사(소재지: 캘리포니아, 산 호세)의 SEQUEL® 및 VECTOR® 툴이 있다. 저주파수 무선 주파수(RF) 전력은, 100㎑ 내지 2㎒의 주파수를 갖는 RF 전력을 일컫는다. LF 플라스마 공급원을 위한 통상의 주파수 범위는 약 100㎑ 내지 500㎑이며, 예컨대, 400㎑ 주파수가 사용될 수 있다. 하드마스크 층의 증착 동안, LF 전력 밀도는 통상적으로 약 0.001 내지 1.3W/㎠이며, 특정 실시예에서, 약 0.1 내지 0.7W/㎠이다. 일반적으로 HF 전력은 약 0.001 내지 1.3W/㎠이며, 특정 실시예에서, 약 0.02 내지 0.28W/㎠이다. 고주파수 전력은 2㎒ 초과의 주파수를 갖는 RF 전력을 지칭한다. 통상의 HF RF 주파수는 약 2㎒ 내지 30㎒dml 범위에 속한다. 일반적으로 사용되는 HF RF 값은 13.56㎒ 및 27㎒fmf 포함한다. 특정 실시예에서, 하드마스크의 증착은 약 1 이상의, 예를 들어, 약 1.5 이상의, 가령, 약 2 이상의 LF/HF 전력 비를 설정하는 단계를 포함한다. The hardmask materials described herein may be deposited using a variety of methods, such as CVD-based methods and PVD-based methods. PECVD is a particularly preferred deposition method, and PECVD which allows dual frequency plasma generation is preferred. Devices with high frequency and low frequency power include the SEQUEL ® and VECTOR ® tools from Novellus Systems, San Jose, CA. Low frequency radio frequency (RF) power refers to RF power having a frequency between 100 kHz and 2 MHz. A typical frequency range for the LF plasma source is about 100 kHz to 500 kHz, for example, a frequency of 400 kHz may be used. During deposition of the hard mask layer, the LF power density is typically about 0.001 to 1.3 W / cm 2, and in certain embodiments, about 0.1 to 0.7 W / cm 2. Generally, the HF power is from about 0.001 to 1.3 W / cm < 2 >, and in certain embodiments, from about 0.02 to 0.28 W / cm < 2 >. High frequency power refers to RF power having a frequency in excess of 2 MHz. Typical HF RF frequencies fall within the range of about 2 MHz to 30 MHz. Typical HF RF values used include 13.56 MHz and 27 MHz fmf. In certain embodiments, the deposition of the hard mask comprises setting a power ratio of LF / HF of about 1 or more, for example, about 1.5 or more, e.g., about 2 or more.

PECVD 증착 동안, 반응성 기체 또는 증기는, 통상 0.001sccm 내지 약 10000sccm의 유량으로, 바람직하게는 약 1sccm 내지 약 1000sccm의 유량으로, 공정 챔버로 제공되며, 약 20℃ 내지 약 500℃의, 바람직하게는 약 200℃ 내지 약 450℃의 기판 지지대 온도를 이용한다. 일부 실시예에서, 하드마스크 증착을 위해, 약 400℃ 미만의 온도(가령, 약 200℃ 내지 약 400℃)가 바람직하다. 압력은 약 10mTorr 내지 약 100Torr일 수 있으며, 바람직하게는 약 0.5Torr 내지 5Torr일 수 있다. 전구체의 유량은 기판 크기 및 챔버 크기에 따라 달라질 수 있다. During PECVD deposition, the reactive gas or vapor is provided to the process chamber at a flow rate of typically from about 0.001 sccm to about 10000 sccm, preferably from about 1 sccm to about 1000 sccm, and is maintained at a temperature of from about 20 캜 to about 500 캜, A substrate support temperature of about 200 [deg.] C to about 450 [deg.] C is used. In some embodiments, for hard mask deposition, a temperature of less than about 400 占 폚 (e.g., from about 200 占 폚 to about 400 占 폚) is preferred. The pressure can be from about 10 mTorr to about 100 Torr, and preferably from about 0.5 Torr to 5 Torr. The flow rate of the precursor may vary depending on the substrate size and the chamber size.

후공정(back-end processing)에서의 사용Use in back-end processing

본발명의 막은 다양한 하드마스크 적용예에서 사용될 수 있다. 후공정에서 하드마스크 막의 예시적 용도가 도 1A-1K에서 나타난 구조물에 의해 도시되며, 도 3에서 도시된 공정 순서도에 의해 도시된다. 도 3에서 도시된 공정 순서도를 살펴보면, 단계(301)에서, 노출된 유전 층을 갖는 기판을 제공함으로써 공정이 시작한다. 기판은, 자신 위에 놓이는 하나 이상의 물질(가령, 전도체 또는 유전체)의 층을 갖는 반도체(가령, 실리콘) 웨이퍼인 것이 일반적이다. 기판의 노출된 부분은, 비아와 트렌치로 패터닝될 필요가 있는 유전체 층을 포함한다. 본원에서 제공되는 하드마스크는, 앞부분에서 나열한 다양한 유전체 물질을 패터닝할 때 사용되는 것이 일반적이다. 2.8 이하의, 가령 2.4 이하의 유전 상수를 갖는 ULK 유전체, 예를 들어, 기계적으로 덜 강한 다공질 및 유기 유전체를 패터닝하기 위해 본 발명의 하드마스크 물질을 이용하는 것이 특히 이롭다. 앞서 설명된 바와 같이, 본원발명의 하드마스크는, 많은 실시예에서, 매우 낮은 응력을 가지며, 따라서, 기계적으로 취약한 ULK 유전체의 패터닝을 위해 고응력의 하드마스크 물질이 사용될 때 일반적으로 발생하는 휘어짐 현상(buckling) 및 형편없는 패턴 정렬을 상당하게 감소시킬 수 있다. 일부 실시예에서, 기계적으로 더 강한 물질의 버퍼 층이 연약한 ULK 유전체와 하드마스크 사이에서 사용된다. 따라서 일부 실시예에서, 본원의 기판은, ULK 물질의 층 상에 위치하는 노출된 버퍼 층(가령, 기계적으로 더 강한 유전체)을 갖는다. 예를 들어, 2.8 초과의 k를 갖는 유전체를 포함하는 버퍼 층은 더 낮은 유전 상수를 갖는 기계적으로 덜 강한 유전체 상에 위치한다. 예를 들어, 탄소-도핑된 실리콘 옥사이드(SiCOH), TEOS(tetraethyl orthosilicate)-증착된 옥사이드, 다양한 실리케이트 유리, HSQ(hydrogen silsesquioxane), MSQ(methylsilsesquioxane) 중에서 선택된 물질을 포함하는 버퍼 층은 다공질 및/또는 유기 유전체 상에 위치할 수 있으며, 이는 폴리이미드, 폴리노르보르넨, 벤조사이클로부텐 등을 포함할 수 있다. ULK 유전체 및 버퍼 층 유전체는, 예를 들어, 스핀-온(spin-on) 방법 또는 PECVD에 의해 증착될 수 있다. 일부 실시예에서, 유전체 및/또는 버퍼 층은, 그 위에 증착되는 하드마스크 층과 동일한 PECVD 모듈에 증착된다. 이는 증착을 위해 PVD 모듈을 필요로 하는 티타늄 니트라이드 하드마스크에 비해 추가적인 이점을 제공한다. 단계(303)에서, PECVD 공정 챔버에서, 하드마스크 물질은 유전체 층 위에(또는 역시 통상적으로 유전체인 버퍼 층 위에) 증착된다. 그 후, 하나 이상의 반사방지 층, 예를 들어, 하부 반사방지 코팅(BARC)이 선택적으로 증착되고, 그 후, 단계(305)에서 상기 하드마스크 위에 포토레지스트가 증착된다. 하나 이상의 반사방지 층이 상기 하드마스크와 포토레지스트 사이에 위치하는 것이 통상적이기 때문에, 상기 포토레지스트는 하드마스크 물질과 반드시 직접 접촉해야 하는 것은 아니다. 그 후, 단계(307)에서, 증착된 하드마스크, 리소그래피 패터닝을 이용하여 유전체 층에 비아 및/또는 트렌치가 에칭된다. 에칭에 대해 높은 에칭 선택비를 갖는 노출된 하드마스크가 있는 데서 유전체 물질이 에칭되는 경우, 적합한 에칭으로는 예를 들어 앞서 기재된 RIE가 있다.The films of the present invention can be used in various hardmask applications. Exemplary uses of the hard mask film in subsequent processes are illustrated by the structure shown in Figures 1A-1K and are illustrated by the process flow chart shown in Figure 3. [ Referring to the process flow diagram shown in FIG. 3, in step 301, the process begins by providing a substrate having an exposed dielectric layer. A substrate is typically a semiconductor (e.g., silicon) wafer having a layer of one or more materials (e.g., conductors or dielectrics) deposited thereon. The exposed portion of the substrate includes a dielectric layer that needs to be patterned with vias and trenches. The hard masks provided herein are generally used when patterning various dielectric materials listed at the front. It is particularly advantageous to use the hard mask material of the present invention to pattern ULK dielectrics having a dielectric constant of 2.8 or less, e.g., 2.4 or less, e.g., mechanically less intense porous and organic dielectrics. As described above, the hard mask of the present invention, in many embodiments, has a very low stress, and thus is less susceptible to warping phenomena that typically occur when a high stress hardmask material is used for patterning mechanically fragile ULK dielectrics it is possible to significantly reduce buckling and poor pattern alignment. In some embodiments, a buffer layer of mechanically stronger material is used between the soft ULK dielectric and the hardmask. Thus, in some embodiments, the substrate herein has an exposed buffer layer (e.g., a mechanically stronger dielectric) located on a layer of a ULK material. For example, a buffer layer comprising a dielectric with a k of greater than 2.8 is placed on a mechanically less strong dielectric with a lower dielectric constant. For example, a buffer layer comprising a material selected from carbon-doped silicon oxide (SiCOH), tetraethyl orthosilicate (TEOS) -deposited oxide, various silicate glasses, HSQ (hydrogen silsesquioxane), MSQ (methylsilsesquioxane) Or organic dielectric, which may include polyimide, polynorbornene, benzocyclobutene, and the like. The ULK dielectric and buffer layer dielectrics can be deposited, for example, by a spin-on process or by PECVD. In some embodiments, the dielectric and / or buffer layer is deposited on the same PECVD module as the hard mask layer deposited thereon. This provides additional advantages over titanium nitride hardmasks that require PVD modules for deposition. At step 303, in a PECVD process chamber, a hard mask material is deposited over the dielectric layer (or also over the buffer layer, which is typically a dielectric). Thereafter, one or more antireflective layers, such as a bottom anti-reflective coating (BARC), are selectively deposited, and then photoresist is deposited over the hardmask in step 305. The photoresist is not necessarily in direct contact with the hardmask material since it is common for one or more antireflective layers to be located between the hardmask and the photoresist. Then, in step 307, vias and / or trenches are etched in the dielectric layer using a deposited hard mask, lithographic patterning. If the dielectric material is etched with an exposed hard mask having a high etch selectivity for etching, a suitable etch is, for example, the RIE described above.

복수의 포토레지스트 층의 증착과 제거, 충전재 층(filler layer)의 증착 과정 등을 포함할 수 있는 다양한 리소그래피 방식이 사용되어, 오목한 특징부의 희망 패턴을 형성할 수 있다. 이들 리소그래피 방식은 공지되어 있으며, 본원에서는 상세히 설명하지 않겠다. 도 1A-1K에서 도시된 바와 같이, 트렌치를 우선 형성하고, 그 후, 부분 비아(partial via)를 형성하는 방식이 사용된다. 그러나 후공정이 그 밖의 다른 다양한 방식을 이용할 수 있다. 비아 및/또는 트렌치가 형성된 후, 단계(309)에서 상기 비아 및/또는 트렌치에 금속(가령, 전착(electrodeposit)되는 구리 또는 구리 합금)이 충전되고, 단계(311)에서, 가령, CMP 또는 적정 습식(또는 건식) 에칭에 의해, 하드마스크 막이 제거된다. 일부 실시예에서, 하드마스크 제거를 위해, 페록사이드(가령, 하이드로젠 페록사이드를 함유하는 산성 슬러리)를 함유하는 습식 에칭 또는 CMP 조성물이 선호된다. A variety of lithographic methods may be used, including deposition and removal of a plurality of photoresist layers, deposition of a filler layer, and the like, to form the desired pattern of recessed features. These lithography methods are well known and will not be described in detail here. As shown in Figures 1A-1K, a method of first forming a trench and then forming a partial via is used. However, the post-process can utilize a variety of other methods. After the vias and / or trenches are formed, the vias and / or trenches are filled with a metal (e.g., copper or copper alloy that is electrodeposited) in step 309 and, in step 311, By wet (or dry) etching, the hard mask film is removed. In some embodiments, for hard mask removal, wet etching or CMP compositions containing peroxides (e.g., acidic slurries containing hydrogen peroxide) are preferred.

도 1A-1K는, 한 가지 예시적 공정 방식에 따라, 후공정 동안 부분적으로 제조된 반도체 기판의 개략적 단면도를 도시한다. 도 1A는 제 1 유전체 층(103)(가령, ULK 유전체)에 심어진 구리 층(101)을 갖는 반도체 기판(밑에 놓이는 실리콘 층 및 능동 소자는 도시되지 않음)의 일부분을 도시하며, 이때, (가령, Ta, Ti, W, TaNx, TiNx, WNx 또는 이들의 조합을 포함하는) 확산 장벽 층(105)이 유전체와 구리 사이의 경계부에 위치한다. (에칭-저지 층이라고도 알려진) 유전체 확산 장벽 층(107)은, 예를 들어, 실리콘 니트라이드 또는 질소-도핑된 실리콘 카바이드 층이 구리(101)와 유전체(103) 위에 놓인다. 제 2 유전체 층(109)(가령, 스핀-온 또는 PECVD에 의해 증착된 ULK 유전체)이 유전체 확산 장벽 층(107)의 상부 상에 놓인다. 유전체 층(109)은 기계적으로 약할 수 있기 때문에, 하드마스크 증착 동안 손상될 수 있고, 기계적으로 더 강한 유전체 버퍼 층(111)(가령, TEOS 유전체 또는 탄소-도핑된 실리콘 옥사이드(SiCOH))가 층(109) 위로 증착된다. PECVD에 의해, 본원 발명에 따르는 고경도 물질을 포함하는 하드마스크 층(113)이 버퍼 층(111) 위로 증착된다. 유전체 확산 장벽 층(107)과 달리, 하드마스크 층(113)은, 노출된 금속을 포함하지 않는 표면 상에 증착된다. 스핀-온(spin-on)법에 의해, 포토레지스트 층(115)이 하드마스크 층(113) 위에 증착된다. 일반적으로, 하나 이상의 반사방지 층이 하드마스크와 포토레지스트 사이에 바로 증착된다. 명료성을 위해, 이들 층은 도시되지 않았다. Figures 1A-1K illustrate schematic cross-sectional views of a partially fabricated semiconductor substrate during a post-process, in accordance with one exemplary process. 1A shows a portion of a semiconductor substrate (underlying silicon layer and active elements not shown) having a copper layer 101 implanted in a first dielectric layer 103 (e.g., a ULK dielectric), wherein , Ta, Ti, W, TaN x , TiN x , WN x, or combinations thereof) is located at the interface between the dielectric and the copper. A dielectric diffusion barrier layer 107 (also known as an etch-stop layer) is laid over copper 101 and dielectric 103, for example, a silicon nitride or nitrogen-doped silicon carbide layer. A second dielectric layer 109 (e.g., a ULK dielectric deposited by spin-on or PECVD) is placed on top of the dielectric diffusion barrier layer 107. The dielectric layer 109 may be mechanically weak and may be damaged during hard mask deposition and the mechanically stronger dielectric buffer layer 111 (e.g., TEOS dielectric or carbon-doped silicon oxide (SiCOH) Lt; / RTI > By PECVD, a hardmask layer 113 comprising a hardness material according to the present invention is deposited over the buffer layer < RTI ID = 0.0 > 111. < / RTI & Unlike dielectric diffusion barrier layer 107, hardmask layer 113 is deposited on a surface that does not contain exposed metal. A photoresist layer 115 is deposited over the hardmask layer 113 by a spin-on method. Generally, one or more antireflective layers are deposited directly between the hard mask and the photoresist. For clarity, these layers are not shown.

포토레지스트(115)가 증착된 후, 표준 리소그래피 기법을 이용하여 포토레지스트(115)는 패터닝되어, 폭 t를 갖는 개구부를 형성할 수 있다. 상기 개구부는 미래의 트렌치를 형성하기 위해 사용될 것이다. 패터닝된 포토레지스트 층(115)을 갖는 최종 구조물이 도 1B에서 도시된다. 그 후, 제거된 포토레지스트 아래 위치하는 하드마스크 층(113)이 개방(open)(에칭)되어, 도 1C에서 도시된 바와 같은 노출된 유전체(111)의 패턴을 형성한다. 잔여 하드마스크는, 포토레지스트 제거 및 뒤 따르는 유전체 에칭 공정 동안, 유전체를 보호하는 기능을 수행할 것이다. 그 후, 애싱(ashing)을 이용함으로써, 포토레지스트 층(115)이 구조물로부터 제거되어, 노출되도록 패터닝된 하드마스크(113)를 갖는 구조물이 형성된다. 이 단계에서, 비아를 형성하기 위한 패터닝이 개시된다. 비아를 패터닝하기 위해, 쉽게 제거 가능한 유전체, 가령, HSQ 또는 MSQ를 포함할 수 있는 충전재 층(117)이 구조물의 표면 위에 증착되어, 도 1E에서 도시된 바와 같이 하드마스크의 개구부를 충전한다. 그 후, 제 2 포토레지스트 층(119)이 충전재 층(117) 위에 증착되어(그 사이에 선택사항인 반사방지 층을 포함함), 도 1F에서 도시된 구조물을 형성할 수 있다. 그 후, 포토레지스트(119)는 패터닝되어, 폭 V를 갖는 개구부를 형성할 수 있으며, 도 1G에서 도시되는 바와 같에, 상기 개구부는, 비아 형성 시, 사용될 것이다. 그 후, 포토레지스트 패턴 아래 위치하는 하드마스크가 제거되고, RIE를 이용하여 유전체(109)에서 비아가 부분적으로 에칭된다. 포토레지스트(119) 및 충전재 층(117)이 제거되어, 도 1H에서 도시되는 바와 같이, 부분적으로 에칭된 비아와 형성된 트렌치를 갖는 구조물이 형성된다. 그 후, 유전체 층(111 및 109)의 에칭이, 비아가 에칭 저지 층(107)에 도달할 때까지, 계속되며, 그 후, 도 1I에서 도시되는 바와 같이, 비아의 바닥에서 상기 에칭 저지 층(107)이, 금속 층(101)을 노출시키도록, 완전 에칭된다. 그 후, 확산 장벽 물질(105)이 PVD에 의해 컨포멀하게 증착되어, 오목한 특징 부 내부와 필드 영역(field region)의 기판 부분에서 기판을 라이닝(lining)할 수 있다. 그 후, 오목한 특징부에 금속(121)(가령, 전착된 구리 또는 구리 합금)이 충전된다. 그 후, 금속 오버버든(metal overburden), 확산 장벽 물질(105), 하드마스크 층(113) 및 유전체 버퍼 층(11)이 구조물의 필드 영역에서 제거되어, 도 1K에서 도시되는 바와 같이, 저-k 유전체 층(109)에 위치하는 금속 인터커넥트를 갖는 부분-제조된 디바이스가 형성된다. 그 밖의 다른 공정 방식에서는, 버퍼 층(111)이 제거되지 않고 기판 상에 남겨질 것이다. After the photoresist 115 has been deposited, the photoresist 115 may be patterned using standard lithographic techniques to form openings having a width t. The opening will be used to form a future trench. A final structure having a patterned photoresist layer 115 is shown in Figure IB. The hard mask layer 113 underlying the removed photoresist is then opened (etched) to form a pattern of exposed dielectric 111 as shown in FIG. 1C. The remaining hard mask will serve to protect the dielectric during photoresist removal and subsequent dielectric etch processes. Thereafter, by using ashing, the photoresist layer 115 is removed from the structure and a structure is formed having the patterned hard mask 113 exposed. In this step, patterning for forming a via is started. To pattern the vias, a filler layer 117, which may include an easily removable dielectric, such as HSQ or MSQ, is deposited over the surface of the structure to fill the openings of the hardmask as shown in FIG. 1E. A second photoresist layer 119 may then be deposited over filler layer 117 (including an optional antireflective layer therebetween) to form the structure shown in FIG. 1F. The photoresist 119 may then be patterned to form openings having a width V, which will be used when forming the vias, as shown in FIG. 1G. Then, the hard mask located under the photoresist pattern is removed, and the via in the dielectric 109 is partially etched using RIE. The photoresist 119 and the filler layer 117 are removed to form a structure with the partially etched vias and the formed trenches, as shown in Figure 1H. The etch of the dielectric layers 111 and 109 then continues until the vias reach the etch stop layer 107 and then is etched at the bottom of the via, (107) is completely etched so as to expose the metal layer (101). The diffusion barrier material 105 may then be conformally deposited by PVD to liner the substrate within the recessed features and substrate portions of the field region. The recessed features are then filled with metal 121 (e.g., electrodeposited copper or copper alloy). The metal overburden, diffusion barrier material 105, hardmask layer 113 and dielectric buffer layer 11 are then removed from the field regions of the structure to form a low- lt; RTI ID = 0.0 > 109 < / RTI > In other processes, the buffer layer 111 will remain on the substrate without being removed.

도 1A-1K에서 도시된 부분 비아 형성을 포함하는 공정 방식이 저-k 유전체에 대한 한 가지 가능한 패터닝 방식을 도시한다. 본원에서 제공되는 하드마스크 물질은 그 밖의 다른 다양한 공정 방식에서도 사용될 수 있으며, 예를 들면, 비아-우선(via-first) 방식, 트렌치-우선(trench-first) 방식에서 사용될 수 있다. The processing scheme including the partial via formation shown in Figures 1A-1K illustrates one possible patterning scheme for the low-k dielectric. The hardmask material provided herein may be used in a variety of other process manners and may be used, for example, in a via-first, trench-first manner.

전공정(front-end processing)Front-end processing 에서의 사용Use in

본원에서 제공되는 하드마스크의 또 다른 예시적 용도는 전공정 동안 폴리실리콘을 보호하는 것이다. 반도체 웨이퍼 상에서 능동 소자(가령, 트랜지스터)를 형성하는 동안, 폴리실리콘이 폭넓게 사용된다. 일부 실시예에서, 본 발명의 하드마스크 물질은 폴리실리콘 상으로 증착되어, 능동 소자 제조에서 사용되는 다양한 공정 작업 동안 상기 폴리실리콘을 보호하기 위해 사용된다. 특히, 많은 실시예의 전공정에서, 본 발명의 하드마스크 층은 희생 층이 아니며, 폴리실리콘과 접촉하는 상태로 최종 장치에 남겨진다. Another exemplary application of the hard mask provided herein is to protect the polysilicon during the entire process. During the formation of active devices (e.g., transistors) on semiconductor wafers, polysilicon is widely used. In some embodiments, the hard mask material of the present invention is deposited onto polysilicon and used to protect the polysilicon during various process operations used in active device fabrication. In particular, in the previous steps of many embodiments, the hardmask layer of the present invention is not a sacrificial layer and is left in the final device in contact with the polysilicon.

도 4의 공정 순서도에서 예시적 전공정 방식이 도시되고, 도 2A-2E에서 도시된 부분 제조된 구조물의 개략적 단면도로 추가로 도시된다. 도 4를 살펴보면, 공정이 단계(401)에서 시작되며, 상기 단계(401)에서, 옥사이드(가령, 실리콘 옥사이드, 하프늄 옥사이드 등)의 층 위에 위치하는 폴리실리콘의 노출된 층을 갖는 기판을 제공한다. 또 다른 실시예에서, 폴리실리콘은 여러 다른 능동 층 위에 위치할 수 있다. 옥사이드는 단결정질 실리콘의 층 상에 위치하는 것이 일반적이다. 옥사이드와 폴리실리콘 층을 패터닝하기 위해, 2개의 하드마스크 층이 폴리실리콘 층 위에 증착된다. 단계(403)에서 나타나는 바와 같이, 제 1 하드마스크는 폴리실리콘의 층 위에 직접 증착되고, 본원에서 기재되는 물질을 포함하며, 상기 물질의 예로는, (도핑된, 또는 도핑되지 않은) SiCx, SixByCz, SixByNz, SixByCzNw, BxNy, BxCy 및 GeNx가 있다. 상기 하드마스크는 CVD 기법에 의해, 바람직하게는 PECVD 기법에 의해, 증착된다. 그 후, 단계(405)에서, 애셔블 하드마스크(ashable hardmask)(가령, 실질적으로 탄소로 이루어진 (선택사항으로서 수소가 포함된) 하드마스크))가 제 1 하드마스크 위에 증착된다. 상기 애셔블 하드마스크도 또한, CVD 기법, 가령, 탄화수소 전구체를 이용하는 PECVD 증착법에 의해 증착될 수 있다. 그 후, 단계(407)에서, 포토레지스트 층이 상기 애셔블 하드마스크 위에 증착되고, 상기 포토레지스트는 원하는 대로 패터닝된다. 선택사항으로서, 하나 이상의 반사방지 층이 상기 애셔블 하드마스크와 포토레지스트 사이에 증착될 수 있으며, 이는 명료성을 위해 도시되지 않았다. 패터닝되지 않은 포토레지스트를 갖는 예시적 구조물이 도 2A에 도시되어 있다. 여기서 층(201)은 단결정질 실리콘의 층이다. 실리콘 층(201) 위에 위치하는 층(203)은 옥사이드 층이다. 상기 옥사이드 층(203)의 상부 상의 층(205)은 폴리실리콘의 층이다. 본원에서 제공되는 하드마스크 물질은 폴리실리콘(205)의 상면에 바로 위치하며, 애셔블 하드마스크(가령, 탄소 하드마스크)(209)는 제 1 하드마스크 층(207) 위에 위치한다. 포토레지스트 층(211)은 애셔블 하드마스크(209) 위에 위치한다(이들 사이에 위치하는 선택사항인 반사방지 층은 도면 상 도시되지 않는다). 포토레지스트 패터닝 후에 얻어지는 구조물이 도 2B에서 도시되며, 여기서 포토레지스트가 2개의 위치에서 제거되고, 이들 위치 사이의 부분만 남겨짐이 도시된다. An exemplary pre-processing scheme is shown in the process flow diagram of FIG. 4 and is additionally shown in schematic cross-sectional view of the partially fabricated structure shown in FIGS. 2A-2E. Turning to FIG. 4, a process begins at step 401 where a substrate having an exposed layer of polysilicon located over a layer of oxide (e.g., silicon oxide, hafnium oxide, etc.) is provided . In yet another embodiment, the polysilicon may be located over several different active layers. Oxide is generally located on a layer of monocrystalline silicon. To pattern the oxide and polysilicon layer, two hardmask layers are deposited over the polysilicon layer. As shown in step 403, a first hard mask is deposited directly over the layer of polysilicon and includes the materials described herein, examples of which include (doped or undoped) SiC x , Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , B x C y, and GeN x . The hard mask is deposited by a CVD technique, preferably by a PECVD technique. Then, at step 405, an ashable hardmask (e.g., a hard mask consisting essentially of carbon (optionally containing hydrogen)) is deposited over the first hard mask. The ashcable hard mask may also be deposited by a CVD technique, such as PECVD deposition using a hydrocarbon precursor. Then, at step 407, a photoresist layer is deposited over the ashable hard mask, and the photoresist is patterned as desired. Optionally, one or more anti-reflection layers may be deposited between the ashable hard mask and the photoresist, which is not shown for clarity. An exemplary structure with unpatterned photoresist is shown in Figure 2A. Where layer 201 is a layer of monocrystalline silicon. The layer 203 overlying the silicon layer 201 is an oxide layer. The layer 205 on top of the oxide layer 203 is a layer of polysilicon. The hard mask material provided herein is directly on top of the polysilicon 205 and an ashable hard mask (e.g., carbon hard mask) 209 is located over the first hardmask layer 207. A photoresist layer 211 is placed over the asbestos hard mask 209 (the optional anti-reflection layer located between them is not shown in the drawing). The structure obtained after photoresist patterning is shown in Figure 2B, where the photoresist is removed at two locations and only the portion between these locations is shown.

도 4를 다시 참조하면, 공정은 단계(409)에서, 패터닝을 위해 애셔블 하드마스크를 이용하여, 폴리실리콘 및 옥사이드 층에서 희망 패턴을 에칭함으로써, 계속된다. 이는 도 2C-2E의 구조물에 의해 도시된다. 도 2C의 구조물에서, 포토레지스트 패터닝 후, 노출된 부분에서 애셔블 하드마스크(209)가 개방(에칭)된다. 그 후, 포토레지스트(211)가 완전히 제거되고, 제 1 하드마스크 층(207), 폴리실리콘 층(205) 및 옥사이드 층(203)이, 상기 애셔블 하드마스크 층(209)에 의해 보호되지 않은 부분에서 에칭되어, 도 2D에서 도시된 구조물이 제공된다.Referring again to FIG. 4, the process continues at step 409 by etching the desired pattern in the polysilicon and oxide layers, using an ashcable hard mask for patterning. This is illustrated by the structure of Figures 2C-2E. In the structure of FIG. 2C, after photoresist patterning, the ashable hard mask 209 is opened (etched) at the exposed portions. The photoresist 211 is then completely removed and the first hardmask layer 207, the polysilicon layer 205 and the oxide layer 203 are etched away by the hard mask layer 209 To provide the structure shown in Figure 2D.

다시 도 4를 참조하면, 단계(411)에서, SiCx, SixByCz, SixByNz, SixByCzNw, BxNy, BxCy 및 GeNx 중에서 선택된 물질을 포함하는 제 1 하드마스크 층을 폴리실리콘 층 상에 남기면서, 가령, 산소 플라스마 처리에 의해 상기 애셔블 하드마스크가 제거된다. 최종 구조물은 도 2E에서 도시된다. 뒤 따르는 전공정 동안 하드마스크 층(207)이 유지될 수 있고, 다양한 뒤 이은 작업들 동안, 가령 결정질 실리콘에 도펀트를 주입하는 동안, 폴리실리콘을 보호하기 위한 기능을 수행할 수 있다. 앞서 기재된 공정 절차에서 하드마스크 물질은 실제 마스킹(애셔블 하드마스크(209)에 의해 수행됨)을 수행하지 않고, 주로 폴리실리콘을 보호하기 위해 사용된다. 통합 방식(integration scheme)에 따라서, 하드마스크(207)가 뒤 따르는 전공정에서, 가령, 건식(또는 습식) 에칭 세정 동안, 또는 게이트(gate)를 형성하기 위해 수행된 옥사이드의 에칭 동안 마스킹을 위해 사용될 수 있다. 사용되는 통합 방식에 따라서, 하드마스크 물질은 최종적으로, 최종 디바이스에서 제거될 수 있거나, 디바이스에 남겨질 수 있다. Referring again to Figure 4, in step 411, SiC x , Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , B x C y, and GeN x , the above-mentioned hard mask is removed, for example, by oxygen plasma treatment, while leaving the first hard mask layer on the polysilicon layer. The final structure is shown in Figure 2E. The hardmask layer 207 may be maintained during the following process and may perform the function for protecting the polysilicon during various subsequent operations, such as implanting a dopant into the crystalline silicon. In the process steps described above, the hard mask material is used to protect the polysilicon, not the actual masking (performed by the ashable hard mask 209), but mainly. Depending on the integration scheme, the hard mask 207 may be used for masking during the entire process followed by, for example, during dry (or wet) etch cleaning, or during etching of the oxide performed to form the gate Can be used. Depending on the integration scheme used, the hard mask material may ultimately be removed from the final device or left in the device.

앞서 설명된 후공정 및 전공정 적용예는 예시적 절차로서 제공되었고, 본원에서 제공된 물질은, 아래 위치하는 층의 보호를 위해 고경도 물질이 요구되는 다양한 그 밖의 다른 공정에서도 사용될 수 있음을 이해해야 한다. It should be understood that the post-process and pre-process applications described above are provided as exemplary procedures and that the materials provided herein may be used in a variety of other processes where a hard material is required for the protection of the underlying layer .

적합한 하드마스크 물질의 준비 과정을 지금부터 상세히 설명하겠다.The preparation of a suitable hard mask material will now be described in detail.

다중 층 실리콘 카바이드 막Multilayer silicon carbide film

하나의 실시예에서, 고경도 및 저응력의 다중 층 실리콘 카바이드 막이 제공된다. 특히, 일부 실시예에서 상기 막은 약 12GPa 초과의, 가령 약 18GPa 초과의 경도를 갖고, 약 -600MPa 내지 600MPa의, 가령, 약 -300MPa 내지 300MPa의 응력을 갖는다. 상기 막은, 도핑되거나 도핑되지 않은 실리콘 카바이드 물질의 서브-층(sub-layer)을 증착하고, 각각의 서브-층의 증착 후, 치밀화 플라스마 후-처리를 수행함으로써, 형성된다. In one embodiment, a multilayer silicon carbide film of high and low stress is provided. In particular, in some embodiments, the membrane has a hardness of greater than about 12 GPa, such as greater than about 18 GPa, and a stress of from about -600 MPa to about 600 MPa, such as from about -300 MPa to about 300 MPa. The film is formed by depositing a sub-layer of doped or undoped silicon carbide material, followed by deposition of each sub-layer, followed by a densified plasma post-treatment.

실리콘 카바이드가 다양한 방법을 통해 증착될 수 있지만, 일부 실시예에서, 하나의 PECVD 장치에서, 서브-층의 증착과 플라스마 후-처리가 수행되는 것이 바람직할 수 있다. 각각의 서브-층의 두께는, 물질의 보다 완전한 치밀화(densification)를 가능하게 하기 위해, 통상 약 100Å 미만, 가령, 약 50Å 미만이다. 증착은, 적합한 하드마스크 두께를 얻기 위해, 임의의 개수의 서브-층의 형성 및 플라스마 처리를 포함할 수 있다. 일부 실시예에서, 2개 이상의 서브-층, 가령, 10개 이상의 서브-층, 또는 약 20개 이상의 서브-층이 증착된다. While silicon carbide can be deposited by a variety of methods, in some embodiments, in one PECVD apparatus, it may be desirable to perform sub-layer deposition and plasma post-treatment. The thickness of each sub-layer is typically less than about 100 Å, eg, less than about 50 Å, to enable more complete densification of the material. Deposition may include the formation of any number of sub-layers and plasma treatment to obtain a suitable hard mask thickness. In some embodiments, two or more sub-layers, e.g., ten or more sub-layers, or about twenty or more sub-layers are deposited.

다중-층 실리콘 카바이드 막의 형성을 위한 예시적 공정 순서도가 도 5A에서 도시되어 있다. 단계(501)에서, 반도체 기판(가령, 노출된 유전체 층, 또는 노출된 폴리실리콘 층을 갖는 기판)이 PECVD 공정 챔버로 제공된다. 상기 PECVD 공정 챔버는 전구체의 도입을 위한 주입구와 플라스마 발생기를 포함한다. 일부 실시예에서, HF 및 LF 발생기 구성요소를 갖는 2중 주파수 RF 플라스마 발생기가 선호된다. An exemplary process flow diagram for the formation of a multi-layer silicon carbide film is shown in Figure 5A. At step 501, a semiconductor substrate (e.g., a substrate having an exposed dielectric layer, or an exposed polysilicon layer) is provided in a PECVD process chamber. The PECVD process chamber includes an inlet for introduction of the precursor and a plasma generator. In some embodiments, a dual frequency RF plasma generator with HF and LF generator components is preferred.

단계(503)에서, 도핑되거나 도핑되지 않은 실리콘 카바이드의 제 1 서브-층이 형성되며, 이때, 증착 과정은, 실리콘-함유 전구체를 공정 챔버로 흐르게 하고, 플라스마를 형성하는 과정을 포함한다. 하나의 예에서, 2중 주파수 플라스마(HF RF 주파수는 약 13.56㎒이고, LF RF 주파수는 400㎑)가 사용된다. 이 예에서 HF 전력 밀도는 약 0.04 내지 0.2W/㎠이고, LF 전력 밀도는 약 0.17 내지 0.6W/㎠이다. In step 503, a first sub-layer of doped or undoped silicon carbide is formed, wherein the deposition process includes flowing the silicon-containing precursor into the process chamber and forming a plasma. In one example, a dual frequency plasma (HF RF frequency is about 13.56 MHz and LF RF frequency is 400 kHz) is used. In this example, the HF power density is about 0.04 to 0.2 W / cm 2 and the LF power density is about 0.17 to 0.6 W / cm 2.

다양한 실리콘-함유 전구체가 사용될 수 있으며, 예를 들자면, 알킬실란, 알케닐실란 및 알키닐실란 등의 유기실리콘 전구체가 사용될 수 있다. 일부 실시예에서, 포화 전구체(가령, 테트라메틸실란, 트리-이소프로필실란 및 1,1,3,3-테트라메틸 1,3-디실라사이클로부탄)가 선호된다. Various silicon-containing precursors may be used, for example, organic silicon precursors such as alkylsilanes, alkenylsilanes, and alkynylsilanes may be used. In some embodiments, saturated precursors (e.g., tetramethylsilane, tri-isopropylsilane and 1,1,3,3-tetramethyl 1,3-disilacyclobutane) are preferred.

일부 실시예에서, 앞어 언급된 예시에서처럼, 실리콘-함유 전구체는 탄소를 포함한다. 또 다른 실시예에서, 공정 기체에서, 무(無)탄소 실리콘-함유 전구체(가령, 실란)와 별도의 탄소-함유 전구체(가령, 탄화수소)가 사용될 수 있다. 덧붙이자면, 일부 실시예에서, 공정 기체는 탄화수소와 유기실리콘 전구체를 포함할 수 있다. In some embodiments, as in the aforementioned example, the silicon-containing precursor comprises carbon. In another embodiment, in a process gas, a carbon-containing precursor (e.g., a hydrocarbon) separate from a zero carbon silicon-containing precursor (e.g., silane) may be used. Additionally, in some embodiments, the process gas may comprise a hydrocarbon and an organosilicon precursor.

실리콘-함유 전구체는 운반 기체(carrier gas)(가령, He, Ne, Ar, Kr 또는 Xe 등의 비활성 기체)를 이용해 공정 챔버로 도입되는 것이 일반적이다. 일부 실시예에서, H2는 증착 공정 기체에 포함될 수 있다. 하나의 예시에서, 증착 공정 기체는 실질적으로 (약 500 내지 2,000sccm의 유량으로 흐르는) 테트라메틸실란과 (약 3 내지 5slm의 유량으로 흐르는) 헬륨으로 이루어져 있다.The silicon-containing precursor is typically introduced into the process chamber using a carrier gas (e.g., an inert gas such as He, Ne, Ar, Kr, or Xe). In some embodiments, H 2 may be included in the deposition process gas. In one example, the deposition process gas consists essentially of tetramethylsilane (flowing at a flow rate of about 500 to 2,000 sccm) and helium (flowing at a flow rate of about 3 to 5 slm).

도핑된 실리콘 카바이드의 층이 형성될 필요가 있을 때, 적합한 도펀트가 공정 기체로 추가된다. 예를 들면, N2, NH3, N2H4, 아민, 또는 상이한 질소 함유 전구체가 공정 기체에 첨가되어, 질소-도핑된 실리콘 카바이드가 형성될 수 있다. 붕소-함유 전구체(가령, 디보란(diborane))가 첨가되어 붕소-함유 실리콘 카바이드가 형성될 수 있다. 인-함유 전구체(가령, PH3)가 첨가되어 인-도핑된 실리콘 카바이드가 형성될 수 있다. When a layer of doped silicon carbide needs to be formed, a suitable dopant is added to the process gas. For example, N 2 , NH 3 , N 2 H 4 , an amine, or a different nitrogen containing precursor may be added to the process gas to form nitrogen-doped silicon carbide. Boron-containing precursors (e.g., diborane) may be added to form boron-containing silicon carbide. Phosphorus-containing precursors (e.g., PH 3 ) may be added to form phosphorus-doped silicon carbide.

플라스마가 점화되고 실리콘 카바이드 서브-층이 희망 두께까지로 형성된 후, 단계(505)에서, 실리콘-함유 전구체가 공정 챔버로부터 제거된다. 일부 실시예에서, 이는 퍼징 기체(purging gas)를 이용하여 공정 챔버를 퍼징함으로써, 이뤄진다. 상기 퍼징 기체는, 비활성 기체(가령, He, Ar), CO2, N2, NH3, H2 및 이들의 혼합물 중에서 선택된 기체를 함유할 수 있다. 일부 실시예에서, He, Ar, H2, 또는 이들의 다양한 혼합물이 퍼징 기체로서 선호된다. 단계(507)에서, 실리콘-함유 전구체가 완전히 제거된 후, (상기 퍼징 기체와 동일하거나 상이할 수 있는) 플라스마-처리 공정 기체가 공정 챔버로 도입되고, 바람직하게는, LF/HF 전력 비가 약 1.5 이상인, 가령, 약 2 이상인 조건 하에서 제 1 서브-층이 플라스마로 처리된다. 단계(509)에서, 증착 및 플라스마 후-처리가 반복되어, 2개 이상의 서브-층을 포함하는, 가령 10개 이상의 서브-층을 포함하는 다중-층 막이 형성될 수 있다. 각각의 서브-층의 플라스마 후처리가, 막 치밀화를 위해 요구되는 시간동안 수행되며, 상기 시간은 서브-층 두께에 따라 달라진다. 일부 실시예에서, 각각의 서브-층에 대해, 약 5 내지 25초 동안, 가령, 약 8 내지 15초 동안 플라스마 후-처리가 수행된다. After the plasma is ignited and the silicon carbide sub-layer is formed to the desired thickness, at step 505, the silicon-containing precursor is removed from the process chamber. In some embodiments, this is accomplished by purging the process chamber with a purging gas. The purging gas may contain a gas selected from an inert gas (e.g., He, Ar), CO 2 , N 2 , NH 3 , H 2, and mixtures thereof. In some embodiments, He, Ar, H 2 , or various mixtures thereof are preferred as the purge gas. At step 507, after the silicon-containing precursor is completely removed, a plasma-processing process gas (which may be the same or different from the purge gas) is introduced into the process chamber and preferably the LF / HF power ratio is about The first sub-layer is treated with the plasma under conditions of at least 1.5, such as at least about 2. In step 509, the deposition and post-plasma treatment are repeated so that a multi-layer film including, for example, ten or more sub-layers including two or more sub-layers may be formed. The plasma post-treatment of each sub-layer is performed for the time required for film densification, and the time depends on the sub-layer thickness. In some embodiments, for each sub-layer, a plasma post-treatment is performed for about 5 to 25 seconds, such as for about 8 to 15 seconds.

최종 막은, 종래의 실리콘 카바이드 막의 구조와 속성과는 구별되는 구조와 속성을 갖는 것으로 발견되었다. 뜻밖에도, 복수의 치밀화 플라스마 후-처리를 이용해 제작된 다중-층 막이 고경도와 저응력을 동시에 가질 수 있음이 발견되었으며, 이는 종래의 증착법에 의해서는 얻어질 수 없다.The final film was found to have a structure and properties distinct from the structure and properties of conventional silicon carbide films. Unexpectedly, it has been found that a multi-layer film fabricated using a plurality of densified plasma post-treatments can have both high hardness and low hardness, which can not be obtained by conventional deposition methods.

이들 막의 구조적 특성은, 이러한 막의 적외선(IR) 스펙트럼이 특유의 높은 Si-C/Si-H 및 Si-C/C-H 피크 비를 가짐을 보여주며, 상기 비는, 약 760 내지 800㎝-1(Si-C), 2070 내지 2130㎝-1(Si-H) 및 2950 내지 3000㎝-1(C-H)에서 중심값을 갖는 대응하는 IR 피크 면적의 비를 지칭한다. The structural properties of these films show that the infrared (IR) spectra of these films have unique high Si-C / Si-H and Si-C / CH peak ratios and the ratio is about 760 to 800 cm -1 Refers to the ratio of the corresponding IR peak area having a center value at 2070 to 2130 cm -1 (Si-H) and 2950 to 3000 cm -1 (CH).

일부 실시예에서, IP 스펙트럼에서 C-H 피크의 면적에 대한 Si-C 피크의 면적의 비는 약 50 이상이고, Si-C/Si-H 비는 약 20 이상이다. 본원에서 제공되는 막은 또한 약 2g/㎤의 밀도를 갖는 것이 통상적이다. In some embodiments, the ratio of the area of the Si-C peak to the area of the C-H peak in the IP spectrum is greater than or equal to about 50 and the Si-C / Si-H ratio is greater than or equal to about 20. The membranes provided herein also typically have a density of about 2 g / cm3.

도 5B는 플라스마 후-처리를 하지 않고 얻어진 단일-층의 도핑되지 않은 실리콘 카바이드 막의 IR 스펙트럼(곡선 a)과, 복수의 치밀화 플라스마 처리를 하고 얻어진 다중-층의 도핑되지 않은 실리콘 카바이드 막의 IR 스펙트럼(곡선 b)을 도시한다. (1,000sccm의 유량의) 테트라메틸실란과 (3000sccm의 유량의) 헬륨을 함유하는 공정 기체를, 2.1Torr의 압력에서 흐르게 함으로써, 단일-층 막이 300㎜ 웨이퍼 상에 증착되었다. 증착 동안 약 0.25W/㎠의 LF 전력 밀도와 0.13W/㎠의 HF 전력 밀도에서의 2중 주파수 플라스마가 사용되었다. 다중-층 막은, 서브-층 증착에 대해 동일한 조건 하에서 증착되었다. 그러나 각각의 서브-층의 증착 후, 플라스마 후-처리가 추가로 포함되었다. 후-처리 과정은, 2.1Torr의 챔버 압력에서, 아르곤을 후-처리 기체로서 공정 챔버로 3slm의 유량으로 흐르게 하는 과정과, 약 0.25W/㎠의 LF 전력 밀도와 약 0.13W/㎠의 HF 전력 밀도에서 2중 주파수 플라스마를 형성하는 과정을 포함했다. 최종 단일 층 막은, 약 15의 SiC/SiH 면적 비를 특징으로 하였다. 치밀화 플라스마 처리를 이용하여 형성된 최종 다중-층 막은 약 24의 SiC/SiH 피크 면적 비를 특징으로 하였다. 다중-층 막은 약 170GPa의 영률과 약 20.4GPa의 경도를 가진 반면에, 단일-층 막은 약 95GPa의 영률과 겨우 약 12GPa의 경도를 가졌다. 상기 단일-층 막과 다중-층 막은 각각, -20MPa와 179MPa의 응력값을 가졌다. FIG. 5B shows the IR spectrum (curve a) of the single-layer undoped silicon carbide film obtained without the plasma post-treatment and the IR spectrum (curve a) of the multi-layer undoped silicon carbide film obtained after multiple densified plasma treatments Curve b). The single-layer film was deposited on a 300 mm wafer by flowing a process gas containing tetramethylsilane (at a flow rate of 1,000 sccm) and helium (at a flow rate of 3000 sccm) at a pressure of 2.1 Torr. A dual frequency plasma at an LF power density of about 0.25 W / cm < 2 > and an HF power density of 0.13 W / cm < 2 > The multi-layer film was deposited under the same conditions for sub-layer deposition. However, after each sub-layer deposition, a plasma post-treatment was further included. The post-treatment process includes flowing argon as a post-treatment gas at a flow rate of 3 slm at a chamber pressure of 2.1 Torr, and a process flow rate of about 0.25 W / cm2 LF power density and about 0.13 W / And forming a dual frequency plasma at the density. The final single layer film was characterized by an SiC / SiH area ratio of about 15. The final multi-layer film formed using the densified plasma treatment was characterized by a SiC / SiH peak area ratio of about 24. The multi-layer film had a Young's modulus of about 170 GPa and a hardness of about 20.4 GPa, while the single-layer film had a Young's modulus of about 95 GPa and a hardness of only about 12 GPa. The single-layer and multi-layer films had stress values of -20 MPa and 179 MPa, respectively.

도 5C는 치밀화 플라스마 후-처리를 이용하여 제조된 2개의 다중-층의 도핑되지 않은 실리콘 카바이드 막과, 후-처리를 하지 않고 제조된 2개의 단일-층의 도핑되지 않은 실리콘 카바이드 막의 응력 및 경도 값을 도시한다. 도 5D는 도 5C의 막과 동일한 막들에 대한 응력 및 영률 값을 도시한다. 표 1은 막에 대한 증착 및 후-처리 조건을 요약한 것이다. Figure 5C shows the stress and hardness of two multi-layer undoped silicon carbide films fabricated using densified plasma post-treatment and two single-layer undoped silicon carbide films fabricated without post-treatment Lt; / RTI > Figure 5D shows the stress and Young's modulus values for the same films as the film of Figure 5C. Table 1 summarizes the deposition and post-treatment conditions for the films.

membrane 증착deposition 후-처리After treatment 응력(MPa)Stress (MPa) 경도(GPa)Hardness (GPa) 탄성계수(GPa)Elastic modulus (GPa) 막 AA LF=0.35W/㎠
HF=0.13W/㎠
LF = 0.35 W / cm < 2 >
HF = 0.13 W / cm < 2 >
없음none -830-830 22.422.4 180180
막 BMembrane B LF=0.53W/㎠
HF=0.13W/㎠
LF = 0.53 W / cm < 2 >
HF = 0.13 W / cm < 2 >
LF=0.53W/㎠
HF=0.13W/㎠
LF = 0.53 W / cm < 2 >
HF = 0.13 W / cm < 2 >
-412-412 20.8620.86 166166
막 CMembrane C LF=0.23W/㎠
HF=0.13W/㎠
LF = 0.23 W / cm 2
HF = 0.13 W / cm < 2 >
LF=0.23W/㎠
HF=0.13W/㎠
LF = 0.23 W / cm 2
HF = 0.13 W / cm < 2 >
179179 20.420.4 170170
막 DMembrane D LF=0.35W/㎠
HF=0.13W/㎠
LF = 0.35 W / cm < 2 >
HF = 0.13 W / cm < 2 >
없음none -20-20 1212 9696

모든 막들이, 약 2Torr의 압력에서 테트라메틸실란과 헬륨의 혼합물을 증착 공정 기체로서 이용하여 제작되었다. 모든 경우에서 증착을 위해 2중 주파수 플라스마 발생법이 사용되었다. HF와 LF 플라스마에 대한 전력 밀도는 상기 표에 나열되어 있으며, 여기서, 전력 밀도는 전력을 기판 면적으로 나눔으로써, 계산된다. 막 A와 D는 플라스마 후-처리 없이 제작된 단일-층 막이었다. 이들 막은 고경도와 저응력을 동시에 갖지 않는 것으로 나타날 수 있다. 예를 들어, 비교적 고경도(22.4GPa)의 막 A는 -830MPa의 매우 높은 압축응력을 갖는다. 작은 응력(-20MPa)을 갖는 막 D는 겨우 12GPa의 보통의 경도를 갖는다. All membranes were made using a mixture of tetramethylsilane and helium as the deposition process gas at a pressure of about 2 Torr. In all cases, dual frequency plasma generation was used for deposition. The power densities for the HF and LF plasmas are listed in the above table, where the power density is calculated by dividing the power by the substrate area. Films A and D were single-layer films fabricated without plasma post-treatment. These films may appear to have neither high hardness nor high hardness. For example, film A of relatively high hardness (22.4 GPa) has a very high compressive stress of -830 MPa. The film D with a small stress (-20 MPa) has an ordinary hardness of only 12 GPa.

막 B와 C는 다중-층 막이며, 각각의 실리콘 카바이드 서브-층의 증착 후 플라스마 후-처리가 수행되었다. 약 2Torr의 압력에서 아르곤이 플라스마 처리 기체로서 사용되었다. 플라스마 후-처리를 위해 2중 주파수 플라스마 발생법이 사용되었다. HF 및 LF 플라스마에 대한 전력 밀도는 표에 나열되어 있다. 기대치 않게도, 다중-층 막이 고경도( 및/또는 모듈러스) 및 저응력 모두를 갖는다는 것이 발견되었다. 예를 들어, 막 B는 20.86GPa의 경도와 -412MPa의 응력을 갖는다(이는 막 A의 응력보다 2배 초과 더 낮은 값이다). 덧붙이자면, 다중-층 막 C는 20.4GPa의 고경도와 179MPa의 인장응력을 갖는다. 막 C의 경도는 막 D의 경도보다 1.5 배보다 더 크다. 플라스마 후-처리를 제외하고, 막 C와 D는 동일한 조건 하에서 증착된다. 허용될 수 없는 수준까지 막의 압축응력을 증가시키지 않고도, 플라스마 후-처리에 의해 막의 경도가 더 높아지는 것을 알 수 있다. Membranes B and C are multi-layer films, and plasma post-treatment was performed after deposition of each silicon carbide sub-layer. At a pressure of about 2 Torr, argon was used as the plasma processing gas. A dual frequency plasma generation method was used for post-plasma treatment. The power densities for HF and LF plasmas are listed in the table. Unexpectedly, it has been found that the multi-layer film has both high hardness (and / or modulus) and low stress. For example, film B has a hardness of 20.86 GPa and a stress of -412 MPa (which is more than 2 times lower than the stress of film A). In addition, the multi-layer film C has a high hardness of 20.4 GPa and a tensile stress of 179 MPa. The hardness of the film C is larger than the hardness of the film D by 1.5 times. Except for the post-plasma treatment, membranes C and D are deposited under the same conditions. It can be seen that the hardness of the film becomes higher by the plasma post-treatment without increasing the compressive stress of the film to an unacceptable level.

일부 실시예에서, LF 전력이 HF 전력보다 더 높은(가령, LF/HF 전력 비가 약 1.5 이상, 또는 약 2 이상인) 2중 주파수 플라스마를 이용하여 실리콘 카바이드 서브-층의 후-처리를 수행하는 것이 바람직할 수 있다. 기대치 않게도, 후-처리 동안 사용되는 LF/HF 전력의 비가 증가함으로써, 획득되는 막의 속성이 개선된다. LF/HF 전력 비가 증가하면, 획득되는 막의 굴절률, 막의 경도와 양의 상관관계를 갖는 매개변수가 증가한다. 일부 실시예에서, 약 2.25 이상의, 가령, 약 2.30 이상의 굴절률을 갖는 다중-층 실리콘 카바이드 막이 제공된다. LF/HF 전력 비의 증가와 동반되는 막의 굴절률의 증가가 표 2에서 도시된다. In some embodiments, performing post-processing of the silicon carbide sub-layer using a dual frequency plasma where the LF power is higher than the HF power (e.g., the LF / HF power ratio is about 1.5 or more, or about 2 or more) Lt; / RTI > Unexpectedly, as the ratio of LF / HF power used during post-processing increases, the properties of the film obtained are improved. When the LF / HF power ratio is increased, a parameter having a positive correlation with the refractive index of the film to be obtained and the hardness of the film increases. In some embodiments, a multi-layer silicon carbide film having a refractive index of at least about 2.25, such as at least about 2.30, is provided. An increase in the refractive index of the film accompanied by an increase in the LF / HF power ratio is shown in Table 2.

막 IDMembrane ID 스테이션 당 후-처리 HF 전력(W)Post-process HF power per station (W) 스테이션 당 후-처리 LF 전력(W)Post-processing LF power per station (W) 굴절률Refractive index 1One 114114 211211 2.30212.3021 22 325325 00 2.23082.2308 33 114114 111111 2.25272.2527

붕소-함유 Boron-containing 하드마스크Hard mask  membrane

또 다른 양태에서, 붕소-함유 하드마스크 막이 제공된다. 붕소-함유 막은, SixByCz, SixByNz, SixByCzNw, BxNy 및 BxCy 중에서 선택된 물질을 포함한다. 일부 실시예에서, 이들 물질은 고경도(가령, 약 12GPa의 경도, 바람직하게는 약 16GPa의 경도)와 저응력(가령, 약 -600 내지 600MPa의 응력, 바람직하게는 약 -300 내지 300MPa의 응력)을 갖도록 가공된다. 일부 실시예에서, 어떠한 압축응력도 갖지 않는 붕소-함유 막, 가령, 매우 낮은(가령, 약 0 내지 300MPa) 인장응력을 갖는 막이 제공된다. 덧붙여, 붕소-함유 막은 도핑되지 않은 실리콘 카바이드 막보다 더 친수성인 것이 일반적이며, (가령, 하이드로젠 페록사이드를 함유하는 산성 슬러리를 이용하는) CMP에 의해 더 쉽게 제거될 수 있다. 일반적으로, 다양한 방법(가령, CVD-기반 기법 및 PVD-기반 기법)에 의해 붕소-함유 하드마스크가 제조될 수 있다. 일부 실시예에서, 붕소-함유 하드마스크의 제작을 위해 PECVD가 선호된다.In another embodiment, a boron-containing hard mask film is provided. The boron-containing film comprises a material selected from Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y and B x C y . In some embodiments, these materials have a low hardness (e.g., a hardness of about 12 GPa, preferably about 16 GPa) and a low stress (e.g., a stress of about -600 to 600 MPa, preferably a stress of about -300 to 300 MPa ). In some embodiments, a boron-containing film having no compressive stress is provided, such as a film having a very low tensile stress (e.g., from about 0 to 300 MPa). In addition, it is common that the boron-containing film is more hydrophilic than the undoped silicon carbide film and can be more easily removed by CMP (using an acidic slurry containing, for example, hydrogen peroxide). Generally, boron-containing hardmasks can be produced by a variety of methods (e.g., CVD-based techniques and PVD-based techniques). In some embodiments, PECVD is preferred for fabrication of a boron-containing hard mask.

도 6을 살펴보면, 후공정에서 붕소-함유 하드마스크를 이용하기 위한 예시적 공정 순서도가 도시된다. 상기 공정은 단계(601)에서, 노출된 유전체 층을 포함하는 반도체 기판을 PECVD 공정 챔버에 제공함으로써 시작한다. 예를 들어, 유전체 층은 초저-K 유전체 층(가령, 약 2.8 미만의 가령 약 2.4 미만의 k를 갖는 유전체 층) 또는 더 높은 유전 상수를 갖는 버퍼 유전체 층일 수 있다. Referring to Figure 6, an exemplary process flow diagram for utilizing a boron-containing hardmask in a post-process is shown. The process begins in step 601 by providing a PECVD process chamber with a semiconductor substrate comprising an exposed dielectric layer. For example, the dielectric layer may be an ultra-low K dielectric layer (e.g., a dielectric layer having a k of less than about 2.4, such as less than about 2.4) or a buffer dielectric layer having a higher dielectric constant.

단계(601)에서, SixByCz, SixByNz, SixByCzNw, BxNy 및 BxCy 중에서 선택된 고경도 저응력 붕소-함유 하드마스크 막이 증착된다. 상기 증착은 적정한 전구체를 포함하는 공정 기체를 공정 챔버로 흐르게 하여, 플라스마를 형성함으로써 수행된다. 일부 실시예에서, 2중 주파수 플라스마가 선호된다. 일부 실시예에서, LF 플라스마에 대한 전력 밀도가 HF 플라스마에 대한 전력 밀도보다 높을 때, 가령, LF/HF 전력 비가 약 1.5 이상일 때(가령, 약 2 이상일 때), 특히 바람직한 막 매개변수가 얻어진다. In step 601, a hardness low-stress boron-containing hardmask film selected from Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y and B x C y , Lt; / RTI > The deposition is carried out by flowing a process gas containing the appropriate precursor into the process chamber and forming a plasma. In some embodiments, a dual frequency plasma is preferred. In some embodiments, particularly desirable film parameters are obtained when the power density for the LF plasma is higher than the power density for the HF plasma, e.g., when the LF / HF power ratio is greater than or equal to about 1.5 (e.g., greater than about 2) .

막이 증착된 후, 단계(605)에서 유전체가 패터닝되어, 가령, 도 1A-1K와 관련하여 기재된 바있는 트렌치 및/또는 비아가 형성될 수 있다. RIE를 이용한 유전체의 건식 에칭 동안 붕소-함유 막이 하드마스크로서 기능할 수 있다. 그 후, 비아 및/또는 트렌치가 유전체에 형성된 다음, 단계(607)에서, 상기 비아 및/또는 트렌치는 금속으로 충전된다. 그 후, 단계(609)에서 통상적으로 금속 오버버든(metal overburden)의 제거 후 CMP에 의해 붕소-함유 하드마스크가 제거된다. After the film is deposited, the dielectric may be patterned at step 605 to form trenches and / or vias as described, for example, in connection with FIGS. 1A-1K. During the dry etching of the dielectric using RIE, the boron-containing film may function as a hard mask. Vias and / or trenches are then formed in the dielectric, and then in step 607, the vias and / or trenches are filled with a metal. Then, in step 609, the boron-containing hardmask is typically removed by CMP after removal of the metal overburden.

실리콘-함유 전구체, 붕소-함유 전구체 및 탄소-함유 전구체를 함유하는 공정 기체를 이용함으로써, SixByCz의 PECVD 증착이 이뤄질 수 있다. 이들 전구체 중 하나 이상은 서로 동일한 분자일 수 있다. 예를 들어, 테트라알킬실란은 탄소-함유 전구체와 실리콘-함유 전구체 모두로서 기능할 수 있다. 디보란은 붕소-함유 전구체로서 사용되고, 알킬실란(가령, 테트라메틸실란), 알케닐실란 및 알키닐실란이 실리콘 및 탄소-함유 전구체로서 사용될 수 있다. 덧붙이자면, 포화 및 불포화 하이드로카본(CxHy)이 탄소-함유 전구체로서 사용될 수 있고, SiH4가 실리콘-함유 전구체로서 사용될 수 있다. PECVD deposition of Si x B y C z may be achieved by using a process gas containing a silicon-containing precursor, a boron-containing precursor and a carbon-containing precursor. One or more of these precursors may be the same molecule. For example, tetraalkylsilanes can function as both carbon-containing precursors and silicon-containing precursors. Diborane is used as a boron-containing precursor, and alkylsilanes (e.g., tetramethylsilane), alkenylsilanes, and alkynylsilanes can be used as silicon and carbon-containing precursors. Additionally, saturated and unsaturated hydrocarbons (C x H y ) can be used as the carbon-containing precursor, and SiH 4 can be used as the silicon-containing precursor.

실리콘-함유 전구체, 붕소-함유 전구체, 탄소-함유 전구체(앞서 설명된 바와 같이) 및 질소-함유 전구체를 포함하는 공정 기체에 플라스마를 형성함으로써, SixByCzNw의 증착이 이뤄질 수 있다. 질소-함유 전구체는 암모니아, 하이드라진, N2 및 이들의 혼합물을 포함할 수 있다. 덧붙이자면, 질소-함유 전구체는 탄소-함유 전구체와 동일하고, 아민(가령, 모노알킬아민, 디알킬아민 및 트리알킬아민)을 포함할 수 있다. 질소-함유 전구체는 붕소-함유 전구체와 동일할 수 있으며, 트리메틸보라진(trimethylborazine)을 포함할 수 있다. 질소-함유 전구체는, 가령 실라잔에서, 실리콘-함유 전구체와 동일할 수 있다. Deposition of Si x B y C z N w may be achieved by forming a plasma in a process gas comprising a silicon-containing precursor, a boron-containing precursor, a carbon-containing precursor (as described above) and a nitrogen- have. The nitrogen-containing precursor may comprise ammonia, hydrazine, N 2, and mixtures thereof. Additionally, the nitrogen-containing precursor is the same as the carbon-containing precursor and may include amines (e.g., monoalkylamines, dialkylamines, and trialkylamines). The nitrogen-containing precursor may be the same as the boron-containing precursor and may include trimethylborazine. The nitrogen-containing precursor may be the same as the silicon-containing precursor, for example, in a silazane.

실리콘-함유 전구체(가령, SiH4), 붕소-함유 전구체(가령, 디보란) 및 질소-함유 전구체(가령, 암모니아, 하이드라진, N2 및 이들의 다양한 혼합물)를 포함하는 공정 기체에 플라스마를 형성함으로써, SixByNw의 증착이 이뤄질 수 있다. The silicon-containing precursor (e.g., SiH 4), boron-containing precursor (e.g., diborane), and nitrogen - to form a plasma in the process gas comprising a containing precursor (e.g., ammonia, hydrazine, N 2, and various mixtures thereof) Deposition of Si x B y N w can be achieved.

붕소-함유 전구체(가령, 디보란)와 질소-함유 전구체(가령, 암모니아, 하이드라진, N2 및 이들의 혼합물)를 포함하는 공정 기체를 이용하여 BxNy가 증착될 수 있다. B x N y can be deposited using a process gas comprising a boron-containing precursor (eg, diborane) and a nitrogen-containing precursor (eg, ammonia, hydrazine, N 2, and mixtures thereof).

붕소-함유 전구체(가령, 디보란)와 탄소-함유 전구체(가령, 포화 또는 불포화 하이드로카본)를 포함하는 공정 기체를 이용하여 BxCy가 증착될 수 있다. 비활성 운반 기체(가령, 헬륨이나 아르곤)는, 이들 붕소-함유 막의 증착 동안 사용되는 공정 기체의 일부분인 것이 일반적이다. 일부 실시예에서, H2도 역시 공정 기체에 포함된다. B x C y can be deposited using a process gas comprising a boron-containing precursor (eg, diborane) and a carbon-containing precursor (eg, saturated or unsaturated hydrocarbon). An inert carrier gas (e.g., helium or argon) is typically part of the process gas used during the deposition of these boron-containing films. In some embodiments, H 2 is also included in the process gas.

도 6B는 다양한 PECVD에 의해 증착되는 SixByCz, SixByNz, SixByCzNw 막에 대한 경도와 응력 매개변수를 도시한다. 도 6C는 상기 도 6B의 막들에 대한 영률 및 응력 매개변수를 도시한다. 획득된 막의 증착 조건 및 속성이 표 3에서 나열된다. Figure 6B shows the hardness and stress parameters for Si x B y C z , Si x B y N z , and Si x B y C z N w films deposited by various PECVD. Figure 6C shows the Young's modulus and stress parameters for the films of Figure 6B above. The deposition conditions and properties of the obtained films are listed in Table 3.

membrane 공정 기체Process gas B2H6/4MS
유량 비
B 2 H 6 / 4MS
Flow ratio
응력(MPa)Stress (MPa) 경도(GPa)Hardness (GPa) 탄성계수(GPa)Elastic modulus (GPa)
1.SixByCzNw 1. Si x B y C z N w B2H6, 4MS, NH3, N2 B 2 H 6 , 4MS, NH 3 , N 2 -555-555 14.514.5 125125 2.SixByNz 2. Si x B y N z B2H6, SiH4, NH3, N2 B 2 H 6 , SiH 4 , NH 3 , N 2 -256-256 13.1213.12 126126 3.SixByNz 3. Si x B y N z B2H6, SiH4, NH3, N2 B 2 H 6 , SiH 4 , NH 3 , N 2 -65-65 13.7213.72 138138 4.SixByCz 4. Si x B y C z B2H6, 4MS, He, H2 B 2 H 6 , 4MS, He, H 2 3.53.5 416416 17.317.3 163163 5.SixByCz 5. Si x B y C z B2H6, 4MS, HeB 2 H 6 , 4MS, He 3.53.5 -284-284 23,5423.54 227227 6.SixByCz 6. Si x B y C z B2H6, 4MS, HeB 2 H 6 , 4MS, He 3.53.5 246246 17.917.9 174174 7.SixByCz 7. Si x B y C z B2H6, 4MS, HeB 2 H 6 , 4MS, He 0.50.5 211211 12.2912.29 103103 8.SixByCz 8. Si x B y C z B2H6, 4MS, HeB 2 H 6 , 4MS, He 1.51.5 430430 15.1515.15 138138

모든 막은, HF RF 전력 밀도가 약 0.08 내지 약 0.30W/㎠이고, LF RF 전력 밀도가 약 0.10 내지 약 0.24W/㎠인 2중 주파수 플라스마를 이용하여 약 2 내지 약 4torr의 압력에서 300㎜ 웨이퍼 상에 증착되었다.All membranes were fabricated using a dual frequency plasma with an HF RF power density of about 0.08 to about 0.30 W / cm 2 and an LF RF power density of about 0.10 to about 0.24 W / cm 2 at a pressure of about 2 to about 4 torr, Lt; / RTI >

일부 실시예에서, SixByCz 막은, 실질적으로 B2H6, 테트라메틸실란(4MS) 및 He으로 이루어진 공정 기체를 이용하여 증착된다. B2H6의 유량은 약 2,000 내지 4,000sccm일 수 있고, 바람직하게는 약 3,500 내지 4,000sccm일 수 있으며, 테트라메틸실란의 유량은 약 1,000 내지 1,5000sccm일 수 있다. 약 3 내지 8slm의 유량의 운반 기체(가령, He)가 사용되는 것이 바람직하다. 일부 실시예에서 약 0.04 내지 0.26W/㎠의 HF RF 전력 밀도와 약 0.14 내지 0.53W/㎠의 LF RF 전력 밀도의 2중 주파수 플라스마가 사용된다. In some embodiments, the Si x B y C z film is deposited using a process gas consisting essentially of B 2 H 6 , tetramethylsilane (4MS) and He. The flow rate of B2H6 can be about 2,000 to 4,000 sccm, preferably about 3,500 to 4,000 sccm, and the flow rate of tetramethylsilane can be about 1,000 to 1,5000 sccm. It is preferred that a carrier gas (e.g., He) at a flow rate of about 3 to 8 slm is used. In some embodiments, a dual frequency plasma with an HF RF power density of about 0.04 to 0.26 W / cm 2 and an LF RF power density of about 0.14 to 0.53 W / cm 2 is used.

획득된 막의 경도가 B2H6와 테트라메틸실란(4MS)의 비에 따라 크게 달라짐이 예기치 않게 발견되었다. 고경도의 붕소-풍부 막을 획득하기 위해, 약 2 이상의, 가령, 약 3 이상의 B2H6/4MS의 유량비가 사용되는 것이 바람직하다. It was unexpectedly found that the hardness of the obtained film varies greatly according to the ratio of B 2 H 6 to tetramethylsilane (4MS). In order to obtain a high hardness boron-rich film, it is preferred that a flow rate ratio of at least about 2, for example at least about 3 B 2 H 6 / 4MS is used.

도 6D는 SixByCz 막의 경도를 B2H6/4MS의 유량 비의 함수로서 도시한다. 유량 비를 약 0.5에서 약 3.5로 증가시킴으로써, 경도는 2배 증가될 수 있음을 알 수 있다. 서로 다른 유량 비에 대한 대응하는 경도 및 응력 값이 표 3에서 나타나 있다. 6D shows the hardness of the Si x B y C z film as a function of the flow rate ratio of B 2 H 6 / 4MS. It can be seen that by increasing the flow rate from about 0.5 to about 3.5, the hardness can be doubled. Corresponding hardness and stress values for different flow rates are shown in Table 3.

구조적으로, 고경도 및 고영률을 갖는 막은, 높은 B-C 결합 함유량을 특징으로 한다. 일부 실시예에서 약 0.35 이상의 BC/[BC+SiC] IR 피크 면적 비를 갖는 고경도 막이 선호된다. 상기 비는 대응하는 약 1120 내지 1160㎝- 1(B-C)와 760 내지 800㎝-1(Si-C)를 중앙값으로 갖는 IR 피크 면적의 비를 의미한다. Structurally, membranes with high hardness and high Young's modulus are characterized by high BC bond content. In some embodiments, a hardness film having a BC / [BC + SiC] IR peak area ratio of about 0.35 or greater is preferred. Means the peak area of the IR ratio having a 1 (BC) and 760 to 800㎝ -1 (Si-C) as a median value, said ratio is from about 1120 to about 1160㎝ corresponding.

도 6E는 다양한 SixByCz 막의 영률 및 응력 매개변수의 종속성을 BC/[BC+SiC] 면적 비의 함수로서 도시한다. 약 0.3 미만의 BC/[BC+SiC]를 갖는 막은 그 이상의 B-C 결합 함유를 갖는 막보다 상당히 더 연성이다. 표 4는 3개의 SixByCz 막에 대해 얻은 데이터를 요약한다. 모든 막들이, (유량 500 내지 3500sccm의) B2H6, (1,000sccm 유량의) 4MS 및 (3,000sccm 유량의) He로 이루어진 공정 기체를 이용하고, 2.1Torr의 압력에서, HF RF 전력 밀도가 약 0.12W/㎠이고, LF RF 전력 밀도가 약 0.22W/㎠인 2중 주파수 플라스마를 이용하여 증착되었다. 경도, 응력 및 영률 매개변수가 B-C 함유의 함수로서 표 4에서 나타난다. Figure 6E shows the dependence of the Young's modulus and stress parameter of various Si x B y C z films as a function of the BC / [BC + SiC] area ratio. A film having a BC / [BC + SiC] less than about 0.3 is significantly more ductile than a film having a BC bond content higher than that. Table 4 summarizes the data obtained for the three Si x B y C z films. All membranes were loaded with B 2 H 6 (flow rate 500 to 3500 sccm), 4MS (at 1,000 sccm flow) and He (at 3,000 sccm flow) Using a fabricated process gas and at a pressure of 2.1 Torr, using a dual frequency plasma with an HF RF power density of about 0.12 W / cm 2 and an LF RF power density of about 0.22 W / cm 2. The hardness, stress and Young's modulus parameters are shown in Table 4 as a function of BC content.

membrane BC/[BC+SiC]BC / [BC + SiC] 응력(MPa)Stress (MPa) 경도(GPa)Hardness (GPa) 탄성계수(GPa)Elastic modulus (GPa) 1.SixByCz 1. Si x B y C z 0.3860.386 439439 17.317.3 163163 2.SixByCz 2. Si x B y C z 0.220.22 211211 12.2912.29 103103 3.SixByCz 3. Si x B y C z 0.3640.364 418418 15.1515.15 138138

일부 실시예에서, LF 전력이 HF 전력보다 높은(가령, LF/HF 전력 비가 약 1.5 이상인, 가령, 약 2 이상인, 가령 약 3 이상인) 2중 주파수 플라스마를 이용하여 SixByCz를 증착하는 것이 바람직하다. 증착 동안 사용되는 LF/HF 전력의 비가 증가하면, 획득된 막의 속성이 개선됨이 발견되었다. LF/HF 전력 비가 증가되면, 최종 막의 굴절률이 증가되고, 막의 굴절률은 막의 경도와 양의 상관관계를 갖는다. 일부 실시예에서, 약 2.3 이상의, 가령 약 2.5 이상의, 가령 약 2.6 이상의 굴절률을 갖는 SixByCz 막이 제공된다. 표 5에서 나타난 바와 같이, 막의 굴절률이 증가하면, LF/HF 전력 비가 증가한다. In some embodiments, Si x B y C z is deposited using a dual frequency plasma in which the LF power is higher than the HF power (e.g., the LF / HF power ratio is greater than or equal to about 1.5, such as greater than about 2, . It has been found that as the ratio of LF / HF power used during deposition increases, the properties of the obtained film are improved. When the LF / HF power ratio is increased, the refractive index of the final film is increased, and the refractive index of the film has a positive correlation with the hardness of the film. In some embodiments, a Si x B y C z film having a refractive index of at least about 2.3, such as at least about 2.5, such as at least about 2.6, is provided. As shown in Table 5, when the refractive index of the film increases, the LF / HF power ratio increases.

막 IDMembrane ID LF/HF 전력 비LF / HF power ratio 굴절률Refractive index Ⅰ.SixByCz I. Si x B y C z 1.861.86 2.5182.518 Ⅱ.SixByCz II.Si x B y C z 4.334.33 2.57142.5714 Ⅲ.SixByCz Ⅲ.Si x B y C z 3.053.05 2.61312.6131 Ⅳ.SixByCz IV. Si x B y C z 0.810.81 2.33822.3382

SixByNz 막에서, 막의 중요한 구조적 특성은 B-N 결합의 함유량이며, 이는 IR 스펙트럼에서의 피크의 면적 비인 BN/[BN+SiN] 비를 이용하여 정량화되며, 여기서 상기 비는 약 1400㎝-1(B-N) 및 820 내지 850㎝-1(Si-N)을 중앙값을 갖는 대응하는 IP 피크 면적 비를 지칭한다. In the Si x B y N z film, the critical structural property of the film is the content of BN bonds, which is quantified using the BN / [BN + SiN] ratio, which is the area ratio of the peaks in the IR spectrum, -1 (BN) and a corresponding IP peak area ratio with a median value of 820 to 850 cm -1 (Si-N).

도 6F는 응력과 영률 모두 이 매개변수에 크게 종속적임을 보여준다. 특히, B-N 결합 함유도가 증가할수록, 압축응력은 빠르게 증가한다. 일부 실시예에서, 약 07 미만의, 가령, 약 0.6 미만의 BN/[BN+SiN]을 갖는 SixByNz 막이 선호된다. 실리콘-함유 전구체와 붕소-함유 전구체의 유량을 적절하게 수정함으로써, 필요에 따라 B-N 결합 함유량이 조절될 수 있다. 표 1은 서로 다른 BN/[BN+SiN] 비를 갖는 막에 대한 막 속성을 도시한다. Figure 6F shows that both stress and Young's modulus are highly dependent on this parameter. In particular, as the BN bond content increases, the compressive stress increases rapidly. In some embodiments, a Si x B y N z film having a BN / [BN + SiN] of less than about 07, such as less than about 0.6, is preferred. By appropriately modifying the flow rates of the silicon-containing precursor and the boron-containing precursor, the BN bond content can be adjusted as needed. Table 1 shows the film properties for the films having different BN / [BN + SiN] ratios.

membrane 공정 기체Process gas BN/[BN+SiN]BN / [BN + SiN] 응력(MPa)Stress (MPa) 경도(GPa)Hardness (GPa) 탄성계수(GPa)Elastic modulus (GPa) 1.SixByNz1.SixByNz B2H6 (4125sccm),
SiH4 (300sccm),
NH3 (825sccm),
N2 (16,500sccm)
B 2 H 6 (4125 sccm),
SiH 4 (300 sccm),
NH 3 (825 sccm),
N 2 (16,500 sccm)
0.770.77 -431-431 12.8912.89 120120
2.SixByNz2.SixByNz B2H6 (4125sccm),
SiH4 (75sccm),
NH3 (825sccm),
N2 (16,500sccm)
B 2 H 6 (4125 sccm),
SiH 4 (75 sccm),
NH 3 (825 sccm),
N 2 (16,500 sccm)
0.660.66 -256-256 13.1213.12 126126
3.SixByNz3.SixByNz B2H6 (4125sccm),
SiH4 (150sccm),
NH3 (825sccm),
N2 (16,500sccm)
B 2 H 6 (4125 sccm),
SiH 4 (150 sccm),
NH 3 (825 sccm),
N 2 (16,500 sccm)
0.590.59 -65-65 13.7213.72 138138

앞서 언급된 바와 같이, 붕소-함유 막은 하드마스크 적용예에 적합하다. 붕소-함유 막의 특별한 이점들 중 하나는 친수성이기 때문에, CMP에 의해 쉽게 제거된다는 것이다. 도 6G는 물방울이 막 위에 위치하는 접촉각 테스트를 이용한, 도핑되지 않은 실리콘 카바이드와 비교되는 다양한 SixByCz 막의 친수 정도(hydrophilicity)를 나타낸다. 막에 대한 물방울의 접촉각이 측정되며, 접촉각이 낮을수록, 더 친수성의 막이다. 표 3에 나열된 SixByCz 막(4-6)이 테스트되었고, 38 내지 42도의 접촉각이 얻어졌다. 이와 달리, 도핑되지 않은 실리콘 카바이드 막은 66도라는 상당히 더 큰 각도로 볼 때, 훨씬 더 소수성이다.As mentioned previously, the boron-containing film is suitable for hardmask applications. One of the special advantages of the boron-containing film is that it is easily removed by CMP because it is hydrophilic. Figure 6G shows the hydrophilicity of various Si x B y C z films compared to undoped silicon carbide using a contact angle test in which water droplets are located on the film. The contact angle of the water droplet to the membrane is measured, and the lower the contact angle, the more hydrophilic the membrane. The Si x B y C z films (4-6) listed in Table 3 were tested and a contact angle of 38 to 42 degrees was obtained. Alternatively, the undoped silicon carbide film is much more hydrophobic when viewed at a much larger angle of 66 degrees.

게르마늄 germanium 니트라이드Knit ride 하드마스크Hard mask  membrane

본 발명의 또 다른 형태에서, GeNx 하드마스크 막이 제공된다. 일부 실시예에서 이들 막은 약 100GPa 이상의, 가령, 약 130GPa 이상의 고영률과 고밀도(가령, 약 4g/㎤ 초과의 밀도)를 특징으로 한다. GeNx 막은 다양한 후공정과 전공정 방식에서 하드마스크로서 사용될 수 있으며, 레이저를 이용한 패턴 정렬을 위해 사용되는 파장에 의해 충분히 투과되고, CMP 또는 습식 에칭 기법에 의해 사용된 후, 기판으로부터 쉽게 제거될 수 있다. In another aspect of the present invention, a GeN x hard mask film is provided. In some embodiments, these membranes are characterized by a high Young's modulus of at least about 100 GPa, such as at least about 130 GPa, and a high density (e.g., a density of greater than about 4 g / cm3). The GeN x film can be used as a hard mask in a variety of post-processes and pre-process schemes and is sufficiently transparent by the wavelengths used for pattern alignment using lasers and can be easily removed from the substrate after being used by CMP or wet etching techniques .

일부 실시예에서, 게르마늄-풍부 GeNx 하드마스크 막이 사용되는 것이 바람직하다. 이러한 게르마늄-풍부 막은 수소를 제외하고, 약 60 원자% 이상의, 가령, 약 70 원자% 이상의, 가령, 약 75 원자% 이상의 게르마늄 농도를 갖는다. 고 게르마늄 함유량에 의해, 게르마늄 니트라이드 막은, 상기 막이 패터닝에서 사용된 후, CMP와 습식 에칭 제거에 더 잘 반응하게 된다. 일부 실시예에서, 이러한 제거는, CMP 또는 습식 에칭 작업 중에 하드마스크와 하이드로젠 페록사이드를 포함하는 조성물을 접촉시킴으로써, 이뤄진다. 예를 들어, 하이드로젠 펙록사이드를 함유하는 산성 CMP 슬러리가 사용될 수 있다. In some embodiments, a germanium-rich GeN x hardmask film is preferably used. Such a germanium-rich film has a germanium concentration of at least about 60 atomic%, for example, at least about 70 atomic%, for example, at least about 75 atomic%, excluding hydrogen. Due to the high germanium content, the germanium nitride film becomes more responsive to CMP and wet etch removal after the film is used in patterning. In some embodiments, such removal is accomplished by contacting the hard mask with a composition comprising hydrogen peroxide during a CMP or wet etching operation. For example, an acidic CMP slurry containing hydrogen peroxide may be used.

하나의 예시에서, 약 79 원자%의 게르마늄 농도, 약 144GPa의 영률 및 약 4.4g/㎤의 밀도를 갖는 GeNx 하드마스크 막이 제조되었다. In one example, a GeNx hard mask film having a germanium concentration of about 79 atomic%, a Young's modulus of about 144 GPa, and a density of about 4.4 g / cm3 was prepared.

게르마늄 니트라이드 하드마스크는 다양한 CVD 및 PCD 기법을 이용하여 제조될 수 있으며, 상기 다양한 기법들 중 PECVD를 예로 들어 설명할 것이다. 도 7에서 도시된 후공정 순서도를 참조하면, 공정은, 단계(701)에서, 노출된 유전체 층을 포함하는 반도체 기판을 PECVD 공정 챔버에 제공함으로써 시작된다. 단계(703)에서, 약 60 원자%의 게르마늄 함유량을 갖는 GeNx 하드마스크 막이 증착된다. 게르마늄 함유 전구체(가령, 게르만(germane))와 질소-함유 전구체(가령, NH3, N2, N2H4 및 다양한 이들의 혼합물)를 포함하는 공정 기체를 공정 챔버로 도입하고, 플라스마를 형성하여, 게르마늄 니트라이드 층을 증착함으로써 증착이 수행된다. 상기 증착 공정 기체는 선택사항으로서, 비활성 기체(가령, 헬륨 또는 아르곤)를 포함할 수 있다. 질소-함유 전구체의 유량과 게르마늄-함유 전구체의 유량의 비는, 예를 들어, 게르마늄-풍부 게르마늄 니트라이드 막을 형성하도록 선택된다. 하나의 예에서, 전구체가 게르만과 암모니아인 경우, 암모니아에 대한 게르만의 유량 비는 약 0.05 이상이다. Germanium nitride hardmasks can be fabricated using a variety of CVD and PCD techniques, and will illustrate PECVD among the various techniques. Referring to the post-process flow diagram shown in FIG. 7, the process begins at step 701 by providing a PECVD process chamber with a semiconductor substrate comprising an exposed dielectric layer. At step 703, a GeN x hardmask film having a germanium content of about 60 atomic percent is deposited. A process gas comprising a germanium containing precursor (e.g., germane) and a nitrogen-containing precursor (e.g., NH3, N2, N2H4 and a variety of mixtures thereof) is introduced into the process chamber and a plasma is formed to form germanium nitride Deposition is carried out by depositing a layer. The deposition process gas may optionally include an inert gas (e.g., helium or argon). The ratio of the flow rate of the nitrogen-containing precursor to the flow rate of the germanium-containing precursor is selected to form, for example, a germanium-rich germanium nitride film. In one example, if the precursor is germane and ammonia, the germane flow ratio to ammonia is at least about 0.05.

하나의 예시적 실시예에서, 실질적으로 (약 50 내지 100sccm의 유량의) 게르만, (약 600 내지 1200sccm의 유량의) NH3 및 (약 12slm의 유량의) N2로 이루어진 공정 기체를 공정 챔버로 흘려보내고, 2중 주파수 플라스마를 형성하여, 약 350 내지 450℃의 온도에서 게르마늄 니트라이드 막을 기판 상에 증착시킴으로써(이때, 상기 온도는 지지대의 온도를 지칭함), GeNx 하드마스크가 300㎜ 웨이퍼 상에서 제조된다. 이 예시에서 증착 동안 압력은 약 2.5 내지 4 Torr이다. 이 예시적 증착 공정에서, (약 0.18W/㎠의 전력 밀도의) 약 13.56㎒의 주파수의 HF RF 성분과, (약 0.23W/㎠의 전력 밀도의) 약 400㎑dml 주파수에서의 LF RF 성분이 사용된다. 일부 실시예에서, HF 성분의 전력 밀도보다 더 높은 전력 밀도에서 LF 성분을 사용하는 것이 바람직하다. In one exemplary embodiment, a process gas consisting of germane (at a flow rate of about 50 to 100 sccm), NH 3 (at a flow rate of about 600 to 1200 sccm) and N 2 ( at a flow rate of about 12 slm) By forming a dual frequency plasma and depositing a germanium nitride film on the substrate at a temperature of about 350 to 450 DEG C where the temperature refers to the temperature of the support, a GeN x hardmask is deposited on a 300 mm wafer . In this example, the pressure during deposition is about 2.5 to 4 Torr. In this exemplary deposition process, an HF RF component at a frequency of about 13.56 MHz (of a power density of about 0.18 W / cm 2) and an LF RF component at a frequency of about 400 kHz (of a power density of about 0.23 W / cm 2) Is used. In some embodiments, it is desirable to use the LF component at a higher power density than the power density of the HF component.

도 7의 공정 순서도를 다시 살펴보면, 게르마늄 니트라이드 막이 증착된 후, 단계(707)에서, 예를 들면 도 1A-1K에서 도시된 바와 같이, 유전체가 패터닝되어, 트렌치 및/또는 비아를 형성할 수 있다. 건식 에칭 패터닝 동안(가령, 유전체의 반응성 이온 에칭(RIE) 동안) 게르마늄 니트라이드 하드마스크가 사용될 수 있다. 예를 들어, 노출된 하드마스크를 갖는 기판과 유전체 층을, CxFy(가령, CF4), 비활성 기체(가령, Ar) 및 산화제(가령, O2)를 포함하는 공정 기체를 이용한 플라스마와 접촉시킴으로써, 노출된 GeNx가 존재하는 곳의 유전체에서 비아 및/또는 트렌치가 에칭될 수 있다. 그 밖의 다른 건식 에칭, 가령, Cl2 및 N2를 포함하는 공정 기체를 이용하는 플라스마 에칭이 사용될 수 있다. Referring again to the process flow diagram of FIG. 7, after the germanium nitride film has been deposited, at step 707, the dielectric may be patterned to form trenches and / or vias, for example, as shown in FIGS. 1A-1K. have. A germanium nitride hard mask may be used during dry etch patterning (e.g., during reactive ion etching (RIE) of the dielectric). For example, by contacting the substrate with the dielectric layer having the exposed hard mask, CxFy (e.g., CF 4), inert gas (e.g., Ar) and an oxidizing agent (e.g., O 2) a process gas containing the plasma using , Vias and / or trenches may be etched in the dielectric where exposed GeN x is present. Other dry etching, such as plasma etching using a process gas comprising Cl 2 and N 2 , may be used.

유전체가 패터닝된 후, 단계(707)에서 비아 및/또는 트렌치에 금속이 충전된다. 예를 들어, 전기도금법에 의해 구리가 오목한 특징부 내부로 증착될 수 있다. 그 후, 단계(709)에서, CMP에 의해 하드마스크가 제거된다. 예를 들어, 이는 구리 오버버든과 확산 장벽 물질을 CMP에 의해 제거하는 동안 이뤄질 수 있다. 일부 실시예에서, 페록사이드(가령, 하이드로젠 페록사이드)를 포함하는 산성 pH를 갖는 CMP 슬러리가 GeNx 하드마스크를 제거하기 위해 사용된다. 그 밖의 다른 실시예에서, (가령, 3:1 비로 존재할 수 있는 H2SO4과 H2O2를 포함하는 용액을 이용한) 습식 에칭에 의해 GeNx 하드마스크 막이 제거될 수 있다. After the dielectric is patterned, the vias and / or trenches are filled with metal in step 707. For example, copper may be deposited into recessed features by electroplating. Then, in step 709, the hard mask is removed by CMP. For example, this can be done while removing copper overburden and diffusion barrier material by CMP. In some embodiments, a CMP slurry having an acidic pH comprising peroxides (e.g., hydrogen peroxide) is used to remove the GeN x hardmask. In other embodiments, the GeN x hardmask film may be removed by wet etching (e.g., using a solution comprising H 2 SO 4 and H 2 O 2 , which may be present in a 3: 1 ratio).

도 7의 공정 순서도가 후공정 방식을 도시한다. 또한 GeNx 막이 전공정에서의 하드마스크로서 사용될 수 있다. 덧붙여, 게르마늄 니트라이드 막이 습식 에칭 동안(가령, 플루오라이드-함유 습식 에칭 화학반응을 이용하는 실리콘 옥사이드계 물질의 패터닝 동안), 하드마스크로서 기능할 수 있다. The process flow chart of Fig. 7 shows the post-process method. The GeN x film can also be used as a hard mask in the previous process. In addition, a germanium nitride film can function as a hard mask during wet etching (e.g., during patterning of a silicon oxide-based material using a fluoride-containing wet etch chemistry).

장치Device

본원에서 기재되는 하드마스크 물질은 여러 다른 유형의 장치(가령, CVD 및 PVD 장치)에서 증착될 수 있는 것이 일반적이다. 바람직한 실시예에서, 장치는 HF RF 및 LF RF 전원을 포함하는 PECVD 장치이다. 적합한 장치의 예로는, Novellus Systems, Inc(소재지: 캘리포니아, 산 호세) 사에서 상업적으로 제공하는 SEQUEL®과 VECTOR® 툴이 있다. It is common that the hard mask material described herein can be deposited in many different types of devices (e.g., CVD and PVD devices). In a preferred embodiment, the device is a PECVD device that includes HF RF and LF RF power. An example of a suitable device is the SEQUEL ® and VECTOR ® tools commercially available from Novellus Systems, Inc. (San Jose, CA).

일반적으로, 장치는, 하나 이상의 웨이퍼를 하우징하고 웨이퍼 공정에 적합한 하나 이상의 챔버, 또는 “반응기(reactor)”(때때로 복수의 스테이션을 포함함)를 포함할 것이다. 에칭 챔버는 공정을 위해 하나 이상의 웨이퍼를 하우징할 수 있다. 상기 하나 이상의 챔버는 지정된 하나 이상의 위치에 웨이퍼를 유지한다. 상기 웨이퍼는 상기 위치 내에서 운동(가령, 회전, 진동 또는 그 밖의 다른 교반 운동)하거나, 운동하지 않는다. 일부 실시예에서, 공정 동안 반응기 내에서 하드마스크 층 증착 중인 웨이퍼가 하나의 스테이션에서 또 다른 스테이션으로 이동된다. 공정 중에, 각각의 웨이퍼가 지지대(pedestal), 웨이퍼 척 및/또는 그 밖의 다른 웨이퍼 고정 장치에 의해 제 위치로 유지된다. 웨이퍼 가열이 이뤄질 작업을 위해, 상기 장치는 가열기(가령, 히팅 플레이트)를 포함할 수 있다. Generally, an apparatus will include one or more chambers, or " reactors " (sometimes including a plurality of stations), that house one or more wafers and are suitable for wafer processing. The etch chamber may house one or more wafers for processing. The at least one chamber holds the wafer at one or more designated locations. The wafer does not move (e.g., rotate, vibrate or otherwise stir) within the position, or do not exercise. In some embodiments, the wafer being hard mask layer deposited in the reactor during the process is moved from one station to another. During the process, each wafer is held in place by a pedestal, wafer chuck, and / or other wafer holding device. For operations in which wafer heating is to occur, the apparatus may comprise a heater (e.g., a heating plate).

도 8은 본 발명을 구현하기 위해 배열된 적합한 PECVD 반응기의 다양한 반응기 구성요소를 나타내는 단순한 블록도를 도시한다. 도시된 바와 같이, 반응기(800)는 공정 챔버(824)를 포함하며, 상기 공정 챔버(824)에는 반응기의 그 밖의 다른 구성요소들이 내장되어 있으며, 상기 공정 챔버(824)는, 접지된 가열기 블록(820)과 연계되어 동작하는 샤워헤드(814)를 포함하는 커패시터형 시스템에 의해 발생된 플라스마를 유지하는 기능을 수행한다. 고주파수 RF 발생기(804) 및 저주파수 RF 발생기(802)가 정합 네트워크(matching network, 806)로 연결되며, 상기 정합 네트워크는 샤워헤드(814)로 연결된다.Figure 8 shows a simple block diagram showing various reactor components of a suitable PECVD reactor arranged to implement the present invention. As shown, the reactor 800 includes a process chamber 824 in which other components of the reactor are embedded, and the process chamber 824 is connected to a grounded heater block 824, And a showerhead 814 that operates in conjunction with the showerhead 820. The showerhead 814 also includes a showerhead 814, A high frequency RF generator 804 and a low frequency RF generator 802 are connected to a matching network 806 and the matching network is connected to a showerhead 814.

반응기 내에서, 웨이퍼 지지대(818)는 기판(816)을 지지한다. 상기 지지대는, 증착 반응 동안, 또는 증착 반응들 사이에, 기판을 유지하거나 이동하기 위해 척(chuck), 또는 포크(fork), 또는 리프트 핀(lift pin)을 포함하는 것이 일반적이다. 상기 척은, 산업 및/또는 연구에서 이용 가능한 정전 척, 기계 척, 또는 그 밖의 다른 다양한 타입의 척일 수 있다. Within the reactor, the wafer support table 818 supports the substrate 816. The support generally includes a chuck, or a fork, or a lift pin for holding or moving the substrate during or during the deposition reactions. The chuck can be an electrostatic chuck, a mechanical chuck, or any other various types of chuck available in industry and / or research.

공정 기체가 주입구(812)를 통해 도입된다. 복수의 공급원 기체 라인(810)이 다기관(808)으로 연결된다. 상기 기체는 미리 혼합되어 있거나 그렇지 않을 수 있다. 공정의 증착 및 플라스마 처리 과정 동안 올바른 기체가 전달됨을 보장하기 위해, 적정 밸브 수단 및 질량 유량 제어 수단이 사용된다. 화학적 전구체가 액체 형태로 전달되는 경우, 액체 흐름 제어 수단이 사용된다. 그 후, 증착 챔버에 도달하기 전에, 기체의 기화점 초과로 가열된 다기관에서의 기체의 수송 동안, 상기 액체는 기화되어 그 밖의 다른 공정 기체와 혼합된다. Process gas is introduced through inlet 812. A plurality of source gas lines 810 are connected to the manifold 808. The gas may or may not be premixed. Titration valve means and mass flow control means are used to ensure that the correct gas is delivered during the deposition of the process and the plasma treatment process. When the chemical precursor is delivered in liquid form, a liquid flow control means is used. Thereafter, prior to reaching the deposition chamber, during transport of the gas in the manifold heated to above the vaporization point of the gas, the liquid is vaporized and mixed with other process gases.

공정 기체는 배출구(822)를 통해 챔버(824)를 빠져 나간다. 진공 펌프(826)(가령, 1 또는 2 스테이지 기계 건식 펌프 및/또는 터보분자 펌프(turbomolecular))가, 폐쇄 루프에 의해 제어되는 흐름 제한 장치(flow restriction device)(가령, 스로틀 밸브 또는 펜듈럼 밸브)에 의해, 공정 기체를 배출시키고, 반응기 내에서 적합한 저압력을 유지한다. The process gas exits chamber 824 through outlet 822. A vacuum pump 826 (e.g., a one- or two-stage mechanical dry pump and / or turbomolecular) is connected to a flow restriction device (e.g., a throttle valve or a pendulum valve) To discharge the process gas and maintain a suitable low pressure in the reactor.

실시예들 중 하나에서, 하드마스크 층을 증착하기 위해 다-스테이션 장치가 사용될 수 있다. 상기 다-스테이션 반응기에 의해, 하나의 챔버 환경에서 서로 다른 공정들, 또는 동일한 다수의 공정을 동시에 수행할 수 있으며, 이로 인해서, 웨이퍼 처리의 효율이 증가된다. 이러한 장치의 예로는, 도 9에서 도시된 장치가 있다. 개략적 평면도가 도시된다. 장치 챔버(901)는 4개의 스테이션(903 내지 909)을 포함한다. 일반적으로, 다-스테이션 장치의 하나의 챔버 내에서 임의의 개수의 스테이션이 가능하다. 스테이션(903)은 기판 웨이퍼의 적재(loading)와 하적(unloading)을 위해 사용된다. 스테이션(903 내지 909)은 서로 동일하거나 서로 다른 기능을 가질 수 있으며, 일부 실시예에서는, 개별적인 공정 조건(가령, 서로 다른 온도 상황) 하에서 동작할 수 있다. In one of the embodiments, a multi-station device may be used to deposit a hardmask layer. By the multi-station reactor, different processes in the same chamber environment, or the same plurality of processes can be performed at the same time, thereby increasing the efficiency of wafer processing. An example of such a device is the device shown in Fig. A schematic top view is shown. The apparatus chamber 901 includes four stations 903 to 909. In general, any number of stations within one chamber of a multi-station device is possible. Station 903 is used for loading and unloading substrate wafers. The stations 903 to 909 may have the same or different functions and, in some embodiments, may operate under separate process conditions (e.g., different temperature conditions).

일부 실시예에서, 장치의 하나의 스테이션에서 전체 하드마스크 층이 증착된다. 또 다른 실시예에서, 제 1 스테이션에서 하드마스크 층의 제 1 부분이 증착되고, 그 후, 웨이퍼가 제 2 스테이션으로 이동되고, 상기 제 2 스테이션에서, 상기 하드마스크 층의 제 2 부분이 증착된다. 이는 웨이퍼가 제 1 스테이션으로 돌아오고, 장치를 빠져나갈 때까지 계속된다. In some embodiments, the entire hard mask layer is deposited in one station of the apparatus. In another embodiment, a first portion of the hard mask layer is deposited in a first station, then a wafer is transferred to a second station, and at the second station, a second portion of the hard mask layer is deposited . This continues until the wafer returns to the first station and exits the device.

하나의 실시예에서, 장치의 스테이션들 중 하나에서, 실리콘 카바이드의 허브-층의 증착 및 플라스마 후-처리가 수행된다. 그 밖의 다른 실시예에서, 서브-층들의 증착이 하나 이상의 전용 스테이션에서 수행되며, 플라스마 후-처리는 하낭 l상의 서로 다른 스테이션에서 수행된다. In one embodiment, in one of the stations of the apparatus, the deposition of the hub-layer of silicon carbide and the post-plasma treatment are carried out. In another embodiment, the deposition of the sub-layers is performed in one or more dedicated stations, and the plasma post-processing is performed in different stations on the substrate.

하나의 실시예에서, 스테이션(903, 905, 907 및 909)은 모두 하드마스크 층의 증착을 위해 기능한다. 기판을 지지대로부터, 다음 공정 스테이션에서 기판이 위치할 정확한 위치까지로 들어 올리기 위해 인덱스 플레이트(911)가 사용된다. 상기 웨이퍼 기판이 스테이션(903)에 적재된 후, 연속적으로 스테이션(905, 907 및 909)으로 인덱싱되며, 이때, 하드마스크 층의 일부분들이 각각의 스테이션에서 증착된다. 처리된 웨이퍼가 스테이션(903)에서 하적되고, 모듈에 새로운 웨이퍼로 채워진다. 보통의 작업 동안, 개별 기판은 각각의 스테이션을 차지하고, 공정이 반복될 때마다, 기판이 새로운 스테이션으로 이동된다. 따라서 4개의 스테이션(903, 905, 907 및 909)을 갖는 장치는 4개의 웨이퍼의 동시 처리를 가능하게 한다. In one embodiment, stations 903, 905, 907 and 909 all function for deposition of a hard mask layer. An index plate 911 is used to lift the substrate from the support to the correct position at which the substrate will be located at the next process station. After the wafer substrate is loaded into the station 903, it is successively indexed to stations 905, 907 and 909, where portions of the hard mask layer are deposited at each station. The processed wafer is loaded at the station 903, and the module is filled with a new wafer. During normal operation, individual substrates occupy each station, and each time the process is repeated, the substrate is moved to the new station. Thus, an apparatus with four stations 903, 905, 907 and 909 enables simultaneous processing of four wafers.

특정 공정 변수(가령, HF 및 LF 전력, 전구체 유량, 온도, 압력 등)의 모니터링, 유지관리 및/또는 조정을 위한 프로그램 인스트럭션을 포함하는 제어기 유닛(913)에 의해, 공정 조건이 제어될 수 있고, 공정 흐름 자체도 제어될 수 있다. 상기 제어기는 본원에서 기재된 하드마스크 증착 공정 중 임의의 공정을 수행하기 위한 프로그램 인스트럭션을 포함한다. 예를 들어, 일부 실시예에서, 제어기는 실리콘 카바이드 서브-층을 증착하는 프로그램 인스트럭션, 퍼징 기체를 이용해 챔버를 퍼징하는 프로그램 인스트럭션, 플라스마-처리 기체를 이용하여 서브-층을 플라스마 처리하는 프로그램 인스트럭션, 증착과 플라스마-처리 공정을 원하는 만큼(가령, 10개 이상의 서브-층이 증착되고 처리됨) 반복하기 위한 프로그램 인스트럭션을 포함한다. 일부 실시예에서, 제어기는 붕소-함유 하드마스크를 증착하기 위한 프로그램 인스트럭션을 포함하며, 상기 붕소-함유 하드마스크를 증착하기 위한 프로그램 인스트럭션은, 앞서 기재된 바 있는 적정한 조성의 공정 기체를 흐르게 하는 인스트럭션과, 적정 전력 레벨(가령, 약 1.5 이상의 LF/HF 전력 비)을 이용하여 플라스마를 생성하는 인스트럭션을 포함한다. 그 밖의 다른 실시예에서, 제어기는 GeNx 하드마스크를 증착하기 위한 프로그램 인스트럭션을 포함하며, 상기 GeNx 하드마스크를 증착하기 위한 프로그램 인스트럭션은, 게르마늄-함유 전구체와 질소-함유 전구체를 포함하는 공정 기체를, 약 60 원자% 이상의 게르마늄을 함유하는 막을 형성시킬 유량으로, 흐르게 하는 프로그램 인스트럭션을 포함한다. 제어기는 서로 다른 장치 스테이션을 위해, 서로 다른, 또는 동일한 인스트럭션을 포함할 수 있으며, 이로써, 장치 스테이션들은 독립적으로, 또는 동시에 동작할 수 있다. The process conditions can be controlled by a controller unit 913 including program instructions for monitoring, maintaining and / or adjusting certain process variables (e.g., HF and LF power, precursor flow rate, temperature, pressure, etc.) , The process flow itself can also be controlled. The controller includes program instructions for performing any of the hard mask deposition processes described herein. For example, in some embodiments, the controller includes program instructions for depositing a silicon carbide sub-layer, program instructions for purging a chamber using a purging gas, program instructions for plasma processing a sub-layer using a plasma- And program instructions for repeating deposition and plasma-processing processes as desired (e.g., ten or more sub-layers are deposited and processed). In some embodiments, the controller includes program instructions for depositing a boron-containing hardmask, the program instructions for depositing the boron-containing hardmask include instructions for flowing a process gas of a suitable composition as described above, and , And an appropriate power level (e.g., an LF / HF power ratio of about 1.5 or more). In some other embodiments, the controller is GeN x comprises program instructions for depositing a hard mask, program instructions for depositing said GeN x hardmask is germanium-process gas comprising containing precursor-containing precursor and a nitrogen At a flow rate to form a film containing about 60 atomic percent or more of germanium. The controller may include different or the same instructions for different device stations, whereby the device stations may operate independently or simultaneously.

본원에서 예제 및 실시예들은 설명을 위한 목적으로 기재된 것이며, 이러한 관점에서, 다양한 변형예나 변경예가 해당 업계 종사자에게 자명할 것이다. 다양한 세부사항이 명료성을 위해 생략되었지만, 다양한 설계적 치환예가 구현될 수 있다. 따라서 본 발명의 예제들은 제한이 아니라 설명적 예시로서 여겨질 것이다. 특정 실시예에서, 하드마스크 막은 반드시 리소그래피에서의 마스킹을 위해 사용될 수 있는 것은 아니며, 단순히, 아래 위치하는 물질을 위한 단단한 보호 층으로서 기능할 수 있다.It should be noted that the examples and embodiments herein are for illustrative purposes only, and in that respect, various modifications and variations will be apparent to those skilled in the art. While various details have been omitted for clarity, various design permutations may be implemented. Accordingly, the examples of the invention are to be regarded as illustrative and not restrictive. In certain embodiments, the hard mask film may not necessarily be used for masking in lithography, but may simply function as a hard protective layer for underlying material.

Claims (21)

반도체 기판 상에 하드마스크 막을 형성하는 방법에 있어서,
PECVD(plasma-enhanced chemical vapor deposition) 프로세스 챔버 내에서 반도체 기판을 수용하는 단계; 및
적어도 100 GPa의 영률(Young's modulus)을 갖는 게르마늄-풍부 GeNx  하드마스크 막을 형성하는 단계를 포함하는, 하드마스크 막을 형성하는 방법.
A method of forming a hard mask film on a semiconductor substrate,
Receiving a semiconductor substrate in a plasma-enhanced chemical vapor deposition (PECVD) process chamber; And
Germanium-rich GeN x having a Young's modulus of at least 100 GPa   And forming a hard mask film.
제 1 항에 있어서,
상기 막은 수소를 제외하고 적어도 60 원자%의 게르마늄을 포함하는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the film comprises at least 60 atomic percent of germanium except hydrogen.
제 2 항에 있어서,
상기 게르마늄-풍부 막은 수소를 제외하고 적어도 70 원자%의 게르마늄을 포함하는, 하드마스크 막을 형성하는 방법.
3. The method of claim 2,
Wherein the germanium-rich film comprises at least 70 atomic percent germanium, excluding hydrogen.
제 1 항에 있어서,
상기 막은 적어도 4 g/㎤의 밀도를 갖는, 하드마스크 막 형성하는 방법.
The method according to claim 1,
Wherein the film has a density of at least 4 g / cm < 3 >.
제 4 항에 있어서,
상기 막은 정렬을 위해 사용된 파장에 실질적으로 투과성인, 하드마스크 막을 형성하는 방법.
5. The method of claim 4,
Wherein the film is substantially transmissive to the wavelength used for alignment.
제 5 항에 있어서,
상기 파장은 스펙트럼의 가시 부분 또는 근적외선 부분인, 하드마스크 막을 형성하는 방법.
6. The method of claim 5,
Wherein the wavelength is a visible or near-infrared portion of the spectrum.
제 1 항에 있어서,
상기 막은 PECVD에 의해 형성되는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the film is formed by PECVD.
제 1 항에 있어서,
상기 막은 상기 반도체 기판을 플라즈마에 게르마늄-함유 전구체 및 질소-함유 전구체를 포함하는 프로세스 가스와 접촉시킴으로써 형성되는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the film is formed by contacting the semiconductor substrate with a process gas comprising a germanium-containing precursor and a nitrogen-containing precursor in a plasma.
제 8 항에 있어서,
상기 게르마늄-함유 전구체는 게르만을 포함하고, 상기 질소-함유 전구체는 암모니아를 포함하는, 하드마스크 막을 형성하는 방법.
9. The method of claim 8,
Wherein the germanium-containing precursor comprises germane and the nitrogen-containing precursor comprises ammonia.
제 9 항에 있어서,
상기 암모니아에 대한 게르만의 플로우 레이트 비는 적어도 0.05인, 하드마스크 막을 형성하는 방법.
10. The method of claim 9,
0.0 > germane < / RTI > flow rate to ammonia is at least 0.05.
제 8 항에 있어서,
상기 막을 형성하는 단계는 HFRF 및 LFRF 플라즈마를 사용하여 상기 막을 증착하는 단계를 포함하고, LFRF 전력 레벨은 HFLF 전력 레벨보다 높은, 하드마스크 막을 형성하는 방법.
9. The method of claim 8,
Wherein forming the film comprises depositing the film using HFRF and LFRF plasma, wherein the LFRF power level is higher than the HFLF power level.
제 1 항에 있어서,
상기 하드마스크 막은 3.0 미만의 유전 상수를 갖는 유전체 층 상에 증착되는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the hard mask film is deposited on a dielectric layer having a dielectric constant of less than 3.0.
제 1 항에 있어서,
상기 하드마스크 막은 2.8 미만의 유전 상수를 갖는 다공성 유전체 층 상에 증착되는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the hard mask film is deposited on a porous dielectric layer having a dielectric constant of less than 2.8.
제 1 항에 있어서,
상기 막은 500 Å 내지 6,000 Å의 두께로 형성되는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
Wherein the film is formed to a thickness of 500 ANGSTROM to 6,000 ANGSTROM.
제 1 항에 있어서,
상기 GeNx 막 상에 포토레지스트 층 및/또는 반사방지층을 증착하는 단계를 더 포함하는, 하드마스크 막을 형성하는 방법.
The method according to claim 1,
The GeN x Further comprising the step of depositing a photoresist layer and / or an antireflective layer on the film.
제 15 항에 있어서,
상기 GeNx  하드마스크를 사용하여 리소그래피 프로세스를 수행하는 단계, 및 상기 리소그래피 프로세스가 완료된 후, 상기 GeNx  하드마스크를 제거하는 단계를 더 포함하는, 하드마스크 막을 형성하는 방법.
16. The method of claim 15,
The GeN x   Performing a lithography process using a hard mask, and after the lithography process is completed, exposing the GeN x   And removing the hard mask. ≪ Desc / Clms Page number 20 >
제 16 항에 있어서,
상기 GeNx  하드마스크를 제거하는 단계는 CMP(chemical mechanical polishing)를 포함하는, 하드마스크 막을 형성하는 방법.
17. The method of claim 16,
The GeN x   Wherein removing the hard mask comprises chemical mechanical polishing (CMP).
제 16 항에 있어서,
상기 리소그래피 프로세스는 노출된 GeNx 막의 존재시 유전체 층을 에칭하는 것을 포함하는, 하드마스크 막을 형성하는 방법.
17. The method of claim 16,
The lithographic process may include exposing GeN x And etching the dielectric layer in the presence of the film.
제 18 항에 있어서,
상기 에칭은 반응성 이온 에칭(RIE: Reactive Ion Etching)을 포함하는, 하드마스크 막을 형성하는 방법.
19. The method of claim 18,
Wherein the etching comprises reactive ion etching (RIE).
(a) PECVD 프로세스 챔버;
(b) 증착 동안 반도체 기판을 제자리에 홀딩하도록 구성된 지지대; 및
(c) 게르마늄-함유 전구체 및 질소-함유 전구체를 포함하는 프로세스 가스를 흘리고 기판 상에 적어도 100 GPa의 영률을 갖는 게르마늄-풍부 GeNx  하드마스크 막을 증착하도록 플라즈마를 형성하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 포함하는, 반도체 프로세싱 장치.
(a) a PECVD process chamber;
(b) a support configured to hold the semiconductor substrate in place during deposition; And
(c) flowing a process gas comprising a germanium-containing precursor and a nitrogen-containing precursor and forming a germanium-rich GeN x having a Young's modulus of at least 100 GPa on the substrate   And a controller including program instructions for forming a plasma to deposit a hard mask film.
유전체 층과 접촉하여 위치하는 적어도 100 GPa의 영률을 갖는 게르마늄-풍부 GeNx  하드마스크 층을 포함하는, 부분적으로 제조된 반도체 디바이스. Germanium-rich GeN x having a Young's modulus of at least 100 GPa located in contact with the dielectric layer   A hard mask layer, comprising: a hard mask layer;
KR1020170147917A 2009-12-04 2017-11-08 Hardmask materials KR101907802B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/631,709 2009-12-04
US12/631,691 US8247332B2 (en) 2009-12-04 2009-12-04 Hardmask materials
US12/631,691 2009-12-04
US12/631,709 US8178443B2 (en) 2009-12-04 2009-12-04 Hardmask materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020100123145A Division KR101798235B1 (en) 2009-12-04 2010-12-06 Hardmask materials

Publications (2)

Publication Number Publication Date
KR20170126827A true KR20170126827A (en) 2017-11-20
KR101907802B1 KR101907802B1 (en) 2018-12-05

Family

ID=44130378

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020100123145A KR101798235B1 (en) 2009-12-04 2010-12-06 Hardmask materials
KR1020170147917A KR101907802B1 (en) 2009-12-04 2017-11-08 Hardmask materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020100123145A KR101798235B1 (en) 2009-12-04 2010-12-06 Hardmask materials

Country Status (4)

Country Link
JP (1) JP5656010B2 (en)
KR (2) KR101798235B1 (en)
CN (2) CN105185707B (en)
TW (2) TWI505364B (en)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5723243B2 (en) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 Film forming method, semiconductor device manufacturing method including the same, film forming apparatus, and semiconductor device
CN103258779B (en) * 2012-02-17 2015-05-20 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and manufacturing method thereof
JP5860734B2 (en) * 2012-03-13 2016-02-16 株式会社ライテック研究所 Hard coating member and method for producing the same
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6007031B2 (en) * 2012-08-23 2016-10-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2014078579A (en) * 2012-10-10 2014-05-01 Renesas Electronics Corp Semiconductor device manufacturing method
KR102178326B1 (en) * 2012-12-18 2020-11-13 램 리써치 코포레이션 Oxygen-containing ceramic hard masks and associated wet-cleans
JP6111097B2 (en) * 2013-03-12 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6111106B2 (en) * 2013-03-19 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US20150024152A1 (en) * 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
CN104947085B (en) * 2014-03-31 2017-12-19 中芯国际集成电路制造(上海)有限公司 The lithographic method of the deposition process of mask, mask and semiconductor devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
CN108475624B (en) * 2016-02-29 2023-10-20 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
CN108220922B (en) 2016-12-15 2020-12-29 东京毅力科创株式会社 Film forming method, boron film, and film forming apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6914143B2 (en) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 Substrate processing method, substrate processing equipment, substrate processing system, substrate processing system control device, and semiconductor substrate manufacturing method
KR102020211B1 (en) * 2017-01-09 2019-11-04 주식회사 테스 Process for forming amorphous silicon layer including carbon and/or boron
JP7229929B2 (en) * 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド Boron Doped Tungsten Carbide for Hard Mask Applications
JP6914107B2 (en) * 2017-06-05 2021-08-04 東京エレクトロン株式会社 Boron film removal method
CN107742607B (en) * 2017-08-31 2021-05-11 重庆中科渝芯电子有限公司 Method for manufacturing thin film resistor by ICP dry etching
US10474027B2 (en) * 2017-11-13 2019-11-12 Macronix International Co., Ltd. Method for forming an aligned mask
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
KR20220056248A (en) 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11508573B2 (en) * 2019-12-31 2022-11-22 Micron Technology, Inc. Plasma doping of gap fill materials
US11676813B2 (en) 2020-09-18 2023-06-13 Applied Materials, Inc. Doping semiconductor films
CN114664649B (en) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 Optimization method of silicon carbide high depth-to-width ratio groove etching process
CN115241126B (en) * 2022-09-21 2022-12-30 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092742A (en) * 1996-08-21 1998-04-10 Samsung Electron Co Ltd Anti-reflective composition containing germanium and pattern forming method using the same
KR20060134964A (en) * 2003-12-26 2006-12-28 닛산 가가쿠 고교 가부시키 가이샤 Composition for forming nitride coating film for hard mask

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) * 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4895789A (en) * 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP3430091B2 (en) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
JP4837370B2 (en) * 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
JP5040913B2 (en) * 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7744746B2 (en) * 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7528078B2 (en) * 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7550758B2 (en) * 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
KR20100028544A (en) * 2007-05-03 2010-03-12 램 리써치 코포레이션 Hardmask open and etch profile control with hardmask open

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092742A (en) * 1996-08-21 1998-04-10 Samsung Electron Co Ltd Anti-reflective composition containing germanium and pattern forming method using the same
KR20060134964A (en) * 2003-12-26 2006-12-28 닛산 가가쿠 고교 가부시키 가이샤 Composition for forming nitride coating film for hard mask

Also Published As

Publication number Publication date
KR101907802B1 (en) 2018-12-05
CN102097364A (en) 2011-06-15
TW201543574A (en) 2015-11-16
TWI547997B (en) 2016-09-01
TWI505364B (en) 2015-10-21
CN105185707B (en) 2018-06-01
TW201130050A (en) 2011-09-01
KR101798235B1 (en) 2017-11-15
CN102097364B (en) 2015-10-14
KR20110063386A (en) 2011-06-10
JP5656010B2 (en) 2015-01-21
JP2011139033A (en) 2011-07-14
CN105185707A (en) 2015-12-23

Similar Documents

Publication Publication Date Title
KR101907802B1 (en) Hardmask materials
US8846525B2 (en) Hardmask materials
US8178443B2 (en) Hardmask materials
US8669181B1 (en) Diffusion barrier and etch stop films
TWI414040B (en) Boron nitride and boron-nitride derived materials deposition method
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US6962869B1 (en) SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US6991959B2 (en) Method of manufacturing silicon carbide film
TW202334478A (en) Method of topology-selective film formation of silicon oxide
TWI705971B (en) Use of sillyl bridged alkyl compounds for dense osg films
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
US20030186477A1 (en) Removable amorphous carbon CMP stop
JP5006428B2 (en) Deposition of dielectric barriers using nitrogen-containing precursors
KR20140071402A (en) Plasma activated conformal dielectric film deposition
KR20110104482A (en) Gapfill improvement with low etch rate dielectric liners
KR20130113345A (en) Engineering boron-rich films lithographic mask applications
WO2009012067A1 (en) Boron derived materials deposition method
JP2004241776A (en) Chemical treatment of low k dielectric film
KR20050020612A (en) Method of forming low-k films
US8003549B1 (en) Methods of forming moisture barrier for low K film integration with anti-reflective layers
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
TWI762761B (en) Use of silicon structure former with organic substituted hardening additive compounds for dense osg films

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right