TWI505364B - Hardmask materials - Google Patents

Hardmask materials Download PDF

Info

Publication number
TWI505364B
TWI505364B TW099140866A TW99140866A TWI505364B TW I505364 B TWI505364 B TW I505364B TW 099140866 A TW099140866 A TW 099140866A TW 99140866 A TW99140866 A TW 99140866A TW I505364 B TWI505364 B TW I505364B
Authority
TW
Taiwan
Prior art keywords
hard mask
film
layer
plasma
mpa
Prior art date
Application number
TW099140866A
Other languages
Chinese (zh)
Other versions
TW201130050A (en
Inventor
Vishwanathan Rangarajan
George Andrew Antonelli
Ananda Banerji
Schravendijk Bart Van
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/631,709 external-priority patent/US8178443B2/en
Priority claimed from US12/631,691 external-priority patent/US8247332B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201130050A publication Critical patent/TW201130050A/en
Application granted granted Critical
Publication of TWI505364B publication Critical patent/TWI505364B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

硬遮罩材料Hard mask material

本發明係關於用於半導體處理中之硬遮罩薄膜。本發明亦係關於形成此等薄膜之方法及設備。This invention relates to hard mask films for use in semiconductor processing. The invention also relates to methods and apparatus for forming such films.

在微影圖案化期間,例如在一金屬鑲嵌製程之溝槽及/或導通孔形成期間,常使用硬遮罩薄膜作為犧牲層。在金屬鑲嵌處理中,通常將硬遮罩薄膜沈積至需要圖案化之一電介質層上。將一光阻劑層沈積於硬遮罩薄膜上方(在硬遮罩與光阻劑之間沈積有一可選抗反射層),且根據需要對光阻劑進行圖案化。通常使用雷射來對準圖案與下伏結構,且因此硬遮罩在用於對準之波長下應實質上係透明。在對光阻劑進行顯影後,移除圖案下曝露之硬遮罩薄膜,並蝕刻曝露之電介質,以便形成具有所需尺寸之凹部特徵。剩餘硬遮罩用於保護彼等在蝕刻製程期間需要保留之電介質部分。因此,硬遮罩材料應相對於電介質具有一良好蝕刻選擇性。通常採用使用基於鹵素之電漿化學物質之反應性離子蝕刻(RIE)來進行電介質蝕刻。During lithographic patterning, such as during the formation of trenches and/or vias in a damascene process, a hard mask film is often used as the sacrificial layer. In damascene processing, a hard mask film is typically deposited onto one of the dielectric layers that needs to be patterned. A photoresist layer is deposited over the hard mask film (an optional anti-reflective layer is deposited between the hard mask and the photoresist) and the photoresist is patterned as desired. A laser is typically used to align the pattern with the underlying structure, and thus the hard mask should be substantially transparent at the wavelengths used for alignment. After development of the photoresist, the exposed hard mask film is removed and the exposed dielectric is etched to form recess features having the desired dimensions. The remaining hard masks are used to protect the portions of the dielectric that need to be retained during the etching process. Therefore, the hard mask material should have a good etch selectivity with respect to the dielectric. Dielectric etching is typically performed using reactive ion etching (RIE) using a halogen-based plasma chemistry.

然後用諸如銅之一導電材料來填充所蝕刻之凹部特徵,從而形成一積體電路之導電路徑。通常,在填充凹部特徵後,自部分已製成之半導體基板完全移除硬遮罩材料。The etched recess features are then filled with a conductive material such as copper to form a conductive path for the integrated circuit. Typically, after filling the recess features, the hard mask material is completely removed from the partially fabricated semiconductor substrate.

當前在本申請案中通常使用藉由物理氣相沈積(PVD)沈積之氮化鈦作為一硬遮罩材料。在美國專利第6,455,409號及美國專利第6,506,692號中亦已報導使用碳化矽作為一硬遮罩材料。Titanium nitride deposited by physical vapor deposition (PVD) is currently used as a hard mask material in the present application. The use of tantalum carbide as a hard mask material has also been reported in U.S. Patent No. 6,455,409 and U.S. Patent No. 6,506,692.

本發明提供具有改良特性之硬遮罩薄膜及其製作方法。在微影應用中,需要具有低應力之硬遮罩材料,乃因壓縮或拉伸應力高之材料會導致基板上之硬遮罩薄膜壓曲或脫層,並由此使微影術中之圖案對準變差。除了低應力以外,硬遮罩材料亦應具有高硬度及/或高楊氏模量(Young's modulus)以充分保護下伏材料,乃因硬度及模量通常與高蝕刻選擇性密切相關。The present invention provides a hard mask film having improved properties and a method of making the same. In lithography applications, a hard mask material with low stress is required because the material with high compressive or tensile stress causes buckling or delamination of the hard mask film on the substrate, and thus the pattern in the lithography The alignment is getting worse. In addition to low stress, the hard mask material should also have a high hardness and/or a high Young's modulus to adequately protect the underlying material, since hardness and modulus are often closely related to high etch selectivity.

此低應力與高硬度(或高模量)之組合尤其難以達成,乃因越硬之材料通常壓縮應力越高。例如,常用之氮化鈦係一相對較硬之材料,其壓縮應力大於約1,000 MPa。使用此高壓縮硬遮罩(尤其與過低k軟電介質(k=2.8及更低)一起使用,且尤其用於界定較高縱橫比之特徵(例如縱橫比為2:1及更高之特徵))會導致較差對準,且會導致所形成結構出現不期望蠕動。一般而言,碳化矽可具有一寬範圍之物理特性,且除非使用本發明特殊沈積製程來製備,否則其不會同時具有低應力及高硬度。This combination of low stress and high hardness (or high modulus) is particularly difficult to achieve because the harder the material, the higher the compressive stress. For example, conventional titanium nitride is a relatively hard material having a compressive stress greater than about 1,000 MPa. Use this high compression hard mask (especially with overly low k soft dielectrics (k = 2.8 and lower), and especially for defining features with higher aspect ratios (eg features with an aspect ratio of 2:1 and higher) )) can result in poor alignment and can cause undesirable creep in the resulting structure. In general, tantalum carbide can have a wide range of physical properties and it will not have both low stress and high hardness unless otherwise prepared using the special deposition process of the present invention.

在本發明之某些態樣中,提供具有低應力及高硬度之硬遮罩材料。在某些實施例中,薄膜之硬度為至少約12 GPa,較佳為至少約16 GPa,例如至少約20 GPa,且應力介於約-600 MPa與600 MPa之間,例如介於約-300 MPa與300 MPa之間,最佳介於約0 MPa與300 MPa之間。薄膜通常實質上不含金屬且包含選自由以下各項組成之群組之一材料:高硬度低應力之經摻雜或未經摻雜碳化矽、Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 及Bx Cy 。此等材料可藉由電漿增強型化學氣相沈積(PECVD)及其他基於CVD之製程來形成。所提供硬遮罩可用於前端及後端半導體處理應用中之各種微影方案中。本文中闡述提供低應力高硬度特性之沈積條件。亦提供與此等特性相關之薄膜結構特徵。In some aspects of the invention, a hard mask material having low stress and high hardness is provided. In certain embodiments, the film has a hardness of at least about 12 GPa, preferably at least about 16 GPa, such as at least about 20 GPa, and a stress between about -600 MPa and 600 MPa, such as between about -300 Between MPa and 300 MPa, preferably between about 0 MPa and 300 MPa. The film is generally substantially metal free and comprises a material selected from the group consisting of high hardness and low stress doped or undoped tantalum carbide, Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y and B x C y . These materials can be formed by plasma enhanced chemical vapor deposition (PECVD) and other CVD-based processes. Hard masks are provided for use in a variety of lithography solutions in front-end and back-end semiconductor processing applications. Deposition conditions that provide low stress and high hardness characteristics are set forth herein. Thin film structural features associated with these characteristics are also provided.

在一個態樣中,在一半導體基板上形成一高硬度低應力硬遮罩薄膜之一方法包含在一電漿增強型化學氣相沈積(PECVD)製程室中接納半導體基板及使用多個緻密化電漿處理來沈積經摻雜或未經摻雜多層碳化矽薄膜。較佳在沈積每一碳化矽子層後執行該等處理。在某些實施例中,該製程包括將包含一含矽前體(例如四甲基矽烷)之一製程氣體引入至製程室中及形成一電漿以沈積碳化矽硬遮罩薄膜之一第一子層。之後,藉由(例如)用一吹掃氣體吹掃製程室而自製程室移除含矽前體。然後將一電漿處理氣體引入至室中,形成電漿,並對碳化矽子層進行電漿處理以使材料緻密化。電漿處理氣體可與吹掃氣體相同,或者此等氣體可不同。適用於吹掃及/或電漿處理之氣體包括惰性氣體(例如He、Ar)、CO2 、N2 、NH3 及H2 。在某些實施例中,對於吹掃及電漿處理兩者而言,He、Ar、H2 或其各種混合物係較佳的。在對碳化矽之第一子層進行電漿處理後,重複沈積、吹掃及電漿處理操作以形成並緻密化碳化矽之額外子層。通常,每一子層之厚度小於約100(例如小於約50)以允許良好緻密化。在某些實施例中,該方法涉及沈積並緻密化10個或更多個子層(例如20個或更多個子層)以形成硬遮罩薄膜,在某些實施例中,該硬遮罩薄膜之厚度介於約1,000與約6,000之間。In one aspect, a method of forming a high-hardness, low-stress hard mask film on a semiconductor substrate comprises receiving a semiconductor substrate in a plasma enhanced chemical vapor deposition (PECVD) process chamber and using a plurality of densifications Plasma treatment to deposit a doped or undoped multilayer tantalum carbide film. Preferably, such processing is performed after depositing each of the carbonized germanium layers. In certain embodiments, the process includes introducing a process gas comprising a ruthenium-containing precursor (eg, tetramethyl decane) into a process chamber and forming a plasma to deposit one of the tantalum carbide hard mask films. Sublayer. Thereafter, the ruthenium-containing precursor is removed from the process chamber by, for example, purging the process chamber with a purge gas. A plasma treatment gas is then introduced into the chamber to form a plasma, and the carbonized hazelnut layer is plasma treated to densify the material. The plasma treatment gas may be the same as the purge gas or the gases may be different. Suitable purge and / or the gas comprises an inert gas plasma processing (e.g. He, Ar), CO 2, N 2, NH 3 and H 2. In certain embodiments, for both the purge and plasma processing, He, Ar, H 2 or a mixture of various preferred system. After the first sub-layer of tantalum carbide is subjected to a plasma treatment, deposition, purging, and plasma treatment operations are repeated to form and densify additional sub-layers of tantalum carbide. Typically, each sub-layer has a thickness of less than about 100 (eg less than about 50 ) to allow for good densification. In certain embodiments, the method involves depositing and densifying 10 or more sub-layers (eg, 20 or more sub-layers) to form a hard mask film, in some embodiments, the hard mask film The thickness is about 1,000 With about 6,000 between.

多個電漿處理可相對於一單層碳化矽薄膜改良薄膜之硬度。在某些實施例中,所形成高硬度低應力薄膜包含具有高Si-C鍵結含量之未經摻雜碳化矽薄膜。在某些實施例中,在IR光譜中Si-C峰相對於Si-H之面積之比為至少約20。在某些實施例中,在IR光譜中Si-C峰相對於C-H之面積之比為至少約50。所提供碳化矽薄膜通常密度亦為至少約2 g/cm3 。在某些實施例中,較佳使用高頻射頻(HFRF)及低頻射頻(LFRF)電漿產生來執行電漿後處理,其中LF/HF功率比為至少約1.5,例如至少約2。Multiple plasma treatments can improve the hardness of the film relative to a single layer of tantalum carbide film. In certain embodiments, the formed high hardness low stress film comprises an undoped tantalum carbide film having a high Si-C bonding content. In certain embodiments, the ratio of the Si-C peak to the area of Si-H in the IR spectrum is at least about 20. In certain embodiments, the ratio of the Si-C peak to the area of CH in the IR spectrum is at least about 50. The tantalum carbide film provided typically also has a density of at least about 2 g/cm 3 . In some embodiments, high frequency radio frequency (HFRF) and low frequency radio frequency (LFRF) plasma generation is preferably used to perform plasma post treatment wherein the LF/HF power ratio is at least about 1.5, such as at least about 2.

在本發明之另一態樣中,用於形成高硬度低應力薄膜之方法涉及沈積選自由以下各項組成之群組之一含硼薄膜:Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 及Bx Cy 。此等薄膜可使用含有矽、碳及硼之適當前體藉由PECVD來沈積。例如,對於Six By Cz 之沈積,在一個實施例中,向一PECVD製程室中提供一含硼前體(例如B2 H6 )及包含碳及矽之一前體(例如四甲基矽烷)以在一電漿中形成一Six By Cz 薄膜。為製備一高硬度低應力薄膜,較佳者係LF/HF功率比為至少約1.5(例如至少約2)之雙頻電漿。在某些實施例中,薄膜富含硼,BC/[BC+SiC]比為至少約0.35,如藉由IR光譜中之對應峰之面積所確定。在某些實施例中,藉由使B2 H6 以比四甲基矽烷之流速高至少約2倍之一流速流動來製備高硬度富硼Six By Cz 薄膜。有利地,可在圖案化完成後藉由化學機械拋光(CMP)容易地移除含硼薄膜,乃因含硼薄膜通常為親水性且易於用CMP化學物質來溶解。In another aspect of the invention, a method for forming a high hardness low stress film involves depositing a boron containing film selected from the group consisting of: Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y and B x C y . These films can be deposited by PECVD using suitable precursors containing barium, carbon and boron. For example, for the deposition of Si x B y C z , in one embodiment, a boron-containing precursor (eg, B 2 H 6 ) and a precursor comprising carbon and ruthenium (eg, Si-A) are provided in a PECVD process chamber. The base decane) forms a Si x B y C z film in a plasma. To prepare a high hardness low stress film, a dual frequency plasma having a LF/HF power ratio of at least about 1.5 (e.g., at least about 2) is preferred. In certain embodiments, the film is rich in boron and has a BC/[BC+SiC] ratio of at least about 0.35 as determined by the area of the corresponding peak in the IR spectrum. In certain embodiments, a high hardness boron-rich Si x B y C z film is prepared by flowing B 2 H 6 at a flow rate that is at least about 2 times higher than the flow rate of tetramethyl decane. Advantageously, the boron-containing film can be easily removed by chemical mechanical polishing (CMP) after the patterning is completed, since the boron-containing film is generally hydrophilic and readily soluble with CMP chemistry.

在本發明之另一態樣中,提供形成一GeNx 硬遮罩薄膜之一方法。在某些實施例中,該方法包含在一PECVD製程室中接納一半導體基板及形成一GeNx 硬遮罩薄膜。該薄膜可藉由使一含鍺前體及一含氮前體流入至一PECVD製程室中並形成一電漿來形成。在某些實施例中,所形成GeNx 薄膜之模量為至少約100 GPa且富含鍺。在某些實施例中,富鍺薄膜包含至少約60原子%、較佳70原子%鍺(不包括氫)。薄膜之密度可超過4 g/cm3 。有利地,GeNx 在用於微影圖案化中之對準波長下實質上係透明(例如在光譜之可見及近IR部分中)。在某些實施例中,藉由在包含鍺烷、氨及氮之一製程氣體中形成一電漿來沈積GeNx 薄膜,其中鍺烷/氨之流速比為至少約0.05。在某些實施例中,較佳使用雙頻電漿源來沈積GeNx 薄膜。在某些實施例中,在沈積期間使用之LF/HF功率比為至少約1。與上文所提及之其他薄膜類似,GeNx 薄膜可用於後端及前端半導體處理中之若干個處理方案中。In another aspect of the invention, a method of forming a GeN x hard mask film is provided. In some embodiments, the method includes receiving a semiconductor substrate in a PECVD process chamber and forming a GeN x hard mask film. The film can be formed by flowing a ruthenium containing precursor and a nitrogen-containing precursor into a PECVD process chamber and forming a plasma. In certain embodiments, the formed GeN x film has a modulus of at least about 100 GPa and is rich in antimony. In certain embodiments, the yttrium-rich film comprises at least about 60 atomic percent, preferably 70 atomic percent cerium (excluding hydrogen). The density of the film can exceed 4 g/cm 3 . Advantageously, GeN x is substantially transparent at the alignment wavelengths used in lithographic patterning (eg, in the visible and near IR portions of the spectrum). In certain embodiments, the GeN x film is deposited by forming a plasma in a process gas comprising one of decane, ammonia, and nitrogen, wherein the decane/ammonia flow rate ratio is at least about 0.05. In some embodiments, a dual frequency plasma source is preferably used to deposit the GeN x film. In certain embodiments, the LF/HF power ratio used during deposition is at least about 1. Similar to the other films mentioned above, GeN x films can be used in several processing solutions in back-end and front-end semiconductor processing.

在某些實施例中,將一硬遮罩薄膜(例如上述薄膜中之任一者)沈積於一電介質層上,例如介電常數小於約3,例如小於約2.8之一電介質。通常將一光阻劑層沈積於硬遮罩上方(但並不一定與硬遮罩直接接觸,乃因兩者之間可能沈積有抗反射層)。之後,執行微影圖案化,其中在電介質層中形成凹部特徵(導通孔及/或溝槽)。在圖案化完成並用金屬填充該等特徵後,移除硬遮罩(例如藉由CMP)。在某些實施例中,對於用於蝕刻導通孔及/或溝槽之化學方法(通常為一RIE製程)而言,硬遮罩薄膜相對於電介質之蝕刻選擇性為至少約8:1。In some embodiments, a hard mask film (such as any of the above films) is deposited on a dielectric layer, such as a dielectric having a dielectric constant of less than about 3, such as less than about 2.8. A layer of photoresist is typically deposited over the hard mask (but not necessarily in direct contact with the hard mask, as an anti-reflective layer may be deposited between the two). Thereafter, lithographic patterning is performed in which recess features (vias and/or trenches) are formed in the dielectric layer. After the patterning is completed and the features are filled with metal, the hard mask is removed (eg, by CMP). In some embodiments, the etch selectivity of the hard mask film relative to the dielectric is at least about 8:1 for a chemical process (typically an RIE process) for etching vias and/or trenches.

在其他實施例中,在前端處理中將一硬遮罩薄膜(例如上述薄膜中之任一者)沈積於一多晶矽層上,且其用於在各種處理步驟期間保護多晶矽。在某些實施例中,不移除硬遮罩材料且其將保留在所製造裝置中。In other embodiments, a hard mask film (such as any of the above films) is deposited on a polysilicon layer during front end processing and is used to protect the polysilicon during various processing steps. In some embodiments, the hard mask material is not removed and it will remain in the device being fabricated.

下文將參照相關圖式更詳細地闡述本發明之此等及其他特徵及優點。These and other features and advantages of the present invention are described in more detail below with reference to the accompanying drawings.

介紹及概述Introduction and overview

提供用於後端及前端半導體處理應用之硬遮罩薄膜。該等薄膜包含選自由以下各項組成之群組之材料:SiCx (經摻雜或未經摻雜)、Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 、Bx Cy 及GeNxProvides a hard mask film for back-end and front-end semiconductor processing applications. The films comprise a material selected from the group consisting of SiC x (doped or undoped), Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , B x C y and GeN x .

該等材料基本上由對應式中所列舉元素構成且視情況包括並未明確列舉之氫。下標x、y、z及w表明該等材料並不一定具有化學計量性。該等材料僅在明確提及存在摻雜劑時才包括摻雜劑。例如,本文所述未經摻雜SiCx (碳化矽)係基本上由矽及碳構成(並不一定具有化學計量比例)且視情況包括氫之一材料。經摻雜SiCx 另外包括一摻雜劑元素,例如硼、氧、磷或氮。The materials consist essentially of the elements recited in the formula and, where appropriate, hydrogen not specifically recited. The subscripts x, y, z and w indicate that the materials are not necessarily stoichiometric. These materials include dopants only when it is explicitly mentioned that a dopant is present. For example, the undoped SiC x (barium carbide) described herein consists essentially of tantalum and carbon (and does not necessarily have a stoichiometric ratio) and optionally includes one of hydrogen. The doped SiC x additionally includes a dopant element such as boron, oxygen, phosphorus or nitrogen.

在某些實施例中,本文所提供材料具有以下有利特性中之一者或多者:高硬度、高楊氏模量及低應力。在較佳實施例中,該等材料同時具有高硬度與低應力之一組合,從而使其尤其適合先進技術節點(例如技術節點為45 nm及更小,例如22 nm)處之硬遮罩應用,尤其適合對機械性較弱之超低k(ULK)電介質進行圖案化,且適合形成縱橫比為2:1及更大(例如4:1及更大)之凹部。In certain embodiments, the materials provided herein have one or more of the following advantageous properties: high hardness, high Young's modulus, and low stress. In a preferred embodiment, the materials have a combination of high hardness and low stress, making them particularly suitable for hard mask applications at advanced technology nodes (eg, 45 nm and smaller, for example 22 nm). It is particularly suitable for patterning mechanically weak ultra-low k (ULK) dielectrics and is suitable for forming recesses having an aspect ratio of 2:1 and greater (eg, 4:1 and greater).

在某些實施例中,硬遮罩材料之硬度為至少約12 GPa,例如至少約16 GPa,例如至少約18 GPa或至少約20 GPa。硬度係材料工程領域明確定義之一特性且可以可靠方式來量測,例如藉由任何適宜設備(包括一奈米壓痕裝置)來量測。在某些實施例中,除了高硬度以外,硬遮罩材料亦具有介於約-600至600 MPa之間的低應力,例如介於約-300 MPa與300 MPa之間,介於約0至600 MPa之間,且最佳介於約0 MPa與300 MPa之間。In certain embodiments, the hard mask material has a hardness of at least about 12 GPa, such as at least about 16 GPa, such as at least about 18 GPa or at least about 20 GPa. Hardness is one of the properties well defined in the field of material engineering and can be measured in a reliable manner, for example by any suitable equipment, including a nanoindentation device. In certain embodiments, in addition to high hardness, the hard mask material also has a low stress of between about -600 and 600 MPa, such as between about -300 MPa and 300 MPa, between about 0 and Between 600 MPa, and optimal between about 0 MPa and 300 MPa.

以一種標度量測壓縮及拉伸應力,其中正值對應於拉伸應力且負值對應於壓縮應力。根據此標度,較高壓縮應力由較低負值表徵,而較高拉伸應力由較高正值表徵。根據此標度,不具有殘餘應力之薄膜對應於零。應力係明確定義之一參數,其可使用(例如)可購自KLA-Tencor Corporation之一「Flexus」工具來量測。The compressive and tensile stresses are measured in a scale where a positive value corresponds to tensile stress and a negative value corresponds to compressive stress. According to this scale, higher compressive stresses are characterized by lower negative values, while higher tensile stresses are characterized by higher positive values. According to this scale, a film having no residual stress corresponds to zero. The stress system clearly defines one of the parameters that can be measured, for example, using a "Flexus" tool available from KLA-Tencor Corporation.

具有高壓縮應力之材料往往導致一基板出現壓曲,而具有高拉伸應力之材料往往導致脫層(尤其在材料之間的黏合力較低時)。在硬遮罩材料中此兩類應力均係不期望的。然而,例如存在於本文所述之某些含硼材料中,對低度及中度拉伸應力(例如200至600 MPa)之耐受優於對相同量值之壓縮應力之耐受。Materials with high compressive stress tend to cause buckling of a substrate, while materials with high tensile stress tend to cause delamination (especially when the adhesion between materials is low). Both types of stress are undesirable in hard mask materials. However, for example, in certain boron-containing materials described herein, resistance to low and moderate tensile stresses (e.g., 200 to 600 MPa) is better than resistance to compressive stress of the same magnitude.

在某些實施例中,本文所述硬遮罩薄膜之楊氏模量為至少約100 MPa,例如至少約125 MPa,例如150 MPa及更大。楊氏模量可藉由標準技術使用奈米壓痕裝置來量測。In certain embodiments, the hard mask films described herein have a Young's modulus of at least about 100 MPa, such as at least about 125 MPa, such as 150 MPa and greater. Young's modulus can be measured by standard techniques using a nanoindentation device.

應注意,本文所述硬遮罩材料通常不同於用作電介質擴散障壁層及蝕刻終止層之材料。電介質擴散障壁及蝕刻終止材料通常為硬度小於約10 GPa且介電常數小於約5之相對較軟材料。擴散障壁層保留在需要低介電常數之最終積體電路結構中。相反,本文所提供硬遮罩材料不一定需要具有低介電常數,且介電常數通常大於約4,例如大於約5,或大於約6。此係因硬遮罩在諸多實施例中係犧牲層,其在圖案化後完全自結構移除,且因此對所形成積體電路之電特性無影響。在彼等實施例中,倘若硬遮罩並未自最終結構中移除,則其存在於此等不需要低介電常數之位置處,或該裝置中可耐受具有相對較高介電常數之材料之位置處。此外,藉由PECVD沈積之硬遮罩材料通常係在電漿產生中使用顯著高於較軟低k擴散障壁材料之功率來沈積。在結構上,硬遮罩材料通常比較軟低k擴散障壁材料堆積更緊密且更緻密。It should be noted that the hard mask materials described herein are generally different from the materials used as the dielectric diffusion barrier layer and the etch stop layer. The dielectric diffusion barrier and etch stop material are typically relatively soft materials having a hardness of less than about 10 GPa and a dielectric constant of less than about 5. The diffusion barrier layer remains in the final integrated circuit structure that requires a low dielectric constant. In contrast, the hard mask materials provided herein do not necessarily need to have a low dielectric constant, and the dielectric constant is typically greater than about 4, such as greater than about 5, or greater than about 6. This is due to the fact that the hard mask is a sacrificial layer in many embodiments that is completely self-structuring removed after patterning and thus has no effect on the electrical characteristics of the formed integrated circuit. In such embodiments, if the hard mask is not removed from the final structure, it is present at locations where low dielectric constant is not required, or the device can withstand relatively high dielectric constants The location of the material. In addition, hard mask materials deposited by PECVD are typically deposited in plasma generation using power that is significantly higher than softer low-k diffusion barrier materials. Structurally, hard mask materials are generally more compact and denser than softer low-k diffusion barrier materials.

在諸多實施例中,所提供硬遮罩材料在用於圖案對準之雷射波長下實質上係透明(例如在光譜之可見及近IR部分中,例如在633 nm下)。In various embodiments, the provided hard mask material is substantially transparent at the laser wavelength for pattern alignment (eg, in the visible and near IR portions of the spectrum, such as at 633 nm).

所沈積硬遮罩薄膜之厚度相依於諸多參數,例如一特定硬遮罩材料相對於下伏材料之蝕刻選擇性、需要蝕刻之下伏材料之厚度及所用蝕刻化學方法。一般而言,可沈積具有較高蝕刻選擇性之較硬硬遮罩材料以形成比具有較低硬度及較低蝕刻選擇性之材料薄之薄膜。另外,由高選擇性硬材料製成之較薄硬遮罩層係有利的,乃因較薄薄膜具有相對較高之透明度,因此其允許較佳光學對準。在某些實施例中,將薄膜沈積至厚度介於約100至10,000之間,例如介於約500至6000之間。The thickness of the deposited hard mask film depends on a number of parameters, such as the etch selectivity of a particular hard mask material relative to the underlying material, the thickness of the material under etch and the etch chemistry used. In general, harder hard mask materials with higher etch selectivity can be deposited to form thinner films than materials with lower hardness and lower etch selectivity. Additionally, thinner hard mask layers made of highly selective hard materials are advantageous because of the relatively high transparency of thinner films, which allows for better optical alignment. In certain embodiments, the film is deposited to a thickness of between about 100 and 10,000 Between, for example, between about 500 and 6000 between.

在用於導通孔及/或溝槽蝕刻之化學方法中,所提供薄膜相對於電介質(例如相對於介電常數為3.0及更低,例如2.8及更低,或2.4及更低之電介質)具有高蝕刻選擇性。實例性蝕刻化學方法包括RIE,其使用在包含Cx Fy (例如CF4 )、惰性氣體(例如Ar)及氧化劑(例如O2 )之一製程氣體中形成之電漿。可使用其他乾式蝕刻,例如藉助包含Cl2 及N2 之一製程氣體之電漿蝕刻。在某些實施例中,例如對於包含上文所提及Cx Fy 之一電漿蝕刻化學物質,可獲得至少約5:1、例如至少約8:1之蝕刻選擇性(即,硬遮罩材料之蝕刻比電介質慢至少8倍)。在某些實施例中,在濕式蝕刻操作期間,例如在使用一濕氟化物蝕刻化學方法對基於氧化矽之材料之選擇性濕式蝕刻中,所提供薄膜可用作硬遮罩。In a chemical process for via and/or trench etching, the provided film has a relative dielectric (eg, with respect to a dielectric having a dielectric constant of 3.0 and lower, such as 2.8 and lower, or 2.4 and lower). High etch selectivity. An exemplary etch chemistry includes RIE using a plasma formed in a process gas comprising one of C x F y (eg, CF 4 ), an inert gas (eg, Ar), and an oxidant (eg, O 2 ). Other dry etching may be used, for example by means of plasma etching comprises one of Cl 2 and N 2 gas of the process. In certain embodiments, for example, for a plasma etch chemistry comprising one of C x F y mentioned above, an etch selectivity of at least about 5: 1, such as at least about 8: 1 can be obtained (ie, hard masking) The mask material is etched at least 8 times slower than the dielectric). In certain embodiments, the provided film can be used as a hard mask during wet etching operations, such as selective wet etching of a yttria-based material using a wet fluoride etch chemistry.

可在本文所提供經曝露硬遮罩材料存在下蝕刻之電介質包括氧化矽、碳摻雜氧化矽(SiCOH)、TEOS(原矽酸四乙酯)-經沈積氧化物、各種矽酸鹽玻璃、氫倍半矽氧烷(HSQ)、甲基倍半矽氧烷(MSQ)以及多孔及/或有機電介質,該等多孔及/或有機電介質包括聚醯亞胺、聚降冰片烯、苯并環丁烯等。所提供硬遮罩最有利地用於對介電常數為2.8及更低(例如2.4及更低)之機械性較弱之有機及/或多孔電介質進行圖案化。The dielectric that can be etched in the presence of the exposed hard mask material provided herein includes yttria, carbon-doped yttria (SiCOH), TEOS (tetraethyl phthalate)-deposited oxide, various silicate glasses, Hydrogen sesquioxanes (HSQ), methyl sesquioxanes (MSQ), and porous and/or organic dielectrics, including polyamidene, polynorbornene, benzo rings Butene and the like. The hard mask provided is most advantageously used to pattern mechanically weak organic and/or porous dielectrics having a dielectric constant of 2.8 and lower (e.g., 2.4 and lower).

本文所述硬遮罩材料一般可使用各種方法來沈積,包括基於CVD之方法及基於PVD之方法。PECVD係一特別較佳之沈積方法,且允許雙頻電漿產生之PECVD甚至更佳。具有高頻及一低頻電源之設備包括可自San Jose,CA之Novellus Systems購得之工具。低頻射頻(RF)功率係指頻率介於100 kHz與2 MHz之間的RF功率。LF電漿源之一典型頻率範圍介於約100 kHz至500 kHz之間,例如可使用400 kHz頻率。在硬遮罩層沈積期間,LF功率密度通常在約0.001至1.3 W/cm2 範圍內,在特定實施例中為約0.1至0.7 W/cm2 。HF功率通常在約0.001至1.3 W/cm2 範圍內,且在特定實施例中為約0.02至0.28 W/cm2 。高頻功率係指頻率大於2 MHz之RF功率。通常HF RF頻率介於約2 MHz至30 MHz範圍內。常用HF RF值包括13.56 MHz及27 MHz。在某些實施例中,硬遮罩之沈積涉及將LF/HF功率比設定為至少約1,例如至少約1.5,例如至少約2。The hard mask materials described herein can generally be deposited using a variety of methods, including CVD based methods and PVD based methods. PECVD is a particularly preferred deposition method, and PECVD that allows dual frequency plasma generation is even better. Equipment with high frequency and low frequency power supplies includes those available from Novellus Systems of San Jose, CA. and tool. Low frequency radio frequency (RF) power refers to RF power between 100 kHz and 2 MHz. One of the LF plasma sources typically has a frequency range between approximately 100 kHz and 500 kHz, for example 400 kHz. During deposition of the hard mask layer, the LF power density is typically in the range of from about 0.001 to 1.3 W/cm 2 , and in particular embodiments from about 0.1 to 0.7 W/cm 2 . The HF power is typically in the range of from about 0.001 to 1.3 W/cm 2 and, in particular embodiments, from about 0.02 to 0.28 W/cm 2 . High frequency power refers to RF power with a frequency greater than 2 MHz. Typically the HF RF frequency is in the range of approximately 2 MHz to 30 MHz. Commonly used HF RF values include 13.56 MHz and 27 MHz. In certain embodiments, the deposition of the hard mask involves setting the LF/HF power ratio to at least about 1, such as at least about 1.5, such as at least about 2.

在PECVD沈積期間,通常以介於0.001 sccm至約10000 sccm範圍內、較佳為約1 sccm至約1000 sccm之一流速向製程室中提供反應物氣體或蒸氣且使用介於約20℃至約500℃範圍內、較佳為約200℃至約450℃之基板基座溫度。在某些實施例中,對硬遮罩沈積而言低於約400℃(例如約200℃至約400℃)之溫度係較佳的。壓力可介於約10毫托至約100托範圍內,較佳為約0.5托至5托。應理解,前體之流速可隨基板之大小及室大小而變。During PECVD deposition, reactant gases or vapors are typically supplied to the process chamber at a flow rate ranging from 0.001 sccm to about 10,000 sccm, preferably from about 1 sccm to about 1000 sccm, and used between about 20 ° C and about The substrate susceptor temperature in the range of 500 ° C, preferably from about 200 ° C to about 450 ° C. In certain embodiments, temperatures below about 400 ° C (eg, from about 200 ° C to about 400 ° C) are preferred for hard mask deposition. The pressure can range from about 10 millitorr to about 100 torr, preferably from about 0.5 to 5 torr. It should be understood that the flow rate of the precursor may vary with the size of the substrate and the size of the chamber.

在後端處理中之用途Use in backend processing

所提供薄膜可用於各種硬遮罩應用中。硬遮罩薄膜在後端處理中之例示性應用可由圖1A至1K中所示之結構來圖解說明,且由圖3中所示之製程流程圖來圖解說明。參照圖3之說明性製程流程,該製程在301中藉由提供具有一經曝露電介質層之一基板來開始。基板通常為上面駐留有一個或多個材料層(例如導體或電介質)之一半導體(例如矽)晶圓。基板中之經曝露部分含有需要用導通孔及溝槽圖案化之一電介質層。本文所提供硬遮罩一般可用於對先前部分中所列各種電介質材料進行圖案化。尤其有利的係使用所提供硬遮罩材料來圖案化介電常數為2.8及更低、例如2.4及更低之ULK電介質,包括機械性較弱之多孔及有機電介質。如上文所闡釋,所提供硬遮罩在諸多實施例中具有極低應力,且可顯著降低通常在使用高應力硬遮罩材料對機械性較弱之ULK電介質進行圖案化時出現之壓曲及較差圖案對準。應注意,在某些實施例中,在脆性ULK電介質與硬遮罩之間使用一機械性較強材料緩衝層。因此,在某些實施例中,所提供基板具有駐留於一ULK材料層上之一經曝露緩衝層(例如一機械性較強電介質)。例如,包含k大於2.8之一電介質之一緩衝層可駐留於具有一較低介電常數之一機械性較弱電介質上。例如,緩衝層包含選自由以下各項組成之群組之一材料:碳摻雜氧化矽(SiCOH)、TEOS(原矽酸四乙酯)-經沈積氧化物、各種矽酸鹽玻璃、氫倍半矽氧烷(HSQ)及甲基倍半矽氧烷(MSQ),其可駐留於一多孔及/或有機電介質上,該多孔及/或有機電介質可包括聚醯亞胺、聚降冰片烯、苯并環丁烯等。ULK電介質及緩衝層電介質可藉由(例如)旋塗方法或PECVD來沈積。在某些實施例中,將電介質及/或緩衝層沈積於與硬遮罩層所沈積模組相同之PECVD模組中。此提供相對於氮化鈦硬遮罩之一額外優點,氮化鈦硬遮罩之沈積需要PVD模組。在操作303中將硬遮罩材料沈積至一PECVD製程室中之電介質層上(或沈積至緩衝層上,其通常亦係一電介質)。之後,視情況沈積一個或多個抗反射層(例如底部抗反射塗層(BARC)),之後在操作305中在硬遮罩上方沈積光阻劑。應注意,光阻劑不一定與硬遮罩材料直接接觸,乃因一個或多個抗反射層通常駐留於硬遮罩與光阻劑之間。之後,在操作307中,在電介質層中使用所沈積硬遮罩及微影圖案化來蝕刻導通孔及/或溝槽。適宜蝕刻包括先前部分中所述RIE,其中在對於蝕刻具有高蝕刻選擇性之經曝露硬遮罩存在下蝕刻電介質材料。The films provided are useful in a variety of hard mask applications. An exemplary application of the hard mask film in the back end processing can be illustrated by the structure shown in Figures 1A through 1K and illustrated by the process flow diagram shown in Figure 3. Referring to the illustrative process flow of FIG. 3, the process begins in 301 by providing a substrate having an exposed dielectric layer. The substrate is typically a semiconductor (eg, germanium) wafer having one or more layers of material (eg, a conductor or dielectric) resident thereon. The exposed portion of the substrate contains a dielectric layer that needs to be patterned with vias and trenches. The hard masks provided herein are generally useful for patterning the various dielectric materials listed in the previous section. It is especially advantageous to use the provided hard mask material to pattern ULK dielectrics having a dielectric constant of 2.8 and lower, such as 2.4 and lower, including mechanically weak porous and organic dielectrics. As explained above, the provided hard mask has extremely low stress in many embodiments and can significantly reduce buckling that typically occurs when patterning a mechanically weak ULK dielectric using a high stress hard mask material and Poor pattern alignment. It should be noted that in certain embodiments, a mechanically stronger material buffer layer is used between the brittle ULK dielectric and the hard mask. Thus, in some embodiments, the substrate provided has an exposed buffer layer (eg, a mechanically stronger dielectric) residing on a layer of ULK material. For example, a buffer layer comprising one of k greater than 2.8 may reside on a mechanically weaker dielectric having a lower dielectric constant. For example, the buffer layer comprises a material selected from the group consisting of carbon doped yttrium oxide (SiCOH), TEOS (tetraethyl phthalate) - deposited oxide, various silicate glasses, hydrogen times Hexaoxanes (HSQ) and methyl sesquioxanes (MSQ), which may reside on a porous and/or organic dielectric, which may include polyimine, polynorborns Alkene, benzocyclobutene, and the like. The ULK dielectric and buffer layer dielectric can be deposited by, for example, spin coating or PECVD. In some embodiments, the dielectric and/or buffer layer is deposited in the same PECVD module as the module deposited by the hard mask layer. This provides an additional advantage over titanium nitride hard masks, which require PVD modules for the deposition of titanium nitride hard masks. The hard mask material is deposited in operation 303 onto a dielectric layer in a PECVD process chamber (or onto a buffer layer, which is typically also a dielectric). Thereafter, one or more anti-reflective layers (eg, a bottom anti-reflective coating (BARC)) are deposited as appropriate, after which a photoresist is deposited over the hard mask in operation 305. It should be noted that the photoresist is not necessarily in direct contact with the hard mask material because one or more anti-reflective layers typically reside between the hard mask and the photoresist. Thereafter, in operation 307, the via holes and/or trenches are etched using the deposited hard mask and lithographic patterning in the dielectric layer. Suitable etching includes the RIE described in the previous section, wherein the dielectric material is etched in the presence of an exposed hard mask having high etch selectivity for etching.

可使用各種微影方案來形成凹部特徵之期望圖案,該等微影方案可包括沈積並移除多個光阻劑層、沈積填充劑層等。此等微影方案為業內已知,且不將詳細闡述。使用首先界定一溝槽然後形成一部分導通孔之一方案作為圖1A至1K中之一圖解說明。然而,應理解,後端處理可使用各種其他方案。在形成導通孔及/或溝槽後,在309中用金屬(例如電沈積銅或其合金)填充導通孔及/或溝槽,且在操作311中藉由(例如)CMP或適當濕式或乾式蝕刻來移除硬遮罩薄膜。在某些實施例中,含有過氧化物之濕式蝕刻或CMP組合物(例如含有過氧化氫之酸性漿液)較佳用於硬遮罩移除。Various lithographic schemes can be used to form the desired pattern of recess features, which can include depositing and removing multiple photoresist layers, depositing filler layers, and the like. Such lithography solutions are known in the art and will not be described in detail. A scheme of first defining a trench and then forming a portion of vias is illustrated as one of FIGS. 1A through 1K. However, it should be understood that various other approaches may be used for backend processing. After the vias and/or trenches are formed, the vias and/or trenches are filled with a metal (eg, electrodeposited copper or alloy thereof) in 309, and in operation 311 by, for example, CMP or a suitable wet or Dry etching to remove the hard mask film. In certain embodiments, a peroxide-containing wet etch or CMP composition (eg, an acid slurry containing hydrogen peroxide) is preferred for hard mask removal.

圖1A至1K顯示根據一個說明性處理方案在後端處理期間部分已製成之一半導體基板之示意性剖視圖。圖1A顯示半導體基板(下伏矽層及主動裝置未顯示)中具有嵌入於一第一電介質層103(例如ULK電介質)中之一銅層101之一部分,其中一擴散障壁層105(例如包括Ta、Ti、W、TaNx 、TiNx 、WNx 或其組合)駐留於電介質與銅之間的一界面處。一電介質擴散障壁層(亦稱作蝕刻終止層)107、例如氮化矽或氮摻雜碳化矽層駐留於銅101及電介質103之頂上。一第二電介質層109(例如藉由旋塗或PECVD沈積之一ULK電介質)駐留於電介質擴散障壁層107之頂上。由於電介質層109可能機械性較弱,且在硬遮罩沈積期間可能受損,因此將一機械性較強電介質緩衝層111(例如TEOS電介質或碳摻雜氧化矽(SiCOH))沈積至層109上。藉由PECVD將包括本文所述之一高硬度材料之硬遮罩層113沈積至緩衝層111上。與電介質擴散障壁層107不同,硬遮罩層113沈積於不包括經曝露金屬之一表面上。藉由一旋塗方法將一光阻劑層115沈積於硬遮罩113上方。通常將一個或多個抗反射層直接沈積於硬遮罩與光阻劑之間。為保持清晰,未顯示此等層。1A through 1K show schematic cross-sectional views of a portion of a semiconductor substrate that has been partially fabricated during back end processing in accordance with an illustrative processing scheme. 1A shows a semiconductor substrate (underlying germanium layer and active device not shown) having a portion of a copper layer 101 embedded in a first dielectric layer 103 (eg, a ULK dielectric), wherein a diffusion barrier layer 105 (eg, including Ta) , Ti, W, TaN x , TiN x , WN x or a combination thereof resides at an interface between the dielectric and the copper. A dielectric diffusion barrier layer (also referred to as an etch stop layer) 107, such as a tantalum nitride or nitrogen doped tantalum carbide layer, resides on top of copper 101 and dielectric 103. A second dielectric layer 109 (e.g., one of the ULK dielectrics deposited by spin coating or PECVD) resides on top of the dielectric diffusion barrier layer 107. Since the dielectric layer 109 may be mechanically weak and may be damaged during hard mask deposition, a mechanically stronger dielectric buffer layer 111 (eg, TEOS dielectric or carbon doped yttrium oxide (SiCOH)) is deposited onto layer 109. on. A hard mask layer 113 comprising a high hardness material as described herein is deposited onto the buffer layer 111 by PECVD. Unlike the dielectric diffusion barrier layer 107, the hard mask layer 113 is deposited on a surface that does not include the exposed metal. A photoresist layer 115 is deposited over the hard mask 113 by a spin coating process. One or more anti-reflective layers are typically deposited directly between the hard mask and the photoresist. These layers are not shown for clarity.

在已沈積光阻劑115之後,使用標準微影技術對其進行圖案化,以形成寬度為t之一開口,其可用於隨後形成溝槽。所得具有經圖案化光阻劑層115之結構顯示於圖1B中。之後,給駐留於已移除光阻劑下方之硬遮罩層113開口(蝕刻),從而形成經曝露電介質111之一圖案,如圖1C中所示。剩餘硬遮罩將用於在光阻劑移除及後續電介質蝕刻期間保護電介質。之後,藉由(例如)灰化自該結構移除光阻劑層115,並形成具有經曝露圖案化硬遮罩113之一結構。在此階段,開始進行圖案化以形成一導通孔。為圖案化一導通孔,將可包含一易移除電介質(例如HSQ或MSQ)之一填充劑層117沈積於該結構之表面上方,從而填充硬遮罩中之開口,如圖1E中所示。之後,將一第二光阻劑層119沈積於填充劑層117上方(兩者之間存在可選抗反射層),以形成圖1F中所示之結構。然後對光阻劑119進行圖案化以形成寬度為V之一開口,其可用於形成一導通孔,如結構1G中所示。之後,移除該光阻劑圖案下方之硬遮罩,並在電介質109中使用(例如)RIE部分蝕刻一導通孔。移除光阻劑119及填充劑層117,從而形成具有一部分蝕刻之導通孔及一經界定溝槽之一結構,如圖1H中所示。之後,繼續蝕刻電介質層111及109直至導通孔到達蝕刻終止層107,隨後將其蝕刻穿透以曝露導通孔底部之金屬層101,如圖1I中所示。隨後藉由PVD保形地沈積一擴散障壁材料層105以在凹部特徵內及在場區中給基板加襯裏。隨後用金屬121(例如電沈積銅或其合金)填充凹部特徵,且通常在該場中有一定過負荷,從而提供圖1J中所示之一結構。之後,自該結構之場區移除金屬過負荷、擴散障壁材料105、硬遮罩層113及電介質緩衝層111,從而形成部分已製成之一裝置,其具有駐留於低k電介質層109中之一金屬互連,如圖1K中所示。在其他處理方案中,將不移除緩衝層111且其將保留在基板上。After the photoresist 115 has been deposited, it is patterned using standard lithography techniques to form an opening having a width t that can be used to subsequently form trenches. The resulting structure with patterned photoresist layer 115 is shown in Figure 1B. Thereafter, the hard mask layer 113 residing under the removed photoresist is opened (etched) to form a pattern of exposed dielectric 111, as shown in FIG. 1C. The remaining hard mask will be used to protect the dielectric during photoresist removal and subsequent dielectric etching. Thereafter, the photoresist layer 115 is removed from the structure by, for example, ashing, and a structure having the exposed patterned hard mask 113 is formed. At this stage, patterning is started to form a via hole. To pattern a via, a filler layer 117, which may comprise a removable dielectric (eg, HSQ or MSQ), is deposited over the surface of the structure to fill the opening in the hard mask, as shown in FIG. 1E. . Thereafter, a second photoresist layer 119 is deposited over the filler layer 117 (with an optional anti-reflective layer therebetween) to form the structure shown in FIG. 1F. Photoresist 119 is then patterned to form an opening having a width of V, which can be used to form a via, as shown in structure 1G. Thereafter, the hard mask under the photoresist pattern is removed, and a via is etched in the dielectric 109 using, for example, RIE. The photoresist 119 and the filler layer 117 are removed to form a structure having a portion of the etched via and a defined trench, as shown in FIG. 1H. Thereafter, the dielectric layers 111 and 109 are continued to be etched until the vias reach the etch stop layer 107, which is then etched through to expose the metal layer 101 at the bottom of the via holes, as shown in FIG. A diffusion barrier material layer 105 is then conformally deposited by PVD to line the substrate within the recess features and in the field regions. The recess features are then filled with metal 121 (e.g., electrodeposited copper or alloys thereof) and typically have a certain amount of overload in the field to provide one of the structures shown in Figure 1J. Thereafter, the metal overload, the diffusion barrier material 105, the hard mask layer 113, and the dielectric buffer layer 111 are removed from the field region of the structure to form a partially fabricated device having residen in the low-k dielectric layer 109. One of the metal interconnects is shown in Figure 1K. In other processing schemes, the buffer layer 111 will not be removed and it will remain on the substrate.

如圖1A至1K中所示涉及形成一部分導通孔之處理方案圖解說明一種用於低k電介質之可能之圖案化方案。本文所提供硬遮罩材料可用於各種其他處理方案中,包括導通孔優先及溝槽優先兩種方案。A processing scheme involving the formation of a portion of vias as illustrated in Figures 1A through 1K illustrates a possible patterning scheme for low k dielectrics. The hard mask materials provided herein can be used in a variety of other processing options, including via priority and trench priority.

在前端處理中之用途Use in front-end processing

所提供硬遮罩之另一說明性用途係在前端處理期間保護多晶矽。多晶矽廣泛用於形成半導體晶圓上之主動裝置(例如電晶體)。在某些實施例中,將所提供硬遮罩材料沈積至多晶矽上,且使用其在用於主動裝置製作之各種處理操作期間保護多晶矽。值得注意的係,在諸多實施例中之前端處理中,所提供硬遮罩層並非犧牲品且保留在最終裝置中且與多晶矽接觸。Another illustrative use of the provided hard mask is to protect the polysilicon during front end processing. Polycrystalline germanium is widely used to form active devices (such as transistors) on semiconductor wafers. In some embodiments, the provided hard mask material is deposited onto the polysilicon and used to protect the polysilicon during various processing operations for active device fabrication. It is noted that in the previous end processing in many embodiments, the hard mask layer provided is not a victim and remains in the final device and is in contact with the polysilicon.

一說明性前端處理方案顯示於圖4之製程流程圖中,且藉由圖2A至2E中所示部分已製成之結構之示意性剖視圖來進一步圖解說明。參照圖4,該製程在401中開始,其提供具有駐留於氧化物層(例如氧化矽、氧化鉿等)上方之一經曝露多晶矽層之一基板。在其他實施例中,多晶矽可駐留於不同主動層之上方。氧化物通常駐留於一單晶矽層上。為使氧化物及多晶矽層圖案化,在多晶矽層上方沈積兩個硬遮罩層。將第一硬遮罩直接沈積至多晶矽層上且其包括本文所述之一材料,例如SiCx (經摻雜或未經摻雜)、Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 、Bx Cy 及GeNx ,如操作403中所示。硬遮罩係藉由CVD技術、更佳藉由PECVD來沈積。之後,在操作405中在第一硬遮罩上方沈積一可灰化硬遮罩(例如基本上由碳(視情況存在氫)組成之硬遮罩)。可灰化硬遮罩亦可藉由一CVD技術(例如藉由PECVD沈積)使用一烴前體來沈積。之後,在可灰化硬遮罩上沈積一光阻劑層且根據需要對光阻劑進行圖案化,如操作407中所示。可視情況在可灰化硬遮罩與光阻劑之間沈積一個或多個抗反射層,其未顯示以保持清晰。具有一未圖案化光阻劑之一說明性結構圖解說明於圖2A中,其中層201係一單晶矽層。駐留於矽層201上之層203係氧化物層。氧化物層203頂上之層205係一多晶矽層。本文所述之一硬遮罩材料207直接駐留於多晶矽205頂上,且一可灰化硬遮罩(例如一碳硬遮罩)209駐留於第一硬遮罩層207上方。一光阻劑層211駐留於可灰化硬遮罩209上方(兩者之間的可選抗反射層未顯示)。在光阻劑圖案化後獲得之結構顯示於圖2B中,其圖解說明在兩個位置移除光阻劑,從而留下兩個位置之間的部分。An illustrative front end processing scheme is shown in the process flow diagram of FIG. 4 and further illustrated by a schematic cross-sectional view of the partially fabricated structure shown in FIGS. 2A through 2E. Referring to Figure 4, the process begins in 401, which provides a substrate having one of the exposed polysilicon layers residing over an oxide layer (e.g., hafnium oxide, tantalum oxide, etc.). In other embodiments, the polysilicon can reside above different active layers. The oxide typically resides on a single crystal germanium layer. To pattern the oxide and polysilicon layers, two hard mask layers are deposited over the polysilicon layer. Depositing the first hard mask directly onto the polysilicon layer and including one of the materials described herein, such as SiC x (doped or undoped), Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , B x C y and GeN x are as shown in operation 403. Hard masks are deposited by CVD techniques, preferably by PECVD. Thereafter, an ashable hard mask (e.g., a hard mask consisting essentially of carbon (wherever hydrogen is present) is deposited over the first hard mask in operation 405. The ashable hard mask can also be deposited using a hydrocarbon precursor by a CVD technique (e.g., by PECVD deposition). Thereafter, a photoresist layer is deposited over the ashable hard mask and the photoresist is patterned as desired, as shown in operation 407. One or more anti-reflective layers may optionally be deposited between the ashable hard mask and the photoresist, which are not shown to remain sharp. An illustrative structure having an unpatterned photoresist is illustrated in Figure 2A, wherein layer 201 is a single crystal germanium layer. Layer 203, which resides on ruthenium layer 201, is an oxide layer. The layer 205 on top of the oxide layer 203 is a polycrystalline layer. One of the hard mask materials 207 described herein resides directly on top of the polysilicon 205, and an ashable hard mask (eg, a carbon hard mask) 209 resides over the first hard mask layer 207. A photoresist layer 211 resides over the ashable hard mask 209 (an optional anti-reflective layer between the two is not shown). The structure obtained after patterning of the photoresist is shown in Figure 2B, which illustrates the removal of the photoresist at two locations, leaving a portion between the two locations.

再次參照圖4,該製程遵循操作409使用用於圖案化之可灰化硬遮罩在多晶矽及氧化物層中蝕刻一期望圖案。此由結構2C至2E圖解說明。在結構2C中,在光阻劑圖案化後曝露之部分處給可灰化硬遮罩層209開口(蝕刻)。之後,完全移除光阻劑211,且在未受可灰化硬遮罩層209保護之部分處蝕刻第一硬遮罩層207、多晶矽層205及氧化物層203,從而提供圖2D中所示之一結構。Referring again to FIG. 4, the process follows operation 409 using a ashable hard mask for patterning to etch a desired pattern in the polysilicon and oxide layers. This is illustrated by structures 2C through 2E. In structure 2C, the ashable hard mask layer 209 is opened (etched) at the portion where the photoresist is exposed after patterning. Thereafter, the photoresist 211 is completely removed, and the first hard mask layer 207, the polysilicon layer 205, and the oxide layer 203 are etched at portions not protected by the ashable hard mask layer 209, thereby providing the structure of FIG. 2D. Show one structure.

再次參照圖4,在操作411中,藉由(例如)氧電漿處理移除可灰化硬遮罩,同時在多晶矽層上留下含有選自由以下各項組成之群組之一材料之第一硬遮罩層:SiCx (經摻雜或未經摻雜)、Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 、Bx Cy 及GeNx 。所得結構顯示於圖2E中。在後續前端處理期間可保留硬遮罩層207且其可用於在各種後續操作期間(例如在將摻雜劑植入晶體矽中期間)保護多晶矽。應注意,所述製程序列中之硬遮罩材料並不發揮實際掩蔽作用(掩蔽係藉由可灰化硬遮罩209來完成),而係主要用於保護多晶矽。相依於整合方案,硬遮罩207可在後續前端操作中(例如在清潔中之乾式或濕式蝕刻期間,或在用於界定一閘之氧化物之蝕刻期間)用於掩蔽。硬遮罩材料可最終自最終裝置移除,或可保留在裝置中,此相依於所用整合方案。Referring again to FIG. 4, in operation 411, the ashable hard mask is removed by, for example, an oxygen plasma treatment while leaving a layer on the polysilicon layer containing a material selected from the group consisting of: A hard mask layer: SiC x (doped or undoped), Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , B x C y and GeN x . The resulting structure is shown in Figure 2E. The hard mask layer 207 may be retained during subsequent front end processing and may be used to protect the polysilicon during various subsequent operations, such as during implantation of dopants into the crystal germanium. It should be noted that the hard mask material in the process column does not perform an actual masking effect (the masking is accomplished by the ashable hard mask 209) and is primarily used to protect the polysilicon. Depending on the integration scheme, the hard mask 207 can be used for masking in subsequent front end operations, such as during dry or wet etching in cleaning, or during etching to define a gate oxide. The hard mask material may eventually be removed from the final device or may remain in the device, depending on the integration scheme used.

上文所圖解說明之後端及前端應用係作為例示性序列來提供,且應理解,所提供材料可用於各種需要高硬度材料來保護下伏層之其他製程中。The rear and front end applications illustrated above are provided as exemplary sequences, and it should be understood that the materials provided can be used in a variety of other processes that require high hardness materials to protect the underlying layers.

現在將詳細闡述適宜硬遮罩材料之製備。The preparation of suitable hard mask materials will now be described in detail.

多層碳化矽薄膜Multilayer tantalum carbide film

在一個實施例中,提供具有高硬度及低應力之一多層碳化矽薄膜。具體而言,在某些實施例中,該薄膜之硬度大於約12 GPa,例如大於約18 GPa,且應力介於約-600 Mpa至600 MPa之間,例如介於約-300 Mpa至300 MPa之間。該薄膜係藉由沈積經摻雜或未經摻雜碳化矽材料之子層並在沈積每一子層後執行一緻密化電漿後處理來形成。In one embodiment, a multilayered tantalum carbide film having high hardness and low stress is provided. In particular, in certain embodiments, the film has a hardness greater than about 12 GPa, such as greater than about 18 GPa, and a stress between about -600 Mpa and 600 MPa, such as between about -300 Mpa and 300 MPa. between. The film is formed by depositing a sub-layer of doped or undoped tantalum carbide material and performing a uniform densified plasma post-treatment after depositing each sub-layer.

儘管碳化矽可使用各種方法來沈積,但在某些實施例中,較佳在一個PECVD設備中沈積子層並執行電漿後處理。每一子層之厚度通常小於約100,例如小於約50,以允許材料完全地緻密化。沈積可涉及任一數目之子層之形成及電漿處理以達成適宜硬遮罩厚度。在某些實施例中,沈積至少2個子層,例如至少10個子層,或至少約20個子層。Although tantalum carbide can be deposited using a variety of methods, in some embodiments, it is preferred to deposit a sub-layer in a PECVD apparatus and perform a post-plasma treatment. The thickness of each sub-layer is usually less than about 100 , for example, less than about 50 To allow the material to be completely densified. Deposition may involve the formation of any number of sub-layers and plasma treatment to achieve a suitable hard mask thickness. In certain embodiments, at least 2 sub-layers, such as at least 10 sub-layers, or at least about 20 sub-layers are deposited.

用於形成多層碳化矽薄膜之一例示性製程流程圖顯示於圖5A中。在操作501中,將一半導體基板(例如具有一經曝露電介質層或一經曝露多晶矽層之一基板)提供至一PECVD製程室中。PECVD製程室含有用於引入前體之入口及一電漿產生器。在某些實施例中,較佳者為具有HF及LF產生器組件之雙頻RF電漿產生器。An exemplary process flow diagram for forming a multilayer tantalum carbide film is shown in Figure 5A. In operation 501, a semiconductor substrate (eg, having an exposed dielectric layer or an exposed polysilicon layer substrate) is provided to a PECVD process chamber. The PECVD process chamber contains an inlet for introducing a precursor and a plasma generator. In some embodiments, a dual frequency RF plasma generator having HF and LF generator components is preferred.

在操作503中,形成經摻雜或未經摻雜碳化矽之一第一子層,其中沈積包含使一含矽前體流入至製程室中並形成一電漿。在一個實例中,使用HF RF頻率為約13.56 MHz且LF RF頻率為400 kHz之雙頻電漿。在此實例中,HF功率密度為約0.04至0.2 W/cm2 ,且LF功率密度為約0.17至0.6 W/cm2In operation 503, a first sub-layer of doped or undoped niobium carbide is formed, wherein depositing comprises flowing a niobium-containing precursor into the process chamber and forming a plasma. In one example, a dual frequency plasma with an HF RF frequency of about 13.56 MHz and a LF RF frequency of 400 kHz is used. In this example, the HF power density is from about 0.04 to 0.2 W/cm 2 and the LF power density is from about 0.17 to 0.6 W/cm 2 .

可使用各種含矽前體,包括有機矽前體,例如烷基矽烷、烯基矽烷及炔基矽烷。在某些實施例中,較佳者為飽和前體,例如四甲基矽烷、三異丙基矽烷及1,1,3,3-四甲基1,3-二矽環丁烷。Various ruthenium containing precursors can be used, including organic ruthenium precursors such as alkyl decane, alkenyl decane and alkynyl decane. In certain embodiments, preferred are saturated precursors such as tetramethylnonane, triisopropyldecane, and 1,1,3,3-tetramethyl1,3-dioxanecyclobutane.

在某些實施例中,含矽前體包括碳,如上文實例中所述。在其他實施例中,可在製程氣體中使用一無碳含矽前體(例如矽烷)及一單獨含碳前體(例如烴)。此外,在某些實施例中,製程氣體可包括一烴前體及一有機矽前體。In certain embodiments, the cerium-containing precursor comprises carbon, as described in the examples above. In other embodiments, a carbon-free ruthenium-containing precursor (e.g., decane) and a separate carbon-containing precursor (e.g., a hydrocarbon) may be used in the process gas. Moreover, in certain embodiments, the process gas can include a hydrocarbon precursor and an organic germanium precursor.

通常將含矽前體與一載運氣體(例如一惰性氣體,例如He、Ne、Ar、Kr或Xe)一起引入至製程室中。在某些實施例中,沈積製程氣體中可包括H2 。在一個實例中,沈積製程氣體基本上由四甲基矽烷(流速為約500至2,000 sccm)及氦(流速為約3至5 slm)組成。The ruthenium containing precursor is typically introduced into the process chamber along with a carrier gas such as an inert gas such as He, Ne, Ar, Kr or Xe. In certain embodiments, H 2 may be included in the deposition process gas. In one example, the deposition process gas consists essentially of tetramethyl decane (flow rate of about 500 to 2,000 sccm) and ruthenium (flow rate of about 3 to 5 slm).

若需要形成一經摻雜碳化矽層,則將一適宜摻雜劑添加至製程氣體中。例如,可將N2 、NH3 、N2 H4 、胺、或一不同含氮前體添加至製程氣體中以形成氮摻雜碳化矽。可添加諸如二硼烷等含硼前體以形成一含硼碳化矽。可添加含磷前體(例如PH3 )以形成一磷摻雜碳化矽。If it is desired to form a doped layer of tantalum carbide, a suitable dopant is added to the process gas. For example, N 2 , NH 3 , N 2 H 4 , an amine, or a different nitrogen-containing precursor can be added to the process gas to form a nitrogen-doped tantalum carbide. A boron-containing precursor such as diborane may be added to form a boron-containing niobium carbide. A phosphorus-containing precursor may be added (e.g., PH 3) to form a phosphorus-doped silicon carbide.

在點燃電漿且已形成期望厚度之碳化矽子層後,在操作505中自製程室移除含矽前體。在某些實施例中,此係藉由用一吹掃氣體吹掃製程室來完成的,該吹掃氣體可含有選自由以下各項組成之群組之一氣體:惰性氣體(例如He、Ar)、CO2 、N2 、NH3 、H2 及其混合物。在某些實施例中,He、Ar、H2 或其各種混合物係較佳之一吹掃氣體。在操作507中,在完全移除含矽前體後,將一電漿處理製程氣體(其可與吹掃氣體相同或不同)引入至製程室中並較佳在LF/HF功率比為至少約1.5、例如至少約2之條件下用電漿處理第一子層。在操作509中,重複沈積及電漿後處理以形成含有至少2個子層、例如至少10個子層之一多層薄膜。執行每一子層之電漿後處理達薄膜緻密化所需之一時間週期,且該時間週期可相依於子層厚度。在某些實施例中,執行電漿後處理達約5至25秒,例如每一子層執行約8至15秒。After the plasma is ignited and a desired thickness of the carbonized hazel layer has been formed, the cerium-containing precursor is removed from the process chamber in operation 505. In some embodiments, this is accomplished by purging the process chamber with a purge gas, which may contain a gas selected from the group consisting of: inert gases (eg, He, Ar) ), CO 2 , N 2 , NH 3 , H 2 and mixtures thereof. In certain embodiments, He, Ar, H 2 or a mixture of one of various preferred purge gas lines. In operation 507, after the ruthenium containing precursor is completely removed, a plasma processing process gas (which may be the same or different from the purge gas) is introduced into the process chamber and preferably has a LF/HF power ratio of at least about 1.5. The first sub-layer is treated with a plasma, for example at least about 2. In operation 509, the deposition and plasma post treatment are repeated to form a multilayer film comprising at least 2 sublayers, such as at least 10 sublayers. The plasma post-treatment of each sub-layer is performed for one time period required for film densification, and the time period can be dependent on the sub-layer thickness. In certain embodiments, post-plasma processing is performed for about 5 to 25 seconds, for example, each sub-layer is performed for about 8 to 15 seconds.

發現所得薄膜之結構及特性與習用碳化矽薄膜之彼等結構及特性不同。意外地發現,藉由多個緻密化電漿後處理製備之多層薄膜可同時具有高硬度及低應力,而習用沈積方法不能達成此結果。The structure and characteristics of the obtained film were found to be different from those of the conventional tantalum carbide film. Surprisingly, it has been found that multilayer films prepared by post-treatment of multiple densified plasmas can have both high hardness and low stress, which is not achieved by conventional deposition methods.

此等薄膜之結構表徵顯示,此等薄膜之紅外(IR)光譜具有表徵性高Si-C/Si-H及Si-C/C-H峰比,其中該等比係指中心位於約760至800 cm-1 (Si-C)、2070至2130 cm-1 (Si-H)及2950至3000 cm-1 (C-H)處之對應IR峰面積之比。The structural characterization of these films shows that the infrared (IR) spectra of these films have characteristic high Si-C/Si-H and Si-C/CH peak ratios, where the ratios are centered at about 760 to 800 cm. The ratio of the corresponding IR peak areas at -1 (Si-C), 2070 to 2130 cm -1 (Si-H), and 2950 to 3000 cm -1 (CH).

在某些實施例中,IR光譜中Si-C峰相對於C-H峰之面積比為至少約50且Si-C/Si-H比為至少約20。所提供薄膜通常亦具有至少約2 g/cm3 之一密度。In certain embodiments, the ratio of the area of the Si-C peak to the CH peak in the IR spectrum is at least about 50 and the Si-C/Si-H ratio is at least about 20. The films provided also typically have a density of at least about 2 g/cm 3 .

圖5B顯示未經電漿後處理獲得之一單層未經摻雜碳化矽薄膜之IR光譜(曲線a)及經多個緻密化電漿處理獲得之一多層未經摻雜碳化矽薄膜之IR光譜(曲線b)。在2.1托之一壓力下藉由使含有四甲基矽烷(流速為1,000 sccm)及氦(流速為3000 sccm)之一製程氣體流動而在一300 mm晶圓上沈積單層薄膜。在沈積期間使用LF功率密度為約0.25 W/cm2 且HF功率密度為約0.13 W/cm2 之雙頻電漿。對於子層沈積,在相同條件下沈積多層薄膜,但其另外包括在每一子層沈積後實施之電漿後處理。後處理涉及在2.1托之一室壓下使作為一後處理氣體之氬以3 slm之速率流入至製程室中,及形成LF功率密度為約0.25 W/cm2 且HF功率密度為約0.13 W/cm2 之一雙.頻電漿。所得單層薄膜由約15之SiC/SiH面積比表徵。藉由緻密化電漿處理形成之所得多層薄膜由約24之SiC/SiH IR峰面積比表徵。多層薄膜之楊氏模量為約170 GPa且硬度為約20.4 GPa,而單層薄膜之楊氏模量為約95 GPa且硬度僅為約12 GPa。單層薄膜及多層薄膜之應力值分別為-20 MPa及179 MPa。5B shows an IR spectrum (curve a) of a single-layer undoped tantalum carbide film obtained by plasma post-treatment and a multilayer undoped tantalum carbide film obtained by a plurality of densified plasma treatments. IR spectrum (curve b). A single layer of film was deposited on a 300 mm wafer by flowing a process gas containing one of tetramethylnonane (flow rate of 1,000 sccm) and ruthenium (flow rate of 3000 sccm) at a pressure of 2.1 Torr. A dual frequency plasma having a LF power density of about 0.25 W/cm 2 and an HF power density of about 0.13 W/cm 2 was used during deposition. For sub-layer deposition, a multilayer film is deposited under the same conditions, but additionally includes post-plasma treatment performed after deposition of each sub-layer. Post-treatment involves flowing argon as a post-treatment gas into the process chamber at a rate of 3 slm at a pressure of 2.1 Torr, and forming a LF power density of about 0.25 W/cm 2 and an HF power density of about 0.13 W. /cm 2 one of the double. Frequency plasma. The resulting single layer film was characterized by an SiC/SiH area ratio of about 15. The resulting multilayer film formed by densification plasma treatment is characterized by a SiC/SiH IR peak area ratio of about 24. The multilayer film has a Young's modulus of about 170 GPa and a hardness of about 20.4 GPa, while the single layer film has a Young's modulus of about 95 GPa and a hardness of only about 12 GPa. The stress values of the single-layer film and the multilayer film were -20 MPa and 179 MPa, respectively.

圖5C圖解說明兩個使用緻密化電漿後處理製備之多層未經摻雜碳化矽薄膜之應力及硬度值及兩個未經後處理製備之單層未經摻雜碳化矽薄膜之應力及硬度值。圖5D圖解說明相同薄膜之應力及楊氏模量值。表1概述薄膜之沈積及後處理條件。Figure 5C illustrates the stress and hardness values of two layers of undoped tantalum carbide film prepared by using densified plasma post-treatment and the stress and hardness of two uncoated undoped tantalum carbide films prepared without post-treatment. value. Figure 5D illustrates the stress and Young's modulus values of the same film. Table 1 summarizes the deposition and post-treatment conditions of the film.

所有薄膜均係在約2托之一壓力下使用四甲基矽烷與氦之一混合物作為一沈積製程氣體來製備。在所有沈積情形下均使用雙頻電漿產生。HF及LF電漿之功率密度列示於表中,其中該功率密度係藉由將功率除以基板面積來計算。薄膜A及D係未經電漿後處理製備之單層薄膜。可見,此等薄膜不能同時具有高硬度及低應力。例如,薄膜A儘管相對較硬(22.4 GPa),但具有-830 MPa之一極高壓縮應力。薄膜D儘管應力較小(-20 MPa),但僅具有12 GPa之中等硬度。All films were prepared using a mixture of tetramethylnonane and hydrazine as a deposition process gas at a pressure of about 2 Torr. Dual frequency plasma generation was used in all deposition scenarios. The power densities of the HF and LF plasmas are listed in the table, where the power density is calculated by dividing the power by the substrate area. Films A and D were single-layer films prepared without post-treatment of the plasma. It can be seen that these films cannot have both high hardness and low stress. For example, film A, although relatively hard (22.4 GPa), has an extremely high compressive stress of -830 MPa. Film D has a medium hardness of 12 GPa despite its low stress (-20 MPa).

薄膜B及C係多層薄膜,其中在沈積每一碳化矽子層後執行電漿後處理。在約2托之一壓力下使用氬作為電漿處理氣體。使用雙頻電漿產生進行電漿後處理。HF及LF電漿之功率密度列示於表中。意外的係,發現多層薄膜同時具有高硬度(及/或模量)及低應力。例如,薄膜B具有20.86 GPa之一硬度及-412 MPa之一應力(該應力比薄膜A之應力低2倍以上)。此外,多層薄膜C具有20.4 GPa之一高硬度及179 MPa之一拉伸應力。薄膜C之硬度大於薄膜D硬度之1.5倍。應注意,除了電漿後處理以外,薄膜C與D係在相同條件下沈積的。可見,電漿後處理使薄膜更硬且不會使薄膜之壓縮應力出現不可接受之增加。Film B and C multilayer films in which post-plasma treatment is performed after depositing each of the carbonized hazel layers. Argon was used as the plasma treatment gas at a pressure of about 2 Torr. Plasma post treatment is performed using dual frequency plasma generation. The power densities of the HF and LF plasmas are listed in the table. Unexpectedly, the multilayer film was found to have both high hardness (and/or modulus) and low stress. For example, the film B has a hardness of 20.86 GPa and a stress of -412 MPa (this stress is more than 2 times lower than the stress of the film A). Further, the multilayer film C has a high hardness of 20.4 GPa and a tensile stress of 179 MPa. The hardness of the film C is greater than 1.5 times the hardness of the film D. It should be noted that in addition to the post-plasma treatment, films C and D were deposited under the same conditions. It can be seen that post-plasma treatment makes the film harder and does not cause an unacceptable increase in the compressive stress of the film.

在某些實施例中,較佳使用LF功率大於HF功率(例如LF/HF功率比為至少約1.5或至少約2)之雙頻電漿對碳化矽子層執行後處理。意外的係,提高在後處理期間所用LF/HF功率之比可改良所獲得薄膜之特性。提高LF/HF功率比可提高所獲得薄膜之折射率,折射率係與薄膜硬度成正相關之一參數。在某些實施例中,提供折射率為至少約2.25、例如至少約2.30之多層碳化矽薄膜。薄膜折射率隨LF/HF功率比之增加而增加展示於表2中。In some embodiments, the post-treatment of the niobium carbide layer is preferably performed using a dual frequency plasma having a LF power greater than HF power (e.g., a LF/HF power ratio of at least about 1.5 or at least about 2). Unexpectedly, increasing the ratio of LF/HF power used during post-treatment can improve the properties of the resulting film. Increasing the LF/HF power ratio increases the refractive index of the obtained film, and the refractive index is a parameter that is positively correlated with the film hardness. In certain embodiments, a multilayer tantalum carbide film having a refractive index of at least about 2.25, such as at least about 2.30, is provided. The increase in film refractive index as a function of the LF/HF power ratio is shown in Table 2.

含硼硬遮罩薄膜Boron-containing hard mask film

在另一態樣中,提供含硼硬遮罩薄膜。含硼薄膜包括選自由以下各項組成之群組之一材料:Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 及Bx Cy 。在某些實施例中,此等材料經改造而具有高硬度(例如硬度為至少約12 GPa,較佳至少約16 GPa)及低應力(例如應力介於約-600與600 MPa之間,較佳介於約-300與300 MPa之間)。有利地,在某些實施例中,提供無壓縮應力之含硼薄膜,例如具有極低拉伸應力(例如介於約0至300 MPa之間)之薄膜。此外,含硼薄膜之親水性通常強於未經摻雜碳化矽薄膜,且可更易於藉由CMP(例如使用含有過氧化氫之酸性漿液)來移除。一般而言,含硼硬遮罩可藉由各種方法來製備,例如基於CVD之技術及基於PVD之技術。在某些實施例中,對於製備含硼硬遮罩而言,PECVD係較佳的。In another aspect, a boron-containing hard mask film is provided. The boron-containing film includes a material selected from the group consisting of: Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y , and B x C y . In certain embodiments, the materials are modified to have a high hardness (e.g., a hardness of at least about 12 GPa, preferably at least about 16 GPa) and a low stress (e.g., a stress between about -600 and 600 MPa, Good between about -300 and 300 MPa). Advantageously, in certain embodiments, a boron-containing film having no compressive stress is provided, such as a film having an extremely low tensile stress (e.g., between about 0 and 300 MPa). In addition, the boron-containing film is generally more hydrophilic than the undoped tantalum carbide film and can be more easily removed by CMP (eg, using an acidic slurry containing hydrogen peroxide). In general, boron-containing hard masks can be prepared by a variety of methods, such as CVD-based techniques and PVD-based techniques. In certain embodiments, PECVD is preferred for preparing boron-containing hard masks.

參照圖6,用於在後端處理中使用一含硼硬遮罩之一例示性製程流程。該製程在601中藉由在一PECVD製程室中提供包含一經曝露電介質層之一半導體基板來開始。電介質層可係(例如)一超低k電介質層(例如k小於約2.8,例如小於約2.4)或具有較高介電常數之一緩衝電介質層。Referring to Figure 6, an exemplary process flow for using a boron-containing hard mask in a back end process. The process begins in 601 by providing a semiconductor substrate comprising an exposed dielectric layer in a PECVD process chamber. The dielectric layer can be, for example, an ultra low k dielectric layer (e.g., k less than about 2.8, such as less than about 2.4) or a buffer dielectric layer having a higher dielectric constant.

在操作601中,沈積選自由以下各項組成之群組之一高硬度低應力含硼硬遮罩薄膜:Six By Cz 、Six By Nz 、Six By Cz Nw 、Bx Ny 及Bx Cy 。該沈積係藉由使包含適當前體之一製程氣體流入至製程室中並形成一電漿來執行。在某些實施例中,雙頻電漿係較佳的。在某些實施例中,在LF電漿之功率密度大於HF電漿之功率密度(例如LF/HF功率比為至少約1.5,例如至少約2)時,獲得特別優良之薄膜參數。In operation 601, depositing a high hardness low stress boron-containing hard mask film selected from the group consisting of: Si x B y C z , Si x B y N z , Si x B y C z N w , B x N y and B x C y . The deposition is performed by flowing a process gas containing one of the appropriate precursors into the process chamber and forming a plasma. In some embodiments, dual frequency plasma is preferred. In certain embodiments, particularly good film parameters are obtained when the power density of the LF plasma is greater than the power density of the HF plasma (e.g., the LF/HF power ratio is at least about 1.5, such as at least about 2).

在沈積薄膜後,在605中對電介質進行圖案化,以形成溝槽及/或導通孔,例如如參照圖1A至1K所述。含硼薄膜可在藉由RIE對電介質實施乾式蝕刻期間用作硬遮罩。之後,在已在電介質中形成導通孔及/或溝槽後,在操作607中用金屬對其進行填充。之後,通常在移除金屬過負荷後,在609中藉由CMP移除含硼硬遮罩。After depositing the film, the dielectric is patterned in 605 to form trenches and/or vias, for example as described with reference to Figures 1A-1K. The boron-containing film can be used as a hard mask during dry etching of the dielectric by RIE. Thereafter, after the vias and/or trenches have been formed in the dielectric, they are filled with metal in operation 607. Thereafter, the boron-containing hard mask is removed by CMP, typically at 609, after removal of the metal overload.

Six By Cz 之PECVD沈積可藉由使用含有一含矽前體、一含硼前體及一含碳前體之一製程氣體來完成。此等前體中之一者或多者可係相同分子。例如,四烷基矽烷既可作為一含碳前體亦可作為一含矽前體來發揮作用。通常使用二硼烷作為一含硼前體,可使用烷基矽烷(例如四甲基矽烷)、烯基矽烷及炔基矽烷作為含矽及含碳前體。此外,可使用飽和及不飽和烴(Cx Hy )作為含碳前體,且可使用SiH4 作為一含矽前體。PECVD deposition of Si x B y C z can be accomplished by using a process gas containing a ruthenium containing precursor, a boron containing precursor, and a carbon containing precursor. One or more of these precursors may be the same molecule. For example, tetraalkylnonane can function as either a carbon-containing precursor or as a cerium-containing precursor. Typically, diborane is used as a boron-containing precursor, and alkyl decanes (e.g., tetramethylnonane), alkenyl decane, and alkynyl decane can be used as the ruthenium-containing and carbon-containing precursors. Further, saturated and unsaturated hydrocarbons (C x H y ) can be used as the carbon-containing precursor, and SiH 4 can be used as a cerium-containing precursor.

Six By Cz Nw 之沈積可藉由在包含一含矽前體、一含硼前體、一含碳前體(如上所述)及一含氮前體之一製程氣體中形成一電漿來完成。含氮前體可包括氨、肼、N2 及其混合物。此外,含氮前體可與含碳前體相同且可包括胺,例如單烷基胺、二烷基胺及三烷基胺。含氮前體可與含硼前體相同且可包括四甲基環硼氮烷。此外,含氮前體可與含矽前體相同,例如矽氨烷。The deposition of Si x B y C z N w can be formed by a process gas comprising one of a cerium-containing precursor, a boron-containing precursor, a carbon-containing precursor (described above), and a nitrogen-containing precursor. Plasma is done. The nitrogen-containing precursor can include ammonia, hydrazine, N 2, and mixtures thereof. Further, the nitrogen-containing precursor may be the same as the carbon-containing precursor and may include an amine such as a monoalkylamine, a dialkylamine, and a trialkylamine. The nitrogen-containing precursor can be the same as the boron-containing precursor and can include tetramethylborazine. Further, the nitrogen-containing precursor may be the same as the cerium-containing precursor, such as valence.

Six By Nw 之沈積可藉由在包含一含矽前體(例如SiH4 )、一含硼前體(例如二硼烷)及一含氮前體(例如氨、肼、N2 及其各種混合物)之一製程氣體中形成一電漿來完成。The deposition of Si x B y N w can be carried out by including a cerium-containing precursor (for example, SiH 4 ), a boron-containing precursor (such as diborane), and a nitrogen-containing precursor (such as ammonia, hydrazine, N 2 and A plasma is formed in one of the various mixtures) to form a plasma.

Bx Ny 可使用包含一含硼前體(例如二硼烷)及一含氮前體(例如氨、肼、N2 及其混合物)之一製程氣體來沈積。B x N y can be deposited using a process gas comprising a boron-containing precursor (e.g., diborane) and a nitrogen-containing precursor (e.g., ammonia, hydrazine, N 2 , and mixtures thereof).

Bx Cy 可使用包含一含硼前體(例如二硼烷)及一含碳前體(例如一飽和或不飽和烴)之一製程氣體來沈積。諸如氦或氬等一惰性載運氣體通常係在此等含硼薄膜之沈積期間所用製程氣體之一部分。在某些實施例中,在製程氣體中亦包括H2B x C y can be deposited using a process gas comprising a boron-containing precursor (e.g., diborane) and a carbon-containing precursor (e.g., a saturated or unsaturated hydrocarbon). An inert carrier gas such as helium or argon is typically part of the process gas used during the deposition of such boron-containing films. In certain embodiments, H 2 is also included in the process gas.

圖6B圖解說明各種藉由PECVD沈積之Six By Cz 、Six By Nz 、Six By Cz Nw 薄膜之硬度及應力參數。圖6C圖解說明相同薄膜之楊氏模量及應力參數。所獲得薄膜之沈積條件及特性列示於表3中。Figure 6B illustrates the hardness and stress parameters of various Si x B y C z , Si x B y N z , Si x B y C z N w films deposited by PECVD. Figure 6C illustrates the Young's modulus and stress parameters of the same film. The deposition conditions and characteristics of the obtained film are shown in Table 3.

所有薄膜均係在介於約2至約4托範圍內之一壓力下使用雙頻電漿沈積於一300 mm晶圓上,其中HFRF功率密度介於約0.08至約0.30範圍內,且LFRF功率密度介於約0.10至約0.24 W/cm2 範圍內。All films were deposited on a 300 mm wafer using dual frequency plasma at a pressure ranging from about 2 to about 4 Torr, with HFRF power density ranging from about 0.08 to about 0.30, and LFRF power. The density is in the range of from about 0.10 to about 0.24 W/cm 2 .

在一個實施例中,Six By Cz 薄膜係使用基本上由B2 H6 、四甲基矽烷(4MS)及He組成之一製程氣體來沈積。B2 H6 之流速可在介於約2,000至4,000 sccm之間的範圍內,較佳介於約3,500至4,000 sccm之間,而四甲基矽烷之流速可介於約1,000至1,500 sccm範圍內。較佳使用介於約3至8 slm之間的一載運氣體(例如He)流速。在某些實施例中使用HFRF功率密度介於約0.04至0.26 W/cm2 之間且LFRF功率密度介於約0.14至0.53 W/cm2 之間的雙頻電漿。In one embodiment, the Si x B y C z film is deposited using a process gas consisting essentially of B 2 H 6 , tetramethyl decane (4MS), and He. The flow rate of B 2 H 6 may range between about 2,000 to 4,000 sccm, preferably between about 3,500 and 4,000 sccm, and the flow rate of tetramethylnonane may range from about 1,000 to 1,500 sccm. A carrier gas (e.g., He) flow rate between about 3 and 8 slm is preferred. Dual frequency plasma having an HFRF power density between about 0.04 and 0.26 W/cm 2 and a LFRF power density between about 0.14 and 0.53 W/cm 2 is used in certain embodiments.

意外地發現,所獲得薄膜之硬度高度相依於B2 H6 與四甲基矽烷(4MS)之比。較佳使用至少約2、例如至少約3之B2 H6 /4MS流速比,以獲得高硬度富硼薄膜。It was unexpectedly found that the hardness of the obtained film was highly dependent on the ratio of B 2 H 6 to tetramethyl decane (4MS). Preferably, a B 2 H 6 /4 MS flow rate ratio of at least about 2, such as at least about 3, is used to obtain a high hardness boron-rich film.

圖6D圖解說明Six By Cz 薄膜之硬度隨B2 H6 /4MS流速比而變。可見,藉由將流速比自約0.5提高至約3.5可將硬度提高約2倍。不同流速比之對應硬度及應力值顯示於表3中。Figure 6D illustrates the hardness of the Si x B y C z film as a function of the B 2 H 6 /4MS flow rate ratio. It can be seen that the hardness is increased by about 2 times by increasing the flow rate from about 0.5 to about 3.5. The corresponding hardness and stress values for different flow ratios are shown in Table 3.

在結構上,具有高硬度及高楊氏模量之薄膜由高B-C鍵結含量表徵。在某些實施例中,較佳者為BC/[BC+SiC]IR峰面積比為至少約0.35之高硬度薄膜。該比係指中心位於約1120至1160 cm-1 (B-C)及760至800 cm-1 (Si-C)處之對應IR峰面積之比。Structurally, films with high hardness and high Young's modulus are characterized by high BC bond content. In certain embodiments, a high hardness film having a BC/[BC+SiC]IR peak area ratio of at least about 0.35 is preferred. This ratio refers to the ratio of the corresponding IR peak areas centered at about 1120 to 1160 cm -1 (BC) and 760 to 800 cm -1 (Si-C).

圖6E圖解說明各種Six By Cz 薄膜之楊氏模量及應力參數隨BC/[BC+SiC]面積比而變之相依性。可見,BC/[BC+SiC]小於約0.3之薄膜比具有較高B-C鍵結含量之薄膜顯著更軟。表4概述關於三種Six By Cz 薄膜之所獲得資料。所有三種薄膜均係在2.1托之一壓力下使用HFRF功率密度為約0.12 W/cm2 且LFRF功率密度為約0.22 W/cm2 之雙頻電漿以由B2 H6 (流速自500 sccm變至3500 sccm)、4MS(流速為1,000 sccm)及He(流速為3,000 sccm)組成之製程氣體來沈積。隨B-C含量而變之硬度、應力及楊氏模量參數展示於表4中。Figure 6E illustrates the dependence of the Young's modulus and stress parameters of various Si x B y C z films on the BC/[BC+SiC] area ratio. It can be seen that a film having a BC/[BC+SiC] of less than about 0.3 is significantly softer than a film having a higher BC bond content. Table 4 summarizes the information obtained for the three Si x B y C z films. All three films were used at a pressure of 2.1 scTorr using a dual frequency plasma with an HFRF power density of about 0.12 W/cm 2 and a LFRF power density of about 0.22 W/cm 2 from B 2 H 6 (flow rate from 500 sccm) A process gas consisting of 3500 sccm), 4MS (flow rate of 1,000 sccm) and He (flow rate of 3,000 sccm) was deposited. The hardness, stress and Young's modulus parameters as a function of BC content are shown in Table 4.

在某些實施例中,較佳使用LF功率大於HF功率(例如LF/HF功率比為至少約1.5,至少約2,例如至少約3)之雙頻電漿來沈積Six By Cz 。吾人發現,提高沈積期間所用LF/HF功率比改良所獲得薄膜之特性。提高LF/HF功率比可提高所獲得薄膜之折射率,其與薄膜硬度成正相關。在某些實施例中,提供折射率為至少約2.3、例如至少約2.5、例如至少約2.6之Six By Cz 薄膜。隨LF/HF功率比增加而提高之薄膜折射率展示於表5中。In certain embodiments, a dual frequency plasma having a LF power greater than HF power (eg, a LF/HF power ratio of at least about 1.5, at least about 2, such as at least about 3) is preferably used to deposit Si x B y C z . We have found that increasing the LF/HF power used during deposition is a modification of the properties of the obtained film. Increasing the LF/HF power ratio increases the refractive index of the resulting film, which is positively correlated with film hardness. In certain embodiments, a Si x B y C z film having a refractive index of at least about 2.3, such as at least about 2.5, such as at least about 2.6, is provided. The refractive index of the film which increases with increasing LF/HF power ratio is shown in Table 5.

在Six By Nz 薄膜中,薄膜之一重要結構特徵係B-N鍵結之含量,其係使用IR光譜中之BN/[BN+SiN]峰面積比來量化,其中該比係指中心位於約1400 cm-1 (B-N)及820至850 cm-1 (Si-N)處之對應IR峰面積之比。In the Si x B y N z film, one of the important structural features of the film is the BN bond content, which is quantified using the BN/[BN+SiN] peak area ratio in the IR spectrum, where the ratio is centered The ratio of the corresponding IR peak areas at about 1400 cm -1 (BN) and 820 to 850 cm -1 (Si-N).

圖6F顯示應力及楊氏模量兩者均高度相依於此參數。具體而言,壓縮應力隨B-N鍵結含量增加而快速增大。在某些實施例中,較佳者為BN/[BN+SiN]小於約0.7、例如小於約0.6之Six By Nz 薄膜。B-N鍵結含量可根據需要藉由適當修改含矽前體及含硼前體之流速來調節。表6展示具有不同BN/[BN+SiN]比之薄膜之薄膜特性。Figure 6F shows that both stress and Young's modulus are highly dependent on this parameter. Specifically, the compressive stress rapidly increases as the BN bond content increases. In certain embodiments, a Si x B y N z film having a BN/[BN+SiN] of less than about 0.7, such as less than about 0.6 is preferred. The BN bonding content can be adjusted as needed by appropriately modifying the flow rate of the cerium-containing precursor and the boron-containing precursor. Table 6 shows the film properties of films having different BN/[BN+SiN] ratios.

如先前所提及,含硼薄膜非常適合於硬遮罩應用。含硼薄膜之一個獨特優點係其親水性,且其易於藉由CMP來移除。圖6G圖解說明各種Six By Cz 薄膜使用接觸角測試與未經摻雜碳化矽薄膜相比之親水性,其中將一滴水置於薄膜上。量測薄膜上水滴之一接觸角,其中較低接觸角對應於具有較強親水性之薄膜。測試表3中所列示之Six By Cz 薄膜4至6,並獲得38至42°之接觸角。相反,未經摻雜碳化矽薄膜之疏水性顯著較強,如一顯著較高之66°接觸角所證實。As mentioned previously, boron-containing films are well suited for hard mask applications. One unique advantage of boron-containing films is their hydrophilic nature, which is easily removed by CMP. Figure 6G illustrates the hydrophilicity of various Si x B y C z films compared to undoped tantalum carbide films using a contact angle test in which a drop of water is placed on the film. One of the contact angles of the water droplets on the film is measured, wherein the lower contact angle corresponds to a film having a stronger hydrophilicity. The Si x B y C z films 4 to 6 shown in Table 3 were tested, and a contact angle of 38 to 42° was obtained. In contrast, the hydrophobicity of the undoped tantalum carbide film is significantly stronger, as evidenced by a significantly higher 66° contact angle.

氮化鍺硬遮罩薄膜Tantalum nitride hard mask film

在另一態樣中,提供GeNx 硬遮罩薄膜。在某些實施例中,此等薄膜由至少約100 GPa、例如至少約130 GPa之高楊氏模量及高密度(例如密度大於約4 g/cm3 )表徵。GeNx 薄膜在各種後端及前端處理方案中可用作硬遮罩,且在用於圖案對準之雷射波長下足夠透明,且在使用後易於藉由CMP或濕式蝕刻技術自基板移除。In another aspect, a GeN x hard mask film is provided. In certain embodiments, the films are characterized by a high Young's modulus and a high density (e.g., a density greater than about 4 g/cm 3 ) of at least about 100 GPa, such as at least about 130 GPa. GeN x films can be used as hard masks in a variety of back-end and front-end processing schemes, and are sufficiently transparent at the laser wavelengths used for pattern alignment and are easily removed from the substrate by CMP or wet etching techniques after use. except.

在某些實施例中,較佳使用富鍺GeNx 硬遮罩薄膜。此等富鍺薄膜之鍺濃度為至少約60原子%,例如至少約70原子%,例如至少約75原子%(不包括氫)。高鍺含量使氮化鍺薄膜在該薄膜已用於圖案化之後對CMP及濕式蝕刻移除更敏感。在某些實施例中,移除係藉由在一CMP或濕式蝕刻操作中使硬遮罩與包含過氧化氫之一組合物接觸來完成。例如,可使用含有過氧化氫之酸性CMP漿液。In some embodiments, a ruthenium-rich GeN x hard mask film is preferably used. The cerium-rich film has a cerium concentration of at least about 60 atomic percent, such as at least about 70 atomic percent, such as at least about 75 atomic percent (excluding hydrogen). The high bismuth content makes the tantalum nitride film more sensitive to CMP and wet etch removal after the film has been used for patterning. In some embodiments, the removal is accomplished by contacting the hard mask with a composition comprising one of hydrogen peroxide in a CMP or wet etch operation. For example, an acidic CMP slurry containing hydrogen peroxide can be used.

在一個實例中,製備鍺濃度為約79原子%鍺、楊氏模量為約144 GPa且密度為約4.4 g/cm3 之GeNx 硬遮罩薄膜。In one example, a GeN x hard mask film having a niobium concentration of about 79 atomic percent lanthanum, a Young's modulus of about 144 GPa, and a density of about 4.4 g/cm 3 was prepared.

氮化鍺硬遮罩一般可使用各種CVD及PVD技術來製備,其中闡述PECVD來作為一說明性實例。參照圖7中所示之後端製程流程圖,該製程在701中藉由在一PECVD製程室中提供包含一經曝露電介質層之一半導體基板來開始。在操作703中,沈積鍺含量為至少約60原子%之一GeNx 硬遮罩薄膜。沈積係藉由將包含一含鍺前體(例如鍺烷)及一含氮前體(例如NH3 、N2 、N2 H4 及其各種混合物)之一製程氣體引入至製程室中並形成一電漿以沈積氮化鍺層來執行的。沈積製程氣體可視情況包括一惰性氣體,例如氦或氬。一含氮前體與一含鍺前體之流速比經選擇以形成一富鍺氮化鍺薄膜。在一個實例中,倘若前體為鍺烷及氨,則使用至少約0.05之鍺烷與氨之比。Tantalum nitride hard masks can generally be prepared using a variety of CVD and PVD techniques, of which PECVD is illustrated as an illustrative example. Referring to the subsequent end process flow diagram shown in Figure 7, the process begins in 701 by providing a semiconductor substrate comprising an exposed dielectric layer in a PECVD process chamber. In operation 703, a GeN x hard mask film having a germanium content of at least about 60 atomic percent is deposited. The deposition system is formed by introducing a process gas containing a ruthenium-containing precursor (for example, decane) and a nitrogen-containing precursor (for example, NH 3 , N 2 , N 2 H 4 and various mixtures thereof) into a process chamber. A plasma is performed by depositing a layer of tantalum nitride. The deposition process gas may optionally include an inert gas such as helium or argon. The flow rate ratio of a nitrogen-containing precursor to a ruthenium-containing precursor is selected to form a ruthenium-rich tantalum nitride film. In one example, if the precursor is decane and ammonia, a ratio of decane to ammonia of at least about 0.05 is used.

在一個說明性實例中,藉由在介於約350至450℃之間的一溫度下使基本上由鍺烷(流速介於約50至100 sccm之間)、NH3 (流速介於約600至1200 sccm之間)及N2 (流速為約12 slm)組成之一製程氣體流入至製程室中並形成一雙頻電漿以在一基板上沈積氮化鍺薄膜而在一300 mm晶圓上製備一GeNx 硬遮罩,其中該溫度係指基座處之溫度。在此圖解說明中,沈積期間之壓力介於約2.5至4托之間。在此說明性沈積製程中使用頻率為約13.56 MHz(功率密度為約0.18 W/cm2 )之HF RF組件及頻率為約400 kHz(功率密度為約0.23 W/cm2 )之LF RF組件。在某些實施例中,較佳使用功率密度大於HF組件之LF組件。In one illustrative example, by making a substantially germane (a flow rate between about 50 to 100 sccm) at a temperature ranging between about 350 to at 450 ℃, NH 3 (flow rate of between about 600 One process gas consisting of 1200 sccm) and N 2 (flow rate of about 12 slm) flows into the process chamber and forms a dual-frequency plasma to deposit a tantalum nitride film on a substrate on a 300 mm wafer. A GeN x hard mask is prepared, wherein the temperature is the temperature at the pedestal. In this illustration, the pressure during deposition is between about 2.5 and 4 Torr. An HF RF component having a frequency of about 13.56 MHz (power density of about 0.18 W/cm 2 ) and an LF RF component having a frequency of about 400 kHz (power density of about 0.23 W/cm 2 ) are used in this illustrative deposition process. In some embodiments, it is preferred to use a LF component having a higher power density than the HF component.

再次參照圖7中之製程流程圖,在已沈積氮化鍺薄膜後,在操作707中對電介質進行圖案化以形成溝槽及/或導通孔,例如如圖1A至1K中所示。在乾式蝕刻圖案化期間,例如在電介質之反應性離子蝕刻(RIE)期間,可使用氮化鍺硬遮罩。例如,可在經曝露GeNx 硬遮罩存在下使用包含Cx Fy (例如CF4 )、惰性氣體(例如Ar)及氧化劑(例如O2 )之一製程氣體藉由使具有經曝露硬遮罩及電介質層之基板與一電漿接觸而在電介質中蝕刻導通孔及/或溝槽。可使用其他乾式蝕刻,例如藉助包含Cl2 及N2 之一製程氣體之電漿蝕刻。Referring again to the process flow diagram of FIG. 7, after the tantalum nitride film has been deposited, the dielectric is patterned in operation 707 to form trenches and/or vias, such as shown in FIGS. 1A-1K. A tantalum nitride hard mask may be used during dry etch patterning, such as during reactive ion etching (RIE) of the dielectric. For example, a process gas comprising one of C x F y (eg, CF 4 ), an inert gas (eg, Ar), and an oxidant (eg, O 2 ) may be used in the presence of an exposed GeN x hard mask to provide an exposed hard cover. The substrate of the cover and the dielectric layer is in contact with a plasma to etch vias and/or trenches in the dielectric. Other dry etching may be used, for example by means of plasma etching comprises one of Cl 2 and N 2 gas of the process.

在已對電介質進行圖案化後,在操作707中用金屬填充導通孔及/或溝槽。例如,可藉由電鍍使銅沈積至凹部特徵中。之後在操作709中,藉由CMP移除硬遮罩。例如,此可在銅過負荷及擴散障壁材料之CMP移除期間完成。在某些實施例中,使用具有酸性pH且包含一過氧化物(例如過氧化氫)之一CMP漿液來移除GeNx 硬遮罩。在其他實施例中,GeNx 硬遮罩薄膜可藉由濕式蝕刻(例如使用包含H2 SO4 及H2 O2 之溶液,其可以一3:1之比存在)來移除。After the dielectric has been patterned, the vias and/or trenches are filled with metal in operation 707. For example, copper can be deposited into the recess features by electroplating. Then in operation 709, the hard mask is removed by CMP. For example, this can be done during copper overload and CMP removal of the diffusion barrier material. In certain embodiments, a GeN x hard mask is removed using a CMP slurry having an acidic pH and comprising a peroxide such as hydrogen peroxide. In other embodiments, GeN x film hard mask may be by a wet etch (e.g., containing H 2 SO 4 solution and H 2 O 2 of which can be a 3: 1 ratio of presence) removed.

圖7中之製程流程圖圖解說明一後端處理方案。GeNx 薄膜亦可在前端處理中用作一硬遮罩。此外,在濕式蝕刻期間,例如在使用含氟化物濕式蝕刻化學方法對基於氧化矽之材料進行圖案化期間,氮化鍺薄膜可用作一硬遮罩。The process flow diagram in Figure 7 illustrates a backend processing scheme. The GeN x film can also be used as a hard mask in front end processing. In addition, the tantalum nitride film can be used as a hard mask during wet etching, such as during patterning of a yttria-based material using a fluoride-containing wet etch chemistry.

設備device

一般可在不同類型之設備中沈積本文所述硬遮罩材料,包括CVD及PVD設備。在一較佳實施例中,該設備係一PECVD設備,其包括HFRF及LFRF電源。適宜設備之實例包括可自位於San Jose,CA之Novellus Systems,Inc.購得之工具。The hard mask materials described herein can generally be deposited in different types of equipment, including CVD and PVD equipment. In a preferred embodiment, the device is a PECVD device that includes HFRF and LFRF power supplies. Examples of suitable equipment include those available from Novellus Systems, Inc. of San Jose, CA. and tool.

一般而言,該設備將包括一個或多個室或「反應器」(有時包括多個工站),其可容納一個或多個晶圓且適於進行晶圓處理。每一室可容納一個或多個供處理晶圓。該一個或多個室將晶圓維持於一個或多個經界定位置(在彼位置內移動或不移動,例如旋轉、振動或其他攪動)。在某些實施例中,在該製程期間將正在進行硬遮罩層沈積之一晶圓自反應器內之一個工站轉移至另一工站。在製程中時,藉由一基座、晶圓卡盤及/或其他晶圓固持設備將每一晶圓固持就位。在欲加熱晶圓之操作中,該設備可包括一加熱器,例如一加熱板。In general, the device will include one or more chambers or "reactors" (sometimes including multiple stations) that can accommodate one or more wafers and are suitable for wafer processing. Each chamber can accommodate one or more wafers for processing. The one or more chambers maintain the wafer in one or more defined positions (with or without movement within the position, such as rotation, vibration, or other agitation). In some embodiments, one of the wafers undergoing hard mask deposition is transferred from one station in the reactor to another during the process. Each wafer is held in place during processing by a susceptor, wafer chuck, and/or other wafer holding device. In operation to heat a wafer, the apparatus can include a heater, such as a heating plate.

圖8提供繪示經配置用於實施本發明之一適宜PECVD反應器之各種反應器組件之一簡單方塊圖。如圖所示,一反應器800包括一製程室824,其圍封反應器之其他組件且用於容納由一電容器型系統產生之電漿,該電容器型系統包括結合一接地加熱器區塊820工作之一蓮蓬頭814。一高頻RF產生器804及一低頻RF產生器802連接至一匹配網路806,該匹配網路806繼而連接至蓮蓬頭814。Figure 8 provides a simplified block diagram of various reactor components configured to implement one of the suitable PECVD reactors of the present invention. As shown, a reactor 800 includes a process chamber 824 that encloses other components of the reactor and is configured to house a plasma produced by a capacitor type system that includes a grounded heater block 820. One of the jobs is showerhead 814. A high frequency RF generator 804 and a low frequency RF generator 802 are coupled to a matching network 806, which in turn is coupled to the showerhead 814.

在反應器內,一晶圓基座818支撐一基板816。該基座通常包括一卡盤、一叉形件或起模頂杆以在沈積反應期間及在沈積反應之間固持並轉移該基板。該卡盤可係一靜電卡盤、一機械卡盤或可用於工業及/或研究中之各種其他類型之卡盤。Within the reactor, a wafer pedestal 818 supports a substrate 816. The susceptor typically includes a chuck, a yoke or a ejector pin to hold and transfer the substrate during the deposition reaction and between deposition reactions. The chuck can be an electrostatic chuck, a mechanical chuck or various other types of chucks that can be used in industry and/or research.

經由入口812引入製程氣體。將多個源氣體管線810連接至歧管808。氣體可預混合或不預混合。採用適當閥控及質量流控制機構來確保在該製程之沈積及電漿處理階段期間遞送正確之氣體。在以液體形式遞送化學前體之情形下,則採用液體流控制機構。隨後在該液體到達沈積室之前,在加熱至高於該液體之汽化點之一歧管中運輸期間,使該液體汽化並與其他製程氣體混合。Process gas is introduced via inlet 812. A plurality of source gas lines 810 are coupled to the manifold 808. The gas can be premixed or not premixed. Appropriate valve control and mass flow control mechanisms are employed to ensure that the correct gas is delivered during the deposition and plasma processing stages of the process. Where a chemical precursor is delivered in liquid form, a liquid flow control mechanism is employed. The liquid is then vaporized and mixed with other process gases during transport in the manifold heated to a vaporization point above the liquid before it reaches the deposition chamber.

製程氣體經由一出口822離開室824。一真空幫浦826(例如一級或兩級機械乾式幫浦及/或一渦輪分子幫浦)通常抽出製程氣體並藉由一閉合迴路控制之流動限制裝置(例如一節流閥或一鐘擺閥)維持反應器內之一適宜低壓。Process gas exits chamber 824 via an outlet 822. A vacuum pump 826 (eg, a primary or a two-stage mechanical dry pump and/or a turbo molecular pump) typically draws process gas and is maintained by a closed loop controlled flow restriction device (eg, a throttle valve or a pendulum valve) One of the reactors is suitably low pressure.

在該等實施例中之一者中,可使用一多工站設備來沈積一硬遮罩層。該多工站反應器允許在一個室環境中同時運行不同或相同之製程,由此提高晶圓處理之效率。圖9中繪示此一設備之一實例。其顯示俯視圖之一示意性圖示。一設備室901包含四個工站903至909。一般而言,在一多工站設備之單個室內任一數目之工站均係可能的。工站903用於載入及卸載基板晶圓。工站903至909可具有相同或不同功能,且在某些實施例中可在不同製程條件下(例如在不同溫度方案下)操作。In one of these embodiments, a multi-station device can be used to deposit a hard mask layer. The multi-station reactor allows for the simultaneous operation of different or identical processes in a single chamber environment, thereby increasing the efficiency of wafer processing. An example of such a device is illustrated in FIG. It shows a schematic illustration of a top view. An equipment room 901 includes four stations 903 to 909. In general, any number of stations in a single room of a multi-station facility is possible. Station 903 is used to load and unload substrate wafers. Stations 903 through 909 may have the same or different functions, and in some embodiments may operate under different process conditions (e.g., under different temperature regimes).

在某些實施例中,將整個硬遮罩層沈積於一設備之一個工站中。在其他實施例中,使硬遮罩層之一第一部分沈積於一第一工站中,然後將晶圓轉移至一第二工站,其中沈積同一硬遮罩層之第二部分,如此等等,直至晶圓返回至第一工站並離開該設備。In some embodiments, the entire hard mask layer is deposited in a station of a device. In other embodiments, a first portion of the hard mask layer is deposited in a first station, and then the wafer is transferred to a second station, wherein a second portion of the same hard mask layer is deposited, and so on Wait until the wafer returns to the first station and leaves the device.

在一個實施例中,碳化矽子層之沈積及電漿後處理係在設備之工站之一者中執行。在其他實施例中,子層之沈積係在一個或多個專用工站中執行,而電漿後處理係在一個或多個不同工站處執行。In one embodiment, the deposition of the tantalum carbide layer and the post-plasma processing are performed in one of the stations of the facility. In other embodiments, the deposition of the sub-layers is performed in one or more dedicated stations, while the post-plasma processing is performed at one or more different stations.

在一個實施例中,工站903、905、907及909均用於沈積一硬遮罩層。使用一分度盤911來將基板抬離基座且準確地將基板定位於下一處理工站處。在將晶圓基板載入工站903處後,將其依次轉位至工站905、907及909,其中在每一工站處沈積一硬遮罩層之一部分。在工站903處卸載經處理晶圓,並用一新晶圓裝填該模組。在正常操作期間,單獨基板佔據每一工站且在每次重複該製程時將基板移動至新工站。因此,具有四個工站903、905、907及909之一設備允許同時處理四個晶圓。In one embodiment, stations 903, 905, 907, and 909 are each used to deposit a hard mask layer. An indexing disk 911 is used to lift the substrate off the pedestal and accurately position the substrate at the next processing station. After the wafer substrate is loaded into the station 903, it is sequentially indexed to stations 905, 907, and 909 where a portion of a hard mask layer is deposited at each station. The processed wafer is unloaded at station 903 and loaded with a new wafer. During normal operation, a separate substrate occupies each station and moves the substrate to a new station each time the process is repeated. Therefore, one of the four stations 903, 905, 907, and 909 allows four wafers to be processed simultaneously.

製程條件及製程流程自身可受一控制器單元913控制,該控制器單元包含用於監控、維持及/或調節某些製程變量(例如,HF及LF功率、前體流速、溫度、壓力及諸如此類)之程式指令。控制器包括用於執行本文所述任一硬遮罩沈積製程之程式指令。例如,在某些實施例中,控制器包括程式指令用於沈積碳化矽子層(即用於使適當製程氣體流動及使用所要求功率參數產生一電漿)、用一吹掃氣體吹掃室、用一電漿處理氣體對該子層實施電漿處理及將該等沈積及電漿處理製程重複所需次數(例如沈積並處理至少10個子層)。在某些實施例中,控制器包括用於沈積含一硼硬遮罩之程式指令(其包括如先前所述用於使具有一適當組成之一製程氣體流動之指令)及用於使用適當功率位準(例如LF/HF功率比為至少約1.5)產生一電漿之程式指令。在其他實施例中,控制器包括用於沈積一GeNx 硬遮罩之程式指令,其包括用於使包含一含鍺前體及一含氮前體之一製程氣體以一定流速流動之指令,其較佳導致形成含有至少約60原子%鍺之一薄膜。該控制器可包含用於不同設備工站之不同或相同指令,因此允許該等設備工站獨立或同步操作。The process conditions and process flow itself may be controlled by a controller unit 913 that includes monitoring, maintaining, and/or regulating certain process variables (eg, HF and LF power, precursor flow rate, temperature, pressure, and the like) ) program instructions. The controller includes program instructions for performing any of the hard mask deposition processes described herein. For example, in some embodiments, the controller includes program instructions for depositing a layer of carbonized germanium (ie, for flowing a suitable process gas and generating a plasma using the required power parameters), purging the chamber with a purge gas The sub-layer is subjected to a plasma treatment with a plasma treatment gas and the deposition and plasma treatment processes are repeated a desired number of times (eg, depositing and processing at least 10 sub-layers). In some embodiments, the controller includes program instructions for depositing a boron-containing hard mask (which includes instructions for flowing a process gas having a suitable composition as previously described) and for using appropriate power A level (eg, a LF/HF power ratio of at least about 1.5) produces a plasma program command. In other embodiments, the controller includes program instructions for depositing a GeN x hard mask, the instructions including instructions for flowing a process gas comprising a ruthenium containing precursor and a nitrogen-containing precursor at a flow rate, It preferably results in the formation of a film containing at least about 60 atomic percent of ruthenium. The controller may contain different or the same instructions for different equipment stations, thus allowing the equipment stations to operate independently or synchronously.

應理解,本文所述實例及實施例僅出於說明性目的,且熟習此項技術者可根據該等實例及實施例瞭解各種修改或改變。儘管為清晰起見已省略各種細節,但可實施各種設計替代方案。因此,本發明實例應視為說明性而非限定性,且本發明並不限於本文所給細節,而係可在隨附申請專利範圍之範疇內進行修改。應理解,在某些實施例中,硬遮罩薄膜在微影術中可能並不一定積極地用於掩蔽,而係可僅用作下伏材料之一硬質保護層。It is understood that the examples and embodiments described herein are for illustrative purposes only, and those skilled in the art can understand various modifications or changes in accordance with the examples and embodiments. Although various details have been omitted for clarity, various design alternatives can be implemented. Therefore, the present invention is to be considered as illustrative and not restrictive, and the invention is not limited to the details of the invention, but may be modified within the scope of the appended claims. It should be understood that in certain embodiments, the hard mask film may not be actively used for masking in lithography, but may be used only as one of the hard protective layers of the underlying material.

101...銅層101. . . Copper layer

103...電介質103. . . Dielectric

105...擴散障壁層105. . . Diffusion barrier layer

107...電介質擴散障壁層107. . . Dielectric diffusion barrier layer

109...電介質層109. . . Dielectric layer

111...機械性較強電介質緩衝層111. . . Mechanically strong dielectric buffer layer

113...硬遮罩113. . . Hard mask

115...光阻劑層115. . . Photoresist layer

117...填充劑層117. . . Filler layer

119...光阻劑層119. . . Photoresist layer

121...金屬121. . . metal

201...矽層201. . . Layer

203...氧化物層203. . . Oxide layer

205...多晶矽205. . . Polycrystalline germanium

207...硬遮罩層207. . . Hard mask layer

209...可灰化硬遮罩209. . . Ashable hard mask

211...光阻劑層211. . . Photoresist layer

800...反應器800. . . reactor

802...低頻射頻產生器802. . . Low frequency RF generator

804...高頻射頻產生器804. . . High frequency RF generator

806...匹配網路806. . . Matching network

808...歧管808. . . Manifold

810...源氣體管線810. . . Source gas pipeline

812...入口812. . . Entrance

814...蓮蓬頭814. . . Shower head

816...基板816. . . Substrate

818...晶圓基座818. . . Wafer base

820...接地加熱器區塊820. . . Ground heater block

822...出口822. . . Export

824...室824. . . room

826...真空幫浦826. . . Vacuum pump

901...設備室901. . . equipment room

903...工站903. . . The station

905...工站905. . . The station

907...工站907. . . The station

909...工站909. . . The station

911...分度盤911. . . Indexing plate

913...控制器單元913. . . Controller unit

圖1A至1K顯示在半導體裝置製作中之一說明性後端微影製程期間使用本文所提供硬遮罩產生之裝置結構之剖面圖示。1A through 1K are cross-sectional views showing the structure of a device produced using the hard mask provided herein during an illustrative back end lithography process in the fabrication of semiconductor devices.

圖2A至2E顯示在半導體裝置製作中之一說明性前端微影製程期間使用本文所提供硬遮罩產生之裝置結構之剖面圖示。2A through 2E show cross-sectional illustrations of device structures produced using one of the hard masks provided herein during an illustrative front end lithography process in the fabrication of semiconductor devices.

圖3係適合與本文所提供硬遮罩一起使用之一後端微影製程之一製程流程圖。3 is a process flow diagram of one of the back-end lithography processes suitable for use with the hard masks provided herein.

圖4係適合與本文所提供硬遮罩一起使用之一前端微影製程之一製程流程圖。Figure 4 is a flow diagram of one of the front end lithography processes suitable for use with the hard masks provided herein.

圖5A係根據本文所提供之一實施例沈積一碳化矽硬遮罩之一製程流程圖。5A is a flow diagram of a process for depositing a tantalum carbide hard mask in accordance with one embodiment provided herein.

圖5B提供使用多個緻密化電漿後處理獲得之一多層碳化矽薄膜與一單層碳化矽薄膜相比之一IR光譜。其圖解說明一更突出Si-C峰。Figure 5B provides an IR spectrum of a multilayered tantalum carbide film compared to a single layer of tantalum carbide film obtained using a plurality of densified plasma post-treatments. It illustrates a more prominent Si-C peak.

圖5C係多層碳化矽薄膜之應力及硬度特徵與單層薄膜相比之一實驗標繪圖。Figure 5C is an experimental plot of the stress and hardness characteristics of a multilayer tantalum carbide film compared to a single layer film.

圖5D係多層碳化矽薄膜之應力及楊氏模量特徵與單層薄膜相比之一實驗標繪圖。Figure 5D is an experimental plot of stress and Young's modulus characteristics of a multilayered tantalum carbide film compared to a single layer film.

圖6A係根據本文所提供之一實施例採用含硼硬遮罩之一例示性處理方法之一製程流程圖。6A is a process flow diagram of one exemplary processing method using a boron-containing hard mask in accordance with one embodiment provided herein.

圖6B係適合硬遮罩應用之含硼薄膜之應力及硬度特徵之一實驗標繪圖。Figure 6B is an experimental plot of the stress and hardness characteristics of a boron-containing film suitable for hard mask applications.

圖6C係適合硬遮罩應用之含硼薄膜之應力及楊氏模量特徵之一實驗標繪圖。Figure 6C is an experimental plot of the stress and Young's modulus characteristics of a boron-containing film suitable for hard mask applications.

圖6D係圖解說明Six By Cz 薄膜硬度對在PECVD期間使用之B2 H6 /四甲基矽烷流速比之相依性之一實驗標繪圖。Figure 6D is a graphical representation of one of the dependencies of the Si x B y C z film hardness versus the B 2 H 6 /tetramethyl decane flow rate ratio used during PECVD.

圖6E係圖解說明Six By Cz 薄膜之楊氏模量及應力參數對BC/[BC+SiC]IR峰面積比之相依性之一實驗標繪圖。Figure 6E is an experimental plot illustrating the dependence of the Young's modulus and stress parameters of the Si x B y C z film on the BC/[BC+SiC]IR peak area ratio.

圖6F係圖解說明Six By Nz 薄膜之楊氏模量及應力參數對BN/[BN+SiN]IR峰面積比之相依性之一實驗標繪圖。Figure 6F is an experimental plot illustrating the dependence of the Young's modulus and stress parameters of the Si x B y N z film on the BN/[BN + SiN] IR peak area ratio.

圖6G係圖解說明Six By Cz 薄膜在一接觸角疏水性測試中與未經摻雜碳化矽薄膜相比之效能之一實驗標繪圖。其圖解說明Six By Cz 薄膜之相對較強之親水性。Figure 6G is an experimental plot illustrating the performance of a Si x B y C z film compared to an undoped tantalum carbide film in a contact angle hydrophobicity test. It illustrates the relatively strong hydrophilicity of the Si x B y C z film.

圖7係根據本文所提供之一實施例採用GeNx 硬遮罩之一例示性處理方法之一製程流程圖。7 is a process flow diagram of one exemplary processing method using a GeN x hard mask in accordance with one embodiment provided herein.

圖8係根據本發明某些實施例能使用可用於沈積硬遮罩薄膜之低頻(LF)及高頻(HF)射頻電漿源之一PECVD設備之一示意性代表圖。Figure 8 is a schematic representation of one of the PECVD devices capable of using a low frequency (LF) and high frequency (HF) radio frequency plasma source that can be used to deposit a hard mask film in accordance with certain embodiments of the present invention.

圖9係適於根據本發明某些實施例形成硬遮罩薄膜之一多工站PECVD設備之一示意性代表圖。Figure 9 is a schematic representation of one of the multiplex station PECVD apparatus suitable for forming a hard mask film in accordance with certain embodiments of the present invention.

(無元件符號說明)(no component symbol description)

Claims (16)

一種在一半導體基板上形成一硬遮罩薄膜之方法,該方法包含:在一電漿增強型化學氣相沈積(PECVD)製程室中接納一半導體基板;及藉由PECVD在該半導體基板上形成硬度大於約12GPa且應力介於約-600MPa與600MPa之間的一硬遮罩薄膜,其中該PECVD硬遮罩沈積製程包含:使用多個緻密化(densifying)電漿處理來沈積未經摻雜多層碳化矽薄膜,該沈積包含:(a)將包含一飽和含矽前體(precursor)之一製程(process)氣體引入至該製程室中並形成一電漿以沈積該碳化矽硬遮罩薄膜之一第一子層;(b)自該製程室移除該飽和含矽前體;(c)將一電漿處理氣體引入至該製程室中並用電漿處理該基板以使該所沈積子層緻密化;及(d)重複(a)至(c)以形成額外碳化矽子層並使其緻密化。 A method of forming a hard mask film on a semiconductor substrate, the method comprising: receiving a semiconductor substrate in a plasma enhanced chemical vapor deposition (PECVD) process chamber; and forming on the semiconductor substrate by PECVD A hard mask film having a hardness greater than about 12 GPa and a stress between about -600 MPa and 600 MPa, wherein the PECVD hard mask deposition process comprises: depositing undoped layers using a plurality of densifying plasma treatments a tantalum carbide film, the deposit comprising: (a) introducing a process gas comprising a saturated cerium-containing precursor into the process chamber and forming a plasma to deposit the tantalum carbide hard mask film a first sub-layer; (b) removing the saturated ruthenium-containing precursor from the process chamber; (c) introducing a plasma treatment gas into the process chamber and treating the substrate with a plasma to cause the deposit Layer densification; and (d) repeating (a) to (c) to form and densify additional carbonized hazelnut layers. 如請求項1之方法,其中該薄膜之應力介於約-300MPa與300MPa之間。 The method of claim 1, wherein the film has a stress between about -300 MPa and 300 MPa. 如請求項1之方法,其中該薄膜之應力介於約0MPa與600MPa之間。 The method of claim 1, wherein the film has a stress between about 0 MPa and 600 MPa. 如請求項1之方法,其中該薄膜之硬度為至少約16GPa。 The method of claim 1, wherein the film has a hardness of at least about 16 GPa. 如請求項1之方法,其中該薄膜之模量為至少約100GPa。 The method of claim 1, wherein the film has a modulus of at least about 100 GPa. 如請求項1之方法,其中該飽和含矽前體包含四甲基矽烷(Me4 Si)。The method of claim 1, wherein the saturated cerium-containing precursor comprises tetramethyl decane (Me 4 Si). 如請求項1之方法,其中在沈積期間使用之該製程氣體進一步包含選自由以下各項組成之群組之一載運氣體:He、Ne、Ar、Kr及Xe。 The method of claim 1, wherein the process gas used during the deposition further comprises a carrier gas selected from the group consisting of He, Ne, Ar, Kr, and Xe. 如請求項1之方法,其中該電漿處理氣體選自由以下各項組成之群組:He、Ar、CO2 、N2 、NH3 及H2The method of claim 1, wherein the plasma treatment gas is selected from the group consisting of He, Ar, CO 2 , N 2 , NH 3 and H 2 . 如請求項1之方法,其中每一子層之厚度小於約100Å。 The method of claim 1, wherein each sub-layer has a thickness of less than about 100 Å. 如請求項9之方法,其中該方法包含沈積至少10個子層。 The method of claim 9, wherein the method comprises depositing at least 10 sub-layers. 如請求項1之方法,其中在該所形成之碳化矽薄膜中,IR光譜中之SiC峰相對於SiH之面積之比為至少約20,且該IR光譜中之SiC峰相對於CH之面積之比為至少約50。 The method of claim 1, wherein in the formed tantalum carbide film, a ratio of an area of the SiC peak to an area of SiH in the IR spectrum is at least about 20, and an area of the SiC peak in the IR spectrum with respect to CH The ratio is at least about 50. 如請求項1之方法,其中該所形成之碳化矽薄膜之密度為至少約2g/cm3The method of claim 1, wherein the formed tantalum carbide film has a density of at least about 2 g/cm 3 . 如請求項1之方法,其中該所形成之硬遮罩層係沈積於介電常數小於約2.8之一電介質層上方,且其中在一乾式電漿蝕刻中該所形成之硬遮罩薄膜相對於該電介質之蝕刻選擇性為至少約8:1。 The method of claim 1, wherein the formed hard mask layer is deposited over a dielectric layer having a dielectric constant of less than about 2.8, and wherein the hard mask film formed in a dry plasma etch is relative to The dielectric has an etch selectivity of at least about 8:1. 如請求項1之方法,其中該所形成之硬遮罩層係沈積於一多晶矽層上方。 The method of claim 1, wherein the formed hard mask layer is deposited over a polysilicon layer. 如請求項1之方法,其中該硬遮罩係在小於約400℃之一 溫度下形成。 The method of claim 1, wherein the hard mask is at one of less than about 400 ° C Formed at temperature. 一種用於沈積一硬遮罩薄膜之設備,該設備包含:(a)一PECVD製程室,其經組態以用於形成電漿;(b)一支撐件,其用於一晶圓基板,該支撐件經組態以用於在硬遮罩沈積期間將該晶圓基板固持就位,及(c)一控制器,其包含電腦程式指令以執行以下步驟:在該PECVD製程室中接納一半導體基板;及藉由PECVD在該半導體基板上形成硬度大於約12GPa且應力介於約-600MPa與600MPa之間的一硬遮罩薄膜,其中該PECVD硬遮罩沈積製程包含:使用多個緻密化(densifying)電漿處理來沈積未經摻雜多層碳化矽薄膜,該沈積包含:(a)將包含一飽和含矽前體(precursor)之一製程(process)氣體引入至該製程室中並形成一電漿以沈積該碳化矽硬遮罩薄膜之一第一子層;(b)自該製程室移除該飽和含矽前體;(c)將一電漿處理氣體引入至該製程室中並用電漿處理該基板以使該所沈積子層緻密化;及(d)重複(a)至(c)以形成額外碳化矽子層並使其緻密化。 An apparatus for depositing a hard mask film, the apparatus comprising: (a) a PECVD process chamber configured to form a plasma; (b) a support for a wafer substrate, The support is configured to hold the wafer substrate in place during hard mask deposition, and (c) a controller comprising computer program instructions to perform the steps of: receiving a one in the PECVD process chamber a semiconductor substrate; and a hard mask film having a hardness of greater than about 12 GPa and a stress of between about -600 MPa and 600 MPa formed on the semiconductor substrate by PECVD, wherein the PECVD hard mask deposition process comprises: using a plurality of densifications (densifying) plasma treatment to deposit an undoped multilayer tantalum carbide film, the deposit comprising: (a) introducing a process gas comprising a saturated cerium-containing precursor into the process chamber and forming a plasma to deposit a first sub-layer of the tantalum carbide hard mask film; (b) removing the saturated germanium-containing precursor from the process chamber; (c) introducing a plasma processing gas into the process chamber And treating the substrate with a plasma to densify the deposited sub-layer; and (d) heavy Complex (a) to (c) to form and densify the additional carbonized hazelnut layer.
TW099140866A 2009-12-04 2010-11-25 Hardmask materials TWI505364B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/631,709 US8178443B2 (en) 2009-12-04 2009-12-04 Hardmask materials
US12/631,691 US8247332B2 (en) 2009-12-04 2009-12-04 Hardmask materials

Publications (2)

Publication Number Publication Date
TW201130050A TW201130050A (en) 2011-09-01
TWI505364B true TWI505364B (en) 2015-10-21

Family

ID=44130378

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104126278A TWI547997B (en) 2009-12-04 2010-11-25 Hardmask materials
TW099140866A TWI505364B (en) 2009-12-04 2010-11-25 Hardmask materials

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104126278A TWI547997B (en) 2009-12-04 2010-11-25 Hardmask materials

Country Status (4)

Country Link
JP (1) JP5656010B2 (en)
KR (2) KR101798235B1 (en)
CN (2) CN102097364B (en)
TW (2) TWI547997B (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5723243B2 (en) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 Film forming method, semiconductor device manufacturing method including the same, film forming apparatus, and semiconductor device
CN103258779B (en) * 2012-02-17 2015-05-20 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and manufacturing method thereof
JP5860734B2 (en) * 2012-03-13 2016-02-16 株式会社ライテック研究所 Hard coating member and method for producing the same
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6007031B2 (en) * 2012-08-23 2016-10-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2014078579A (en) * 2012-10-10 2014-05-01 Renesas Electronics Corp Semiconductor device manufacturing method
KR102178326B1 (en) * 2012-12-18 2020-11-13 램 리써치 코포레이션 Oxygen-containing ceramic hard masks and associated wet-cleans
JP6111097B2 (en) * 2013-03-12 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6111106B2 (en) * 2013-03-19 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
US20150024152A1 (en) * 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
CN104947085B (en) * 2014-03-31 2017-12-19 中芯国际集成电路制造(上海)有限公司 The lithographic method of the deposition process of mask, mask and semiconductor devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
KR102130459B1 (en) * 2016-02-29 2020-07-07 가부시키가이샤 코쿠사이 엘렉트릭 Method for manufacturing semiconductor device, substrate processing device and program
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
CN108220922B (en) 2016-12-15 2020-12-29 东京毅力科创株式会社 Film forming method, boron film, and film forming apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6914143B2 (en) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 Substrate processing method, substrate processing equipment, substrate processing system, substrate processing system control device, and semiconductor substrate manufacturing method
KR102020211B1 (en) * 2017-01-09 2019-11-04 주식회사 테스 Process for forming amorphous silicon layer including carbon and/or boron
KR102557334B1 (en) * 2017-02-01 2023-07-18 어플라이드 머티어리얼스, 인코포레이티드 Boron Doped Tungsten Carbide for Hardmask Applications
JP6914107B2 (en) * 2017-06-05 2021-08-04 東京エレクトロン株式会社 Boron film removal method
CN107742607B (en) * 2017-08-31 2021-05-11 重庆中科渝芯电子有限公司 Method for manufacturing thin film resistor by ICP dry etching
US10474027B2 (en) * 2017-11-13 2019-11-12 Macronix International Co., Ltd. Method for forming an aligned mask
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
KR20230085953A (en) 2018-10-19 2023-06-14 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TW202111147A (en) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 Low-k dielectric films
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US11508573B2 (en) * 2019-12-31 2022-11-22 Micron Technology, Inc. Plasma doping of gap fill materials
US11676813B2 (en) 2020-09-18 2023-06-13 Applied Materials, Inc. Doping semiconductor films
CN114664649B (en) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 Optimization method of silicon carbide high depth-to-width ratio groove etching process
CN115241126B (en) * 2022-09-21 2022-12-30 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200405437A (en) * 2002-09-27 2004-04-01 Advanced Micro Devices Inc Hardmask employing multiple layers of silicon oxynitride
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US20060040507A1 (en) * 2004-08-17 2006-02-23 Mak Cecilia Y Method for depositing porous films
TW200803988A (en) * 2006-03-31 2008-01-16 Exxonmobil Res & Eng Co Improved FCC catalyst stripper configuration
TW200908138A (en) * 2007-05-03 2009-02-16 Lam Res Corp Hardmask open and etch profile control with hardmask open

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) * 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4895789A (en) * 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
KR100219550B1 (en) * 1996-08-21 1999-09-01 윤종용 Anti-reflective coating layer and pattern forming method using the same
JP3430091B2 (en) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
EP1703328B1 (en) * 2003-12-26 2010-04-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
JP4837370B2 (en) * 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
JP5040913B2 (en) * 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7528078B2 (en) * 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7550758B2 (en) * 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
TW200405437A (en) * 2002-09-27 2004-04-01 Advanced Micro Devices Inc Hardmask employing multiple layers of silicon oxynitride
US20060040507A1 (en) * 2004-08-17 2006-02-23 Mak Cecilia Y Method for depositing porous films
TW200803988A (en) * 2006-03-31 2008-01-16 Exxonmobil Res & Eng Co Improved FCC catalyst stripper configuration
TW200908138A (en) * 2007-05-03 2009-02-16 Lam Res Corp Hardmask open and etch profile control with hardmask open

Also Published As

Publication number Publication date
TW201543574A (en) 2015-11-16
TW201130050A (en) 2011-09-01
CN102097364A (en) 2011-06-15
KR101798235B1 (en) 2017-11-15
CN105185707B (en) 2018-06-01
KR101907802B1 (en) 2018-12-05
KR20110063386A (en) 2011-06-10
JP2011139033A (en) 2011-07-14
TWI547997B (en) 2016-09-01
CN102097364B (en) 2015-10-14
JP5656010B2 (en) 2015-01-21
KR20170126827A (en) 2017-11-20
CN105185707A (en) 2015-12-23

Similar Documents

Publication Publication Date Title
TWI505364B (en) Hardmask materials
US8846525B2 (en) Hardmask materials
US8178443B2 (en) Hardmask materials
TWI624860B (en) Oxygen-containing ceramic hard masks and associated wet-cleans
TWI541898B (en) Insensitive dry removal process for semiconductor integration
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
US6656840B2 (en) Method for forming silicon containing layers on a substrate
US8669181B1 (en) Diffusion barrier and etch stop films
TWI482219B (en) Dielectric barrier deposition using nitrogen containing precursor
TW201415551A (en) Method to improve the step coverage and pattern loading for dielectric films
TW202204297A (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
KR102356462B1 (en) Oxygen-containing ceramic hard masks and associated wet-cleans
US20230066543A1 (en) Fully self aligned via integration processes
TW202334478A (en) Method of topology-selective film formation of silicon oxide