TW201044439A - Method for reducing tip-to-tip spacing between lines - Google Patents

Method for reducing tip-to-tip spacing between lines Download PDF

Info

Publication number
TW201044439A
TW201044439A TW099100284A TW99100284A TW201044439A TW 201044439 A TW201044439 A TW 201044439A TW 099100284 A TW099100284 A TW 099100284A TW 99100284 A TW99100284 A TW 99100284A TW 201044439 A TW201044439 A TW 201044439A
Authority
TW
Taiwan
Prior art keywords
block
mask layer
polymeric
layer
polystyrene
Prior art date
Application number
TW099100284A
Other languages
English (en)
Inventor
Matthew E Colburn
Wai-Kin Li
Haining S Yang
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW201044439A publication Critical patent/TW201044439A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Semiconductor Memories (AREA)

Description

201044439 , 六、發明說明: 【發明所屬之技術領域】 本發明係關於用於半導體製造之光微影製程。更特定言 之,本發明係針對用於使用光微影與共聚物自組裝微影技 術(c〇p〇lymer self_assembling lhh〇graphic techni叫匀之組 合來減小線路之間的尖端與尖端之間距的方法。 【先前技術】 光微影通常用於在半導體製造中產生諸如積體電路之小 型化電子組件。在光微影製程中’將一光阻層沈積在諸如 矽晶圓之基板上。烘烤該基板以移除光阻層中剩餘之任何 溶劑。接著,經由具有所要圖案之光罩將光阻選擇性地曝 露至光化輻射源。輻射曝露引起光阻之經曝露區域中的化 學反應,且產生對應於光阻層中之遮罩圖案的潛影。接下 來,使光阻在顯影劑溶液中顯影以移除光阻之經曝露部分 (對於正向光阻),或移除光阻之未經曝露部分(對於負向光 阻)。接著,可將經圖案化之光阻用作遮罩用於基板上之 後續製造製程,諸如,沈積、蝕刻或離子植入製程。 半導體裝置效能之進步通常經由半導體裝置尺寸之減小 而實現。吾人已知線路(例如’ PC線路)之間的尖端與尖端 之間距對半導體裝置之單位單元密度(_ eeu d⑽叫具 有很大影響。減小線路之間的尖端與尖端之間距將極大地 增加單位單元密度,此又將導致裝置尺寸之縮減。然而, 歸因於線路末端縮短問題及光微影之解析度限制,當前可 用之微影技術僅可達成不小於100奈米之尖端與尖端的間 145257.doc 201044439 距。 吾人已知某些材料在不需要人為干涉之情況下能夠組織 為有序結構,其稱為材料之自組裝。已開發自組裝共聚物 • 微影技術以形成具有在10奈米至40奈米範圍内之尺寸的有 .用週期性圖案。每一自組裝嵌段共聚物系統含有彼此不可 混溶之兩個或兩個以上不同的聚合嵌段組份。在適當條件 下,此等聚合嵌段組份可分成奈米級之兩個或兩個以上不 〇 同的相,且藉此形成有序之奈米大小的圖案。該等有序圖 案可用於製造半導體、光學及磁性裝置中之奈米級結構單 元。 然而’互補金屬氧化物半導體((:]^〇8)技術要求個別結 構單7L之精確置放或對齊以在佈線層級中形成金屬線及通 道不可將由自組裝嵌段共聚物形成之大的有序的重複結 構單元陣列用於CMOS技術中’因為各別結構單元之位置 缺乏對準或對齊。 Q 【發明内容】 本發明提供一種用於使用光微影與共聚物自組裝微影技 術之組合來減小線路之間的尖端與尖端之間距的方法。 在—態樣中,本發明係關於一種用於減小線路之間的尖 端與大端之間距的方法,其涉及以下步驟:提供一基板及 讜基板中之一線路結構;在該基板上形成一遮罩層·,在該 遮罩層上執行一微影製程以在該遮罩層中產生具有一寬度 β之渠溝開口 ’其中該渠溝開口在該基板中之該線路結 構的上方,且垂直於該線路結構;將一嵌段共聚物之一層 145257.doc 201044439 塗覆於該遮罩層上,其中該嵌段共聚物分別包含彼此不可 混溶之至少第一聚合嵌段組份A及第二聚合嵌段組份B ; 使該嵌段共聚物層退火以在該渠溝開口内側形成具有—寬 度或一直徑W之一單個單元聚合物嵌段,其中w 且其 中該單個單元聚合物嵌段包含該聚合嵌段組份B,且嵌入 於包含該第一聚合嵌段組份A之一聚合基質中;選擇性地 移除該第二聚合嵌段組份B以在該渠溝開口内側在該聚合 基質中形成具有一寬度或一直徑冰的一單個開口;及使用 忒單個開口作為一遮罩執行一蝕刻轉印製程以在該基板中 之該線路結構中形成一開口。 在另一態樣中’本發明係關於一種用於減小線路之間的 尖端與尖端之間距的方法,其涉及以下步驟:提供一基板 及該基板中之一線路結構;在該基板上形成一第一遮罩 層,在該第一遮罩層上形成一第二遮罩層;在該第二遮罩 層上執行一微影製程以在該第二遮罩層中產生具有一寬度 d之一渠溝開口,其中該渠溝開口在該基板中之該線路結 構的上方,且垂直於該線路結構;將一嵌段共聚物之一層 塗覆於邊第二遮罩層上,其中該嵌段共聚物分別包含彼此 不可混溶之至少第一聚合嵌段組份A及第二聚合嵌段組份 B,使該嵌段共聚物層退火以在該渠溝開口内側形成具有 一寬度或一直徑你之一單個單元聚合物嵌段,其中冰< d, 且其中°亥翠個單元聚合物嵌段包含該聚合嵌段組份B,且 欲入於包含該第一聚合嵌段組份A之一聚合基質中;選擇 性地移除3亥第二聚合嵌段組份B以在該渠溝開口内側在該 145257.doc -6 - 201044439 聚合基質中形成具有-寬度或-直徑W的一單個開口;使 用該單個開口作為一遮罩執行一第一姓刻轉印製程以在該 第一遮罩層中形成一開口;及使用該第一遮罩層中之該開 . ϋ作為—遮罩執行—第二㈣轉印製程以在該基板中之該 線路結構中形成一開口。 本發明可進-步涉及以下步驟:在形成該或該等遮罩層 之則,在该基板上形成一底層;及在執行該或該等钱刻轉 〇 ep製程之後’剝離任何剩餘之後段共聚物層、遮罩層、及 底層。 當將本發明之嵌段共聚物置放於-平坦表面(planar surface)上且在該平坦表面上退火時,其自組裝為嵌入於 聚合基質中的多個單元聚合物嵌段之一有序陣列,其”玄 多個單,聚合物嵌段中之每一者具有該寬度或直徑你,^ 该多個单70聚合物嵌段在該有序陣列中彼此間隔開—距離 S。 〇 當…卜+尔州以〜)時,一單個單元聚合物嵌段形成 於該渠溝開口内側’且與該渠溝開口自對準。舉士, 當W在約10奈米至約5〇奈米之範圍内,且5在約1〇奈米:約 ’ 60奈米之範圍内時’何在約4Q奈米至約⑽奈米之範圍 内。 較佳(但非必要)地,在自約13〇它至約23〇它之―溫度下 進打該嵌段共聚物的該退火。較佳退火時間為約分鐘至 約80分鐘。該嵌段共聚物層之厚度較佳為約15奈米至⑽ 奈米。 145257.doc 201044439 該敗段共聚物較佳包含該第一聚合嵌段組份A及該第二 1合敗段組份B ’其重量比為約6〇:4〇至約40:60,且其中 该單個單凡聚合物嵌段包含保持垂直於該線路結構之上表 面的一薄片。 可用於本發明中之適當嵌段共聚物包括(但不限於):聚 苯乙烯—嵌段-聚曱基丙烯酸曱酯(PS-b-PMMA)、聚苯乙烯-嵌奴-聚異戊二烯(Ps_b_pI)、聚苯乙烯_嵌段-聚丁二烯(ps_ b PBD)、聚本乙稀_嵌段_聚乙稀。比咬(ps_b_pvp) '聚苯乙 烯-嵌段-聚氧化乙烯(PS_b_PEO)、聚苯乙烯_嵌段_聚乙烯 (PS-b-PE)、聚苯乙烯-嵌段_聚有機矽酸酯(ps_b p〇s)、聚 笨乙稀-肷段-聚一茂鐵二曱基石夕燒(pSb_pFS)、聚氧化乙 烯-肷段-聚異戊二烯(ΡΕΟ-b-PI)、聚氧化乙烯嵌段-聚丁二 烯(PEO-b-PBD)、聚氧 聚氧化乙烯-嵌段_聚曱基丙稀酸曱酯
自隨後揭示内容及隨附申請專利範圍,本發明之其他態 樣、特徵及優點將更完全地顯而易見。 【實施方式】
例,且連同實施方式用以解耧太旅nn u _
至圖23B ’其中相同數字指代本發明之相 本文將參看圖式之圖1A 明之相同特徵。本發明 145257.doc 201044439 之特徵在圖式中未必係按比例展示。 應理解’當諸如層、區或基板之元件被稱為「在另一元 件上」或「在另一元件上方」時,其可直接在另一元件 . 上’或亦可存在介入元件。 本發明將習知光微影技術與共聚物自組裝微影技術組合 以減小線路之間的尖端與尖端之間距。 具體言之,首先在含有線路結構之基板上形成遮罩層。 〇 接著,藉由習知微影及蝕刻技術在遮罩層中產生渠溝開 口。該渠溝開口具有相對較大之寬度心其符合習知微影 技術之解析度。較佳渠溝開口在基板中之線路結構的上 方且垂直於線路結構。接著,將自組裝嵌段共聚物之一 溥層塗覆在遮罩層上。該嵌段共聚物層較佳具有在約1〇奈 米至約50奈米,更佳約15奈米至約45奈米之範圍内的厚 度。使自組裝嵌段共聚物退火以形成有序圖案。謹慎選擇 渠溝開口之寬度,使得在渠溝開口之内側僅可形成單個單 ◎ 70聚合物嵌段。單個單元聚合物嵌段嵌入於聚合基質中, 具有J於木溝開口之寬度的寬度或直徑从。可選擇性地 移除單個單元聚合物换段以在渠溝開口内側在聚合基質中 形成具有寬度或直徑W的單個開口。接著,可將該單個開 口用作遮罩以在基板之線路結構中形成開口。 存在可用於實踐本發明之許多不同類型之嵌段共聚物。 只要後段共聚物含有彼此不可混溶之兩個或兩個以上不同 的聚合嵌段組份,則在適當之條件下,該兩個或兩個以上 聚合嵌段組份能夠分成奈米級之㈣或兩個以上不同的 145257.doc 201044439 相,且藉此形成獨立之奈米大小結構單元的圖案。 在本發明之一較佳(但非必要)實施例中,嵌段共聚物本 質上由彼此不可混溶之第一聚合嵌段組份A及第二聚合嵌 段組份B組成。嵌段共聚物可含有以任何方式配置之任何 數目的聚合嵌段組份A及B。嵌段共聚物可具有直鏈或分 支結構。較佳地,該嵌段聚合物為具有式A-B之直鏈雙嵌 段共聚物。 可用於形成本發明之結構單元之適當嵌段共聚物的特定 實例包括(但不限於):聚苯乙烯-嵌段-聚甲基丙烯酸甲酯 (PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊二烯(PS-b-PI)、聚 苯乙烯-嵌段-聚丁二烯(PS-b-PBD)、聚苯乙烯-嵌段-聚乙 烯吡啶(PS-b-PVP)、聚苯乙烯-嵌段聚氧化乙烯(PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS-b-PE)、聚苯乙烯-嵌段-聚有機矽酸酯(PS-b-POS)、聚苯乙烯-嵌段-聚二茂鐵二甲 基矽烷(PS-b-PFS)、聚氧化乙烯-嵌段-聚異戊二烯(PEO-b-PI)、聚氧化乙烯-嵌段-聚丁二烯(PEO-b-PBD)、聚氧化乙 烯-嵌段-聚甲基丙烯酸曱酯(PEO-b-PMMA)、聚氧化乙烯-嵌段-聚乙基乙烯(PEO-b-PEE)、聚丁二烯-嵌段-聚乙烯吡 啶(PBD-b-PVP)及聚異戊二烯-嵌段-聚曱基丙烯酸甲酯(PI-b-PMMA) 〇 第一聚合嵌段組份A與第二聚合嵌段組份B之間的分子 量比率判定由嵌段共聚物形成之特定結構單元。舉例而 言,當第一聚合嵌段組份A之分子量與第二聚合嵌段組份 B之分子量的比率大於約80:20時,嵌段共聚物將形成由第 145257.doc -10· 201044439 二聚合嵌段組份B組成的球體之有序陣列,其在由第一聚 合後段組份A組成之矩陣中。當第一聚合歲段組份入之分 子量與第二聚合嵌段組份B之分子量的比率桃約8〇:2〇但 大於約60.40% ’肷段共聚物將形成由第二聚合嵌段組份b 組成的圓柱體之有序陣列,其在由第一聚合嵌段組份A組 f之矩陣中。當第一聚合嵌段組份八之分子量與第二聚合 嵌段組份B之分子量的比率小於約6〇:4〇但大於約4〇:6〇 ❹ ❹ 時,嵌段共聚物將形成由第一聚合嵌段組份A及第二聚合 嵌段組份B組成之交替薄片。因此,可易於調整本發明之 後段共聚物中的第—聚合錢組份A與第二聚合欲段組份 B之間的分子量比率’以便形成所要結構單元。 在本發明之一較佳實施例中’第一聚合後段組份A之分 子量’、第一聚合肷段組份B之分子量的比率在約扣至約 4〇:60之範圍内’以使得本發明之嵌段共聚物將形成由第 二聚合嵌段組份B組成的薄片之有序陣列,其在由第一聚 合钱段組份A組成之矩陣中,如圖lA及圖ib中所展示。較 佳地’可相對於第一聚合嵌段組份A選擇性地移除第二聚 合欲段組份B,藉此墓# + A λ 導致由未移除之組份組成的獨立且有 序地配置之結構單元,或含有由可移除之組份Β留下的獨 立且有序地配置之空穴的連續結構層。 ^發明之特定較佳實施例中,用於形成本發明之自組 裝週期性圖案的礙段共聚物為ps_b_pMMA,其具有在約 60:40至約40.60夕釭閣〜, .之軛圍内的PS:PMMA分子量比率。 在本發明之另_鉍 較佳實施例中,第一聚合嵌段組份八之 145257.doc 201044439 分子量與第二聚合嵌段組份B之分子量的比率在約8〇:2〇至 約60:40之範圍内,以使得本發明之嵌段共聚物將形成由 第二聚合嵌段組份B組成的圓柱體之有序陣列,其在由第 聚合嵌奴組伤A組成之矩陣中,如圖2A及圖2B中所展 示。較佳地,可相對於第一聚合嵌段組份A選擇性地移除 第二聚合嵌段組份B,藉此導致由未移除之組份組成的獨 立且有序地配置之結構單元,或含有由可移除之組份B留 下的獨立且有序地配置之空穴的連續結構層。 在本發明之特定較佳實施例中,用於形成本發明之自組 裝週期性圖案的嵌段共聚物為PS_b_PMMA ’其具有在約 80:20至約60:40之範圍内的PS:PMMA分子量比率。 為形成自組裝週期性圖案,首先將嵌段共聚物溶解於適 當溶劑系統中以形成嵌段共聚物溶液,接著將其塗覆於一 表面上以形成薄嵌段共聚物層,後續接著使薄嵌段共聚物 層退火。用於溶解嵌段共聚物並形成嵌段共聚物溶液之溶 劑系統可包含任何適當溶劑,包括(但不限於):曱苯、丙 二醇單甲基醚乙酸酯(PGMEA)、丙二醇單甲基醚(pGME) 及丙酮。嵌段共聚物溶液較佳含有以溶液之總重量計自約 0.1%至約2%範圍内濃度之嵌段共聚物。更佳地嵌段共 聚物溶液含有自約0.5重量百分比至約丨5重量百分比範圍 内濃度之嵌段共聚物。在本發明之一特佳實施例中,嵌段 共聚物溶液包含溶解於甲苯或PGMEA中之約〇 5重量百分 比至約1.5重量百分比的pS_b_pMMA。 可藉由任何適當技術將嵌段共聚物溶液塗覆至裝置結構 145257.doc 12 201044439 的表面,該等技術包括(但不限於):旋塗、喷塗、油墨塗 佈(ink coating)及浸塗。較佳地,將嵌段共聚物溶液旋塗 於基板之表面上以形成薄嵌段共聚物層。 接著,使基板退火以實現嵌段共聚物所含之不同嵌段組 份之微相分離。可藉由此項技術中已知之各種方法達成本 發明中之自組裝嵌段共聚物的退火,該等方法包括(但不 限於).熱退火(在真空中或在含有氮氣或氬氣之惰性氛圍 中)、紫外線退火、雷射退火、溶劑蒸氣輔助退火或超臨 界流體輔助退火。 在本發明之較佳實施例中’執行熱退火步驟以使嵌段共 聚物層在高退火溫度下退火,該高退火溫度高於嵌段共聚 物之玻璃轉移溫度(Tg),但低於嵌段共聚物之分解或降解 溫度(Td)。較佳地,在約i〇(rc至約2501之退火溫度下執 行熱退火步驟。更佳地,退火溫度係自約13〇(>c至約 230°C。熱退火可持續約30分鐘至約1〇小時,更佳地,持 續約40分鐘至約80分鐘。 當將嵌段共聚物塗覆於平坦表面上且在平坦表面上退火 時’其自組裝為包含該聚合喪段組的嵌人於包含該第 一聚合嵌段組份A之聚合基質中的多個結構單元之有序陣 列。該多個結構單元中之每—者具有寬度或直徑w,且在 有序陣列中彼此間隔開距離圖3及圖4)。 在本發明中’調整遮罩層中渠溝開口之尺寸,以使得僅 可由自組裝嵌段共聚物形成單個單元聚合物纽,且將其 置放於* m Lj。為達成形成該單個結構單元且將其 145257.doc -13· 201044439 置放於渠溝開口内側’較佳渠溝開口之寬度d大於 〇.6(心),但小於15(心)。舉例而言當州在㈣奈米至 =50奈米之範圍内,且^在約1()奈米至祕奈米之範圍内 時,d可在約40奈米至約16〇奈米之範圍内。 圖从至圖㈣為說明根據本發明之—實施例之用於減小 、、、路之間的尖端與尖端之間距的例示性處理步驟之 圖及俯視圖。 、 圖5A展示其上具有線路結構以基㈣的橫截面圖。如 中所展示,橫截面部位跨越線路結構。該線路結構係 f由任何習知微f彡技術形成。較㈣,料路結構為開極 =路!。構。本發明中之基板適當地為習知地用於微影製程 :之任何基板。舉例而言,該基板可為石夕、氧化石夕、銘_ 氧化紹、石申化錄、陶咨 t试 冢陶是石央、銅,或包括 組合。 j 如圖6A及圖68中所展示,在基板iq上形成遮罩層I 遮罩層16可包含可藉由習知微影技術圖案化之任何適當的 1機或無機感光性材料。在一較佳實施例中,遮翠層叫 綠。更佳地,遮罩層16為含石夕光阻。可藉由包括旋塗之 貫際上任何標準方法塗覆遮罩層。可烘烤(PAB)遮罩層以 移除任何溶劑且改良遮罩層 ® a A PAB溫度之較佳範 圍為自約70t至約15Gt,更佳自約啊至約13代。业型 =時間為自約⑼秒至約9〇秒。遮罩層之厚度的較佳範圍 ^自約2〇奈米至約彻奈米,更佳自約5〇奈米至約3〇〇奈 米0 145257.doc -14· 201044439 視情況(但非必要),可在形成遮罩層16之前將底層14塗 覆於具有線路結構12的基板1〇上,如圖7A及圖7B中所展 示。底層14為用於校平基板之構形的平坦表面化層。可使 用通常用於光微影製程中之有機平坦表面化材料及無機平 坦表面化材料兩者來形成底層14。底層14之厚度較佳自約 5〇奈米至約300奈米。 接下來,在遮罩層16上執行微影製程以在遮罩層中產生 〇 渠溝開口 18,且經由渠溝開口 18曝露底層14之上表面。該 微影製程涉及習知微影及抗钱劑顯影步驟。具體言之,首 先將遮罩層16曝露至所要輻射圖案(未圖示)。接著,使經 曝露之遮罩層16在習知抗蝕劑顯影劑中顯影以在遮罩層16 中形成渠溝開口 18。渠溝開口 18具有寬度^,其在約刊奈 米至約200奈米,更佳自約4〇奈米至約16〇奈米之範圍内Y 較佳渠溝開口在基板10中之線路結構12的上方,且垂直於 線路結構12,如圖8A及圖8B中所展示。 〇 視情況(但非必要),在塗覆嵌段共聚物層之前處理渠溝 開口 18的内表面,以調整特定表面對嵌段共聚物之不同嵌 段組份的表面親和力。具體言之,在渠溝開口 18之底部表 面及/或側壁表面上形成一或多個表面層。此等表面層可 提供所要表面親和力以用於使由渠溝開口 18内側之嵌段共 聚物層形成的薄片或圓柱體單元聚合嵌段對準。 若表面對嵌段共聚物之嵌段組份人及3兩者具有實質上 相同的表面親和力,則將該表面視為中性表面或非優先表 面(n〇n-preferential surface)’亦即,嵌段組份AH兩者均 145257.doc -15- 201044439 可潤濕該表面。相反,若表面對嵌段組份八及8具有顯著 不同之表面親和力,則將該表面視為優先表面,亦即嵌 段組份中之僅一者可潤濕該表面,但另一者不可= 濕該表面。舉例而言,包含原生氧化矽、氧化矽及氮化矽 中之一者的表面由PMMA嵌段組份優先潤濕,但不由“嵌 段組份潤濕。因此,可將該等表面用作PS-b-PMMA嵌段^ 聚物之優先表®。另-方面,Mj^PMma組份之實質 上均質混合物的單層(諸如,無規ps_r_pMMA共聚物層)提 供PS-b_PMM錄段共聚物之中性表面或非優先表面。 為形成經對準垂直㈣自PS_b_PMMA之渠溝開口 Μ之底 部表面的薄片聚合錢,需要在渠溝開口18之底部表面上 沈積中性或非優先單層(例如,ps與ρΜΜΑ組份之實質上 均質混合物)’而不處理渠溝開口.18之側壁表面或藉由 優先潤濕材料(例如’原生氧切、氧切及氮切)塗布 渠溝開口 1 8之側壁表面,渠溝開口 ! 8之側壁表面較佳包含 氧化石夕或氧化石夕。以此方式,由PS-b-PMMA形成之薄片聚 合嵌段將保持垂直於渠溝開口18之底部表面,且亦垂直於 該線路結構之上表面。 、將一薄自組裝嵌段共聚物層2G塗覆於包括渠溝開口 18之 遮罩層16上,如圖9A及圖9B中所展示。嵌段共聚物層20 較佳具有自約1〇奈米至約50奈米,更佳自約15奈米至約45 奈米之範圍内的厚度。. 1在人較佳實施例中’嵌段共聚物層2G為雙欲段共聚物, 其包含具有在約60:40至約40:60之範圍内的分子量比率之 145257.doc -16- 201044439
第一聚合嵌段組份A及第二聚合嵌段組份B。更佳地,嵌 段共聚物層2〇為PS-b-PMMA嵌段共聚物,其中PS:PMMA 分子量比率在約60:40至約40:60之範圍内。當將該ps-b-PMMA敌段共聚物塗覆於平坦表面上且在平坦表面上退火 時,其自組裝為在PS矩陣中的PMMA薄片之有序陣列。然 而,因為遮罩層16中之具有寬度d的渠溝開口 18,嵌段共 聚物層20之退火導致僅單個ΡΜΜΑ薄片20Α嵌入於渠溝開 口 18内側之PS矩陣20Β中,如圖ΐ〇Α及圖10Β中所展示。 ΡΜΜΑ薄片2 0 Α具有寬度w,其小於寬度J,且在約5奈米至 約60奈米’較佳自約1〇奈米至約5〇奈米之範圍内。 接下來,選擇性地移除單個薄片2〇a以形成具有寬度从 之單個開口 22,如圖11A及圖11B中所展示。舉例而言, 當嵌段共聚物層20為PS-b-PMMA嵌段共聚物時,可藉由將 整個結構(如圖10A及圖10B中所展示)浸沒於含有約62%之 乙酸的乙酸水溶液中歷時約丨分鐘之持續時間來選擇性地 移除單個薄片20A。 接著,在蝕刻轉印製程中將單個開口 22用作遮罩以在線 路結構12中形成開口 24,從而曝露基板1()之上表面,如圖 12A及圖12B中所|示。姓刻轉印製程可涉及一或多個乾 式或濕絲刻步驟。有可能在姓刻轉印製程期間移除敌段 共聚物層20、遮罩層16及底層14之全部或部分。 在執行關製程之後將任何剩餘之嵌段共聚物層2〇、遮 罩層16及底層14自基板剝離’從而曝露線路結構12及基板 1〇之未由線路結構12覆蓋的上表面,如圖UA及圖13B中 145257.doc •17- 201044439 所展示。開口 24將線路結構12切割為兩個線路12A及 12B。因此,此兩個線路12A與12B之間的尖端與尖端之間 距為開口 24之寬度。因為單個開口 22具有在自約5奈米至 約60奈米,較佳自約1〇奈米至約5〇奈米之範圍内的寬度 冰,所以開口24之寬度亦在類似範圍内。無法藉由習知: 影技術形成此兩個線路12A與12B之間的此小的尖端與尖 端之間距。 圖MA至圖2;3B為說明根據本發明之另一實施例之用於 減小線路之間的尖端與尖端之間距的例示性處理步驟之橫 截面圖及俯視圖。此實施例涉及與前一實 (如謀至圖UB中所說明)相同的許多步驟。”之步驟 圖14A展示其上具有線路結構12之基板1()的橫截面圖。 如圖14B中所展不,橫截面部位跨越線路結構。 如圖15A及圖15B中所展示,在基板1〇上形成第一遮罩 層15 ’且在第—遮罩層15上形成第二遮罩層17。第—遮畢 :15可包含任何適當之遮罩材料,諸如氧化物、氮化物或 虱氧化物,且其可藉由熟知之技術(諸如化學氣相沈積 (CVD)、電聚輔助_、原子層沈積_)、蒸發、反應 性濺鍍、化學溶液沈積及其他類似沈積 : 一 層15包3氮化矽,且藉由CVD製程沈積。 第遮罩層17可包含可藉由習知微影技術圖案化之任 適當的有機或無機感光性材料。在一較佳實施例中,第二 為光阻。可藉由包括旋塗之實際上任何標準方法 塗覆第二遮罩層17。可棋烤(ρΑΒ)第二遮罩層心移除任 145257.doc 201044439 何溶劑且改良遮罩層之一致性β PAB溫度之較佳範圍為自 約7〇°C至約1501C,更佳自約9〇t至约130。(:〇典型烘烤時 間為自約60秒至約90秒。第二遮罩層17之厚度的較佳範圍 為自約20奈米至約400奈米,更佳自約5〇奈求至約3〇〇奈 米0 視情況(但非必要),可在形成第一遮罩層15之前將底層 14塗覆於具有線路結構12的基板1〇上,如圖16八及圖丨诏中 所展示。
接下來’在第二遮罩層17上執行微影製程以在遮罩層中 產生渠溝開口 18,且經由渠溝開口 18曝露第一遮罩層b之 上表面。微影製程涉及習知微影及抗蝕劑顯影步驟。渠溝 開口 18具有寬度心其在約3()奈米至約·奈米,更佳自約 4〇奈米至約16G奈米之範圍内。較佳渠溝開口在基板_ 之線路結構u的上方,且垂直於線路結構12,如圖17八及 圖17B中所展示。 視情況(但非必要),在塗覆嵌段共聚物層之前處理渠溝 開口 _内表面’以調整特定表面對嵌段共聚物之不同鼓 段组份料面親和力’如前—實施例中所描述。 將-薄自組裝嵌段共聚物層2G塗覆於包括渠溝開口如 遮罩層上,如圖18A及圖18B中所展示。鼓段共聚 ^ 20較佳具有在約1〇奈米至約5〇奈米,更佳自約Η奈米 、、、勺45奈米之範圍内的厚度。 人較佳貝施例中’嵌段共聚物層2G為雙嵌段共聚物, …具有在約咖至約賴之範圍内的分子量比率的 145257.doc •19· 201044439 第一聚合嵌段組份A及第二聚合嵌段組份b。更佳地,嵌 段共聚物層20為PS-b-PMMA嵌段共聚物,其中pS:pMMA 分子量比率在約60:40至約40:60之範圍内。因為第二遮罩 層17中之具有寬度^/的渠溝開口 18,嵌段共聚物層2〇之退 火導致僅單個PMMA薄片20A嵌入於渠溝開口 18内側之PS 矩陣20B中,如圖19A及圖19B中所展示。PMMA薄片20A 具有寬度w’其小於寬度且在約5奈米至約6〇奈米,較 佳自約10奈米至約5〇奈米之範圍内。 選擇性地移除單個薄片20A以在矩陣20B中形成具有寬 度w之單個開口 22,如圖20A及圖20B中所展示。 接著’在第一蝕刻轉印製程中將單個開口 22用作遮罩以 在第一遮罩層15中形成開口 23,如圖21A及圖2 1B中所展 示。第一蝕刻轉印製程可涉及一或多個乾式或濕式蝕刻步 驟。有可能在触刻轉印製程期間移除嵌段共聚物層2〇及第 一遮罩層17之全部或部分。 在第二蝕刻轉印製程中,將開口 23用作遮罩以在線路結
構12中形成開口 24,從而曝露基板10之上表面,如圖22A 及圖22B中所展示。蝕刻轉印製程可涉及一或多個乾式或 濕式蝕刻步驟。有可能在蝕刻轉印製程期間移除嵌段共聚 物層20、第二遮罩層17、第一遮罩層15及底層14之全部或 部分。 在執行蝕刻製程之後將任何剩餘之嵌段共聚物層2〇、第 一遮罩層17、第一遮罩層15及底層14自基板剝離,從而曝 路線路結構12及基板1〇之未由線路結構12覆蓋的上表面, 145257.doc •20· 201044439 如圖23A及圖23B中所展示。如前一實施例中所描述,開 口 24將線路結構12切割為兩個線路12A及12B。因此,此 兩個線路12A與12B之間的尖端與尖端之間距為開口以之 寬度,其在約5奈米至約60奈米,較佳自約1〇奈米至約兄 奈米之範圍内。 雖然已關於較佳實施例特定地展示及描述本發明,但熟 習此項技術者將理解,在不脫離本發明之精神及範疇的情 〇 況下,可在形式上及細節上進行前述的及其他的改變。因 此,意欲本發明不限於已描述及說明之確切形式及細節, 而是落在隨附申請專利範圍之範疇内。 【圖式簡單說明】 圖1A展示由具有第一聚合嵌段組份八及第二聚合嵌段組 份B之嵌段共聚物形成之圖案的三維視圖,同時該圖案包 含由聚合嵌段組份B組成的薄片之有序陣列,其在由聚合 嵌段組份A組成之聚合基質中; Q 圖1 B展示圖1A之圖案的俯視圖; 圖2A展示由具有第一聚合嵌段組份a及第二聚合嵌段組 份B之嵌段共聚物形成之圖案的三維視圖,同時該圖案包 含由聚合嵌段組份B組成的圓柱體之有序陣列,其在由聚 合嵌段組份A組成之聚合基質中; 圖2B展示圖2A之圖案的俯視圖; 圖3說明薄片之有序陣列的尺寸及可用於單個圓柱體之 精確置放之微影特徵的相對尺寸; 圖4說明圓柱體之有序陣列的尺寸及可用於單個圓柱體 145257.doc 201044439 之精確置放之微影特徵的相對尺寸; 圖5A至圖13B為說明根據本發明之—實施例之用於減小 線路之間的尖端與尖端之間距的例示性處理步驟之橫戴面 圖及俯視圖,及 圖14A至圖23B為說明根據本發明之另一實施例之用於 減小線路之間的尖端與尖端之間距的例示性處理步 •外 < 橫 截面圖及俯視圖。 【主要元件符號說明】 10 基板 12 線路結構 12A 線路 12B 線路 14 底層 15 第一遮罩層 16 遮罩層 17 第二遮罩層 18 渠溝開口 20 自組裝嵌段共聚物層 20A PMMA薄片 20B PS矩陣 22 開口 23 開口 24 開口 A 第一聚合嵌段組份 B 第二聚合嵌段組份 145257.doc -22-

Claims (1)

  1. 201044439 七、申請專利範圍: 1. 一種用於減小線路之間的尖端與尖端之間距的方法,其 包含: 提供一基板及該基板中之一線路結構; 在該基板上形成一遮罩層; Ο
    在該遮罩層上執行一微影製程以在該遮罩層中產生具 有一寬度β之一渠溝開口’其中該渠溝開口係在該基板 中之該線路結構的上方,且垂直於該線路結構; 將一嵌段共聚物之一層塗覆於該遮罩層上,其中該嵌 段共聚物至少分別包含彼此不可混溶之第一聚合嵌段組 伤A及第二聚合喪段組份B ; 使該队#又共聚物層退火以在該渠溝開口内側形成具有 一寬度或一直徑冰之一單個單元聚合物嵌段,其中祕< 心且其中該單個單元聚合物嵌段包含該聚合嵌段組份 且係喪入於包含该第―聚合嵌段組份A之聚合基質 選擇性地移除該第二聚合礙段組份B,以在該渠溝屏 口内側在該聚合基質中形成具有—, 單個開口;及 且仅冰的一 …早個開口料一遮罩執行一敍刻轉_ 在該基板中之該線路結構中形成一開口。 ; 201044439 程之後,剝離任何剩餘之嵌段共聚物層、遮罩層及底 層。 4.如》月求項1之方法,其中在自約13〇。〇至約23〇c>c之一溫 度下執行該退火。 5_如响求項4之方法,其中執行該退火歷時約4〇分鐘至約 8 0分鐘。 6_如請求項1之方法,其中該嵌段共聚物之該層具有自約 15奈米至約45奈米的一厚度。 7. 如凊求項丨之方法,其中當將該嵌段共聚物塗覆於一平 坦表面上且在該平坦表面上退火時,其自組裝為嵌入於 包含該第一聚合嵌段組份A之一聚合基質中的包含該聚 合嵌段組份B的多個結構單元之一有序陣列,其中該多 個結構單元中之每一者具有該寬度或直徑%,其中該多 個結構單元在該有序陣列中彼此間隔開一距離^,且其中 0.60+5)^(1 5〇 +小 8. 如吻求項7之方法,其中w係在約1〇奈米至約5〇奈米之範 圍内,且s係在約1〇奈米至約6〇奈米之範圍内,且其中d 係在約40奈米至約160奈米之範圍内。 9. 如請求項1之方法,其中該嵌段共聚物分別包含重量比 為約60.40至約40:60之該第-聚合嵌段組份a及該第二聚 合嵌段级份B,且其中該單個單元聚合物嵌段包含保持 垂直於s亥線路結構之上表面的一薄片。 10. 如明求項1之方法,其中該嵌段共聚物為選自由以下各 者組成之群組中之一雙嵌段共聚物:聚苯乙烯_嵌段聚 145257.doc 201044439 甲基丙烯酸甲酯(PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊 二烯(PS-b-PI)、聚苯乙烯-嵌段-聚丁二烯(PS-b-PBD)、 聚苯乙烯-嵌段-聚乙烯吡啶(PS-b-PVP)、聚苯乙烯-嵌段-聚氧化乙烯(PS-b-PEO)、聚苯乙烯-嵌段·聚乙烯(ps-b-PE)、聚苯乙烯-嵌段-聚有機矽酸酯(PS_b_p〇s)、聚苯乙 烯-嵌段-聚二茂鐵二甲基矽烷(pS_b-PFS)、聚氧化乙烯-敢又-聚異戊一稀(PEO-b-PI) '聚氧化乙浠_嵌段_聚丁二 烯(PEO-b-PBD)、聚氧化乙烯-敌段-聚曱基丙烯酸甲酯 (PEO-b-PMMA)、聚氧化乙烯-嵌段-聚乙基乙烯(pE〇b_ PEE)、聚丁二稀-嵌段-聚乙烯^^(pBD-b-PVP)及聚異戍 二稀-叙段-聚甲基丙烯酸曱I旨(PI_b-PMMA)。 11. 一種用於減小線路之間的尖端與尖端之間距的方法,其 包含: 提供一基板及該基板中之一線路結構; 在該基板上形成一第一遮罩層;
    在該第一遮罩層上形成一第二遮罩層; 在該第二遮罩層上執行一微影製程以在該第二遮罩層 中產生具有一寬度d之一渠溝開口,其中該渠溝開口係 在該基板中之該線路結構的上方,且垂直於該線路結 構; 層塗覆於該第二遮罩層上,其中 包含彼此不可混溶之第—聚合嵌 將一嵌段共聚物之一 該嵌段共聚物分別至少 段組份A及第二聚合嵌段組份b ; 使該嵌段共聚物層退火以在該渠溝開口内側形成具有 145257.doc 201044439 一寬度或一直徑冰之一 单疋^合物嵌段,盆巾ux:/·/ 且其中該單個單元聚合 物肷’又-中… 且俜#入於5 A 嵌奴包含該聚合嵌段組份B, 且你瓜入於包含該第一聚 中; ’又、,且份A之一聚合基質 選擇性地移除該第_ 山 ^ _ 弟一聚σ肷段組份B ’以在該準瀵M 口内側在”合基/溝開 單個開口; 令寬度或一直徑W的一 使用該單個開D I & 以在^ ^ 罩執行—第—㈣轉印製程 以在3亥第-遮罩層中形成-開口;及 使用該第一遮罩層中之 蝕刻轉印製4罩執仃-弟二 口。 在基板中之該線路結構中形成一開 12, 13. 14. 15. 16. .如請求項11之方法,1 — ^ _ /、進—步包含在形成該第一遮罩層 之刖,在該基板上形成—底層。 如請求項12之方法,盆谁_ /、進步包含在使用該第一遮罩層 口作為—遮罩執行該㈣轉印製程以在該基板 中之S亥線路結構中形成_鬥 段/ 後,祕任何剩餘之嵌 、聚物層、第—遮罩層、第二遮罩層及底層。 如請求項11之方法’其中在自約⑽至約峨… 度下執行該退火。 孤 其中執行該退火歷時約4〇分鐘至 其中該嵌段共聚物之該層具有自 如請求項14之方法 8 0分鐘。 如請求項11之方法 15奈米至約45奈米的一厚度 145257.doc -4- 201044439 17.如請求項〗丨之方法,其中當將該嵌段共聚物塗覆於一平 坦表面上且在該平坦表面上退火時,其自組裝為嵌入於 包含該第一聚合嵌段組份A之一聚合基質中之包含該聚 合嵌段組份B的多個結構單元之一有序陣列,其中該多 個結構單元中之每一者具有該寬度或直徑冰,其中該多 個結構單元在該有序陣列中彼此間隔開一距離$,且其中 0.+ + 。 ◎ 18·如請求項17之方法,其中w係在約1〇奈米至約50奈米之 範圍内’且係在約1 〇奈米至約6〇奈米之範圍内,且其中 d係在約40奈米至約1 60奈米之範圍内。 19. 如請求項11之方法,其中該嵌段共聚物分別包含重量比 為約60:40至約40:60之該第一聚合嵌段組份A及該第二聚 合嵌段組份B,且其中該單個單元聚合物嵌段包含保持 垂直於該線路結構之上表面的一薄片。 20. 如請求項π之方法,其中該嵌段共聚物為選自由以下各 Q 者組成之群組中之一雙嵌段共聚物:聚苯乙烯-嵌段-聚 甲基丙烯酸曱酯(PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊 二烯(PS-b-PI)、聚苯乙烯嵌段-聚丁二烯(ps_b_pBD)、 聚苯乙烯-嵌段·•聚乙烯吡啶(PS-b-PVP)、聚苯乙稀-嵌段· 聚氧化乙烯(PS-b-PEO)、聚苯乙烯-嵌段-聚乙烯(PS_b_ PE)、聚苯乙烯-嵌段·聚有機矽酸酯(PS_b_p〇s)、聚苯乙 烯-嵌段-聚二茂鐵二曱基矽烷(PS-b-PFS)、聚氧化乙烯_ 嵌段-聚異戊二烯(PEO-b-PI)、聚氧化乙烯-嵌段-聚丁二 烯(PEO-b-PBD)、聚氧化乙烯-嵌段聚曱基丙烯酸曱酯 145257.doc 201044439 (PEO-b-PMMA)、聚氧化乙烯-嵌段-聚乙基乙烯(PEO-b-PEE)、聚丁二烯-嵌段-聚乙烯吡啶(PBD-b-PVP)及聚異戊 二烯-嵌段-聚甲基丙烯酸曱酯(PI-b-PMMA)。 145257.doc
TW099100284A 2009-01-12 2010-01-07 Method for reducing tip-to-tip spacing between lines TW201044439A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/352,051 US8361704B2 (en) 2009-01-12 2009-01-12 Method for reducing tip-to-tip spacing between lines

Publications (1)

Publication Number Publication Date
TW201044439A true TW201044439A (en) 2010-12-16

Family

ID=42062395

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099100284A TW201044439A (en) 2009-01-12 2010-01-07 Method for reducing tip-to-tip spacing between lines

Country Status (3)

Country Link
US (1) US8361704B2 (zh)
TW (1) TW201044439A (zh)
WO (1) WO2010079005A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915321A (zh) * 2013-01-06 2014-07-09 中国科学院微电子研究所 半导体结构及其制造方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673541B2 (en) * 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
EP2642341A2 (en) * 2012-03-22 2013-09-25 Koninklijke Philips N.V. Manufacturing method of an apparatus for the processing of single molecules
US10099437B2 (en) 2012-06-05 2018-10-16 The University Of Akron Fabrication of directionally oriented block copolymer films
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
US9275868B2 (en) * 2013-07-19 2016-03-01 Globalfoundries Inc. Uniform roughness on backside of a wafer
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
JP6235974B2 (ja) * 2014-09-24 2017-11-22 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP6722433B2 (ja) * 2015-09-30 2020-07-15 東京応化工業株式会社 レジストパターン形成方法及びパターン厚肉化用ポリマー組成物
JP6503206B2 (ja) 2015-03-19 2019-04-17 東京応化工業株式会社 レジストパターン修復方法
JP2017129774A (ja) * 2016-01-21 2017-07-27 凸版印刷株式会社 緑色感光性着色組成物、それを用いたカラーフィルタ及びカラー表示装置
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10020223B1 (en) * 2017-04-12 2018-07-10 International Business Machines Corporation Reduced tip-to-tip and via pitch at line end
US10613438B2 (en) * 2018-01-15 2020-04-07 International Business Machines Corporation Self-aligned patterning methods which implement directed self-assembly
US10896874B2 (en) 2019-03-25 2021-01-19 Globalfoundries Inc. Interconnects separated by a dielectric region formed using removable sacrificial plugs
US11276607B2 (en) 2019-09-13 2022-03-15 International Business Machines Corporation Selective patterning of vias with hardmasks
US11133260B2 (en) 2019-11-15 2021-09-28 International Business Machines Corporation Self-aligned top via

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618819B1 (ko) * 2004-02-06 2006-08-31 삼성전자주식회사 오버레이 마진이 개선된 반도체 소자 및 그 제조방법
US7030495B2 (en) * 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
JP5377857B2 (ja) 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション 非周期的パターン共重合体フィルムのための方法及び組成
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7384852B2 (en) * 2006-10-25 2008-06-10 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) * 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8207028B2 (en) 2008-01-22 2012-06-26 International Business Machines Corporation Two-dimensional patterning employing self-assembled material
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8003466B2 (en) * 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915321A (zh) * 2013-01-06 2014-07-09 中国科学院微电子研究所 半导体结构及其制造方法
WO2014106376A1 (zh) * 2013-01-06 2014-07-10 中国科学院微电子研究所 半导体结构及其制造方法
US9397007B2 (en) 2013-01-06 2016-07-19 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure through forming an additional layer inside opening of a photoresist layer

Also Published As

Publication number Publication date
WO2010079005A1 (en) 2010-07-15
US20100178615A1 (en) 2010-07-15
US8361704B2 (en) 2013-01-29

Similar Documents

Publication Publication Date Title
TW201044439A (en) Method for reducing tip-to-tip spacing between lines
JP6691195B2 (ja) 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US8398868B2 (en) Directed self-assembly of block copolymers using segmented prepatterns
JP5579494B2 (ja) ポリマの指向性自己組織化を利用するサブリソグラフィ構造の形成方法
US8828493B2 (en) Methods of directed self-assembly and layered structures formed therefrom
US8114300B2 (en) Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US8715917B2 (en) Simultaneous photoresist development and neutral polymer layer formation
US9107291B2 (en) Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
JP2018509759A (ja) ブロック・コポリマの誘導自己組織化のためのハイブリッド形態学的化学的プレパターン
WO2012111694A1 (ja) ナノ構造体を表面に備える基板の製造方法
TW201023246A (en) Methods of utilizing block copolymer to form patterns
WO2011080016A2 (en) Methods of directed self-assembly and layered structures formed therefrom
TWI677526B (zh) 用於定向自組裝應用之含矽嵌段共聚物
TWI754661B (zh) 用於自組裝應用之聚合物組合物
JP6039028B1 (ja) 自己組織化材料及びパターン形成方法
JP6470079B2 (ja) パターン形成方法
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
US6946390B2 (en) Photolithographic production of trenches in a substrate
KR20150127264A (ko) 방향성 자동 조립 케모 에피택시 애플리케이션들에서 유기막을 제거하기 위한 트랙 처리
US9563122B2 (en) Method to harden photoresist for directed self-assembly processes
TW201621479A (zh) 用於光阻回流溫度提升之直流疊加固化
JP2018160537A (ja) パターン形成方法
TW202104394A (zh) 製備用於製造奈米微影術遮罩之嵌段共聚物膜的方法