TW201040669A - A method of determining a characteristic - Google Patents

A method of determining a characteristic Download PDF

Info

Publication number
TW201040669A
TW201040669A TW098146003A TW98146003A TW201040669A TW 201040669 A TW201040669 A TW 201040669A TW 098146003 A TW098146003 A TW 098146003A TW 98146003 A TW98146003 A TW 98146003A TW 201040669 A TW201040669 A TW 201040669A
Authority
TW
Taiwan
Prior art keywords
group
target
population
substrate
forming
Prior art date
Application number
TW098146003A
Other languages
Chinese (zh)
Other versions
TWI467346B (en
Inventor
Henricus Johannes Lambertus Megens
Jozef Maria Finders
Antoine Gaston Marie Kiers
Johannes Anna Quaedackers
Der Schaar Maurits Van
Leonardus Henricus Marie Verstappen
Christian Marinus Leewis
Laarhoven Hendrik Adriaan Van
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201040669A publication Critical patent/TW201040669A/en
Application granted granted Critical
Publication of TWI467346B publication Critical patent/TWI467346B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A first target population and a second target population are etched into a substrate. The second target population has an asymmetry with respect to the first target population. This can allow the different target populations to be distinguished and characteristics of the different target populations determined.

Description

201040669 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種決定一基板之一特性之方法。 【先前技;術】 微影裝置為將所I圖案施加至基板上(通常施加至基板 • 之目標部分上)的機器。微影裝置可用於(例如)積體電路 (ic)之製造中。在彼情況下,圖案化器件(其或者被稱作光 罩或主光罩)可用以產生待形成於1C之個別層上的電路圖 ° 案°可將此圖案轉印至基板(例如1晶圓)上之目標部分 (例如,&含晶粒之_一部分、一個晶粒或若干晶粒)上。圖 案之轉印通常係經由成像至提供於基板上之輕射敏感材料 (抗钱劑)層上。-般而言,單一基板將含有經順次圖案化 之鄰近目標部分的網路。已知微影裝置包括:所謂的步進 =,其中藉由一次性將整個圖案曝光至目標部分上來照射 母二目標部*;及所謂的掃描器,其中藉由在給定方向 ❹(掃杬J方向)上經由輻射光束而掃描圖案同時平行或反 平仃於此方向而同步地掃描基板來照射每一目標部分。亦 有可症藉由將圖案壓印至基板上而將圖案自圖案化器件轉 印至基板。 為了監視微影程序,有必要量測經圖案化基板之參數, 例如,形成於基板中或基板上之順次層之間的疊對誤差。 存在用於量測在微影程序中所形成之顯微結構的各種技 術’包括掃描電子顯微鏡及各種專門工具之使用。一種形 式之專門檢測工具為散射計,其中將輻射光束引導至基板 145551.,3, 201040669 之表面上之目輕μ, 性。i μ h S測經散射光束或經反射光束之屬 3 h U束在其已藉由基板反射或散射之前與之後 =性’可決定基板之屬性。此可(例如)藉由比較經反射 儲存於與已知基板屬性相關聯之已知量測庫中的資 知兩種主要類型之散射計。分光散射計將寬頻 ▼辑、—引導至基板上,且量測經散射成特定窄角範圍 之輻射的光譜(作為波長之函數的強度)。角解析散射計使 2色㈣光束’且量測經散射輻射之作為角度之函數的 1C晶片之製造涉及許多層之製作。為了產生更詳細圖 案’可在每—層之製造中制複數個微影及㈣處理步 驟:此被稱為雙重圖案化(double patt⑽ing)。存在達成雙 重圖案化之許多不同方法。此等方法中之第—者被稱為微 影刻-微影4刻(LELE),且在此方法中曝光及敍刻第一 圖案。接著曝光及蝕刻第二圖案’第二圖案具有位於第一 圖案之特徵之間的空間中的特徵。因此,可產生更小尺寸 之圖案。另一類似雙重圖案化技術被稱為微影_凍結-微影_ 蝕刻(LFLE)。在抗蝕劑中曝光圖案,接著使其凍結。亦可 接著在抗蝕劑中曝光第二圖案,且接著將兩個圖案均蝕刻 至基板中。另一雙重圖案化方法被稱為隔片方法(spaa method)。在隔片方法中,放下犧牲模板,且在犧牲模板 之任一側且鄰近於犧牲模板置放隔片。接著移除模板,且 將所得圖案蝕刻至基板中。 當使用兩個微影步驟以形成單一圖案時,可(例如)在第 145551.doc 201040669 一微於步驟期間特徵之 %-η^ 置放中存在一些誤差。類似地,在 ^ Μ, s , +光之特被可能不同於在第二微影步 一 由於已存在兩個微影步驟,故在每 估:步驟期間所曝光之特徵可能不同且需要被單獨地評 光之特徵必要地S類Γ 第二微影步驟期間所曝 且形成規則圖案,故可能難以使 用角解析政射量測來區分兩個特徵集合。 片技術中,使用隔片來產生規則圖案。然而,若隔 幾=s過小’則圖案將不規則。類似地,儘管圖案可能 料残則,但將難以評估圖案中之小不規則性。 之=已1TM來評估在曝光步驟中之每-者中所曝光 特徵。然而,随不夠快速以致於 高產量製造中基板之產出率。 幻Cs曰片之 【發明内容】 Ο 因此’需要一種評估用於雙曹 良型方法。 雙重圖案化技術令之特徵的改 在:發明之一實施例中’提供一種經組態以量 之-屬性的檢測裝置、微影裝置或微影單元、 j 基板上之特徵之―第―群體或—第m —特性^ 法’該第-群體與該第二群體標稱地(質、 在》基板上之-单-層内形成(例如,產生卜單201040669 VI. Description of the Invention: [Technical Field of the Invention] The present invention relates to a method of determining the characteristics of a substrate. [Prior Art] The lithography apparatus is a machine that applies the I pattern to a substrate (usually applied to a target portion of the substrate). The lithography apparatus can be used, for example, in the manufacture of integrated circuits (ic). In this case, a patterned device (which may be referred to as a reticle or main reticle) may be used to create a circuit pattern to be formed on individual layers of 1C. This pattern may be transferred to a substrate (eg, 1 wafer) On the target part (for example, & part of the grain, a grain or several grains). The transfer of the pattern is typically via imaging onto a layer of light-sensitive material (anti-money agent) provided on the substrate. In general, a single substrate will contain a network of sequentially patterned adjacent target portions. The known lithography apparatus comprises: a so-called step=, wherein the mother two target portion* is illuminated by exposing the entire pattern to the target portion at a time; and a so-called scanner, wherein by a broom in a given direction Each of the target portions is irradiated by scanning the substrate via the radiation beam while scanning the pattern while parallel or anti-planar in the J direction. It is also possible to transfer the pattern from the patterned device to the substrate by imprinting the pattern onto the substrate. In order to monitor the lithography procedure, it is necessary to measure the parameters of the patterned substrate, for example, the overlay error between successive layers formed in or on the substrate. There are various techniques for measuring the microstructure formed in a lithography procedure, including the use of scanning electron microscopes and various specialized tools. One form of specialized inspection tool is a scatterometer in which the radiation beam is directed to the surface of the substrate 145551., 3, 201040669. The i h h S measured by the scattered beam or the reflected beam of the 3 h U beam before or after it has been reflected or scattered by the substrate = the property of the substrate can be determined. This can be done, for example, by comparing two main types of scatterometers that are reflected and stored in a known measurement library associated with known substrate properties. The spectral scatterometer directs the broadband to the substrate and measures the spectrum of the radiation (which is a function of wavelength) that is scattered into a particular narrow range of angles. The angular resolution scatterometer enables the fabrication of a number of layers by making a two-color (four) beam 'and measuring the amplitude of the scattered radiation as a function of the angle of the 1C wafer. In order to produce a more detailed pattern, a plurality of lithography can be produced in each layer of fabrication and (4) processing steps: this is called double patt (10) ing. There are many different ways to achieve double patterning. The first of these methods is called lithography-lithography 4 (LELE), and the first pattern is exposed and slid in this method. The second pattern is then exposed and etched. The second pattern has features in the space between the features of the first pattern. Therefore, a pattern of a smaller size can be produced. Another similar dual patterning technique is called lithography_freeze-lithography_etching (LFLE). The pattern is exposed in the resist and then allowed to freeze. The second pattern can then be exposed in the resist and then both patterns etched into the substrate. Another double patterning method is called the spaa method. In the spacer method, the sacrificial template is lowered and the spacer is placed on either side of the sacrificial template and adjacent to the sacrificial template. The template is then removed and the resulting pattern is etched into the substrate. When two lithography steps are used to form a single pattern, there may be some error in the %-n^ placement of features during the step, for example, at 145551.doc 201040669. Similarly, the characteristics of ^ Μ, s, + light may be different from those in the second lithography step. Since there are already two lithography steps, the features exposed during each estimation step may be different and need to be separated. The characteristics of the geodetic light are necessary S class Γ exposed during the second lithography step and form a regular pattern, so it may be difficult to distinguish the two feature sets using the angular resolution political measurement. In the chip technology, spacers are used to create a regular pattern. However, if the interval = s is too small, the pattern will be irregular. Similarly, although the pattern may be residual, it will be difficult to evaluate small irregularities in the pattern. = = 1TM to evaluate the exposure characteristics in each of the exposure steps. However, the yield of the substrate in high-yield manufacturing is not fast enough.幻Cs曰片 [Summary] Ο Therefore, an evaluation is needed for the Shuangcao good type method. The double patterning technique modifies the features: in one embodiment of the invention, 'providing a configuration-quantity-attribute detecting device, a lithography device or a lithography unit, a feature--group on the j-substrate Or - m-characteristic ^ method 'the first-group and the second group are nominally (quality, formed on the substrate - single-layer) (for example, generating a single

案,該圖案具有等於該第-群體之—特徵與該第二I 取接近特徵之間的距離的一週期,該方法包含: 上形成一第一群體,該第一群體包含一第 Λ土板 π肢G3第一目標群體;在 145551.doc 201040669 該基板上形成一第二群體’該第二群體包含一第二目標群 體,3亥第一目標群體與該第一目標群體形成一經組合目標 群體測自該經組合目標群體所反射之輻射;及使用自 該目標所反射之轄射來計算該第—群體或該第二群體之— 特性,其中該第二目標群體具有相對於該第—目標群體之 一不對稱性。 以下參看隨附圖式來詳細地描述本發明之另外實施例、 特徵及優點,以及本發明之各種實施例之結構及操作。應 注意,本發明不限於本文所描述之特定實施例。本文僅出 於說明性目的而呈現該等實施例。基於本文所含有之教 示,額外實施例對於熟習相關技術者將係顯而易見的。 【實施方式】 現將參看隨附圖式而僅藉由實例來描述本發明之實施 例,在該等圖式中,對應參考符號指示對應部分。另外, 併入本文中且形成本說明書之一部分的隨附圖式說明本發 明,且連同實施方式進一步用以解釋本發明之原理且使熟 習相關技術者能夠製造及使用本發明。 本說明書揭示併有本發明之特徵的一或多個實施例。所 揭示實施例僅僅例示本發明。本發明之範疇不限於所揭示 只把例。本發明係藉由此處所附加之申請專利範圍界定。 所描述實施例及在本說明書中對「一實施例」、「一實例 實施例」等等之參考指示所描述實施例可包括一特定特 徵、結構或特性,但每一實施例可能未必包括該特定特 徵、結構或特性。此外’該等短語未必指代同—實施例。 145551.doc -6- 201040669 另外,當結合—實施例來描述一特定特徵、結構或特性 時,應理解,無論是否加以明確地描述,結合复 來實現該特徵、結構或特性均係在熟習此項技術者之知』 内。 ::明:貫施例可以硬體、韌體、軟體或其任何組合加 以實施。本發明之實施例亦可實施為儲存於機器可讀媒體 上之指令’其可藉由一或多個處理器讀取及執行。機哭可 〇讀媒體可包㈣於儲存或傳輸呈可藉由機器(例如,二算 器件)讀取之形式之資訊的任何機構。舉例而言,機器; 讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體 (RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體哭 件;電學、光學、聲學或其他形式之傳播信號(例如,; 波、紅外線信號、數位信號,等等);及其他者。另外, 勃體、軟體、常式、指令可在本文中被描述為執行特定動 作。然而,應瞭解,該等描述僅僅係出於方便起見,且該 〇等動作事實上係、由計算11件' 處理器、控制器或執行拿刃 體、軟體、常式、指令等等之其他器件引起。 然而,在更詳細地描述該等實施例之前,有指導性的係 呈現可實施本發明之實施例的實例環境。 圖1示意性地描繪微影裝置。裝置包含:照明系統(照明 器)IL,其經組態以調節輻射光束B(例如,uv輻射或 輻射);支撐結構(例如,光罩台)MT,其經建構以支撐圖 案化器件(例如,光罩)MA,且連接至經組態以根據特定參 數來準確地定位圖案化器件之第一定位器PM ;基板台(例 I45551.doc 201040669 如’晶圓台)wt,其經建構以固持基板(例如,塗布抗触劑 之日曰圓)W ’且連接至經植態以根據特定參數來準確地定位 基板之第二定位HPW;及投影系統(例如,折射投影透鏡 系統)PL ’其經組態以將藉由圖案化器件MA賦予至輕射光 束B之圖案投影至基板〜之目標部分以例士口,包含一或多 個晶粒)上。 照明系統可包括用於引導、成形或控制輻射的各種類型 之光學組件,諸如折射、反射、磁性、電磁、靜電或其他 類型之光學組件,或其任何組合。 支撐結構支撐(亦即,承載)圖案化器件。支撐結構以取 決於圖案化ϋ件之定向、微影裝置之設計及其他條件(諸 如圖案化器件是否被固持於真空環境中)的方式來固持圖 案化器件。支揮結構可使用機械、真空、靜電或其他夹持 技術來固持圖案化器件。支樓結構可為(例如)框架或台, 其:根據需要而係固定或可移動的。支撐結構可確保圖案 ^牛(例如)相對於投影系統處於所要位置。可認為本文 對術語「主光罩」,戈「光罩」之任何使用均與更通用之術 5吾「圖案化器件」同義。 、,文所使用之術語「圖案化器件」應被廣泛地解釋為指 代可用以在輻射光束之橫截面中向輕射光束賦予圖案以便 在'板之目標部分中形成圖案的任何器件。應注意,例 士右被賦予至輻射光束之圖案包括相移特徵或所謂的輔 助#徵,則圖案可能不會準確地對應於基板之目標部分中 、要圖案通常,被賦予至輻射光束之圖案將對應於目 145551.doc 201040669 標部分中所形成之器件(諸如積體電路)中的特定功能層。 圖案化器件可係透射或反射的。圖案化器件之實例包括 光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在 «中㈣知的’ ^包括諸如二元、交變相移及衰減相移 • t光㈣型,以及各種混合光罩類型。可程式化鏡面陣列 之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者 可個別地傾斜,以便在不同方向上反射入射輕射光束。傾 ❹冑鏡面將圖案賦予於藉由鏡面矩陣所反射之輕射光束中。 本文所使用之術語「投影系統」應被廣泛地解釋為涵蓋 任何類型之投影系統’包括折射、反射、反射折射、磁 性、電磁及靜電光學系統或其任何組合,其適合於所使用 之曝光輻射,或適合於諸如浸沒液體之使用或真空之使用 的其他因素。可認為本文對術語「投影透鏡」之任何使用 均與更通用之術語「投影系統」同義。 如此處所描繪,裝置為透射類型(例如,使用透射光 〇 罩)。或者,裝置可為反射類型(例如,使用如以上所提及 之類型的可程式化鏡面陣列,或使用反射光罩)。 从如裝置可為具有兩個(例如,雙平台)或兩個以上基板 及/或兩個或兩個以上光罩台)的類型。在該等「多平 台j機器中,可並行地使用額外台,或可在一或多個台上 進行預備步驟,同時將一或多個其他台用於曝光。 微影裝置亦可為如下類型:其甲基板之至少一部分可藉 由具有相對較高折射率之液體(例如,水)覆蓋,以便填充 奴衫系統與基板之間的空間。亦可將浸沒液體施加至微影 14555] .doc 201040669 裝置中之其他空間’例如’光罩與投影系統之間。浸沒技 術在此項技術中被熟知用於增加投影系統之數值孔徑。如 本文所使用之術5吾「改沒」不意謂諸如基板之結構必須浸 潰於液體中,而是僅意謂液體在曝光期間位於投影系統與 基板之間。 參看圖卜照明器IL_源S0接收輕射光束。舉例而 言’當輻射源為準分子雷射時’輻射源與微影裝置可為分 離實體纟„亥等情況下,不認為輻射源形成微景多裝置之一 部分’且輕射光束係藉助於包含(例如)適當引導鏡面及/或 光束擴展器之光束傳送系統BD而自輻射源s⑽遞至照明 器1L。在其他情況下,例如,當韓射源為汞燈時,輻射源 可為微影裝置之整體部分。輻射源8〇及照明器几連同光束 傳送系統BD(在需要時)可被稱作輻射系統。 照明器IL可包含用於調整輻射光束之角強度分布的調整 器AD。通常 之強度分布的 可調整照明器之光曈平面中 至少外部徑域圍及/或内部徑向範圍(其通常分別被稱作〇 外部及σ内部)。此外,照明器几可包含各種其他組件,諸 如積光器IN及聚光器C0。照明器可用以調節輕射光束, 以在其橫截面中具有所要均一性及強度分布。 輻射光束B入射於被固持於支撐結構(例如,光罩台%丁) 上之圖案化器件(例如,光罩ΜΑ)上,且係藉由圖案化器件 而圖案化。在橫穿光罩财後,輕射光束⑽遞通過投影系 統PL,投影系統PL將光束聚焦至基板w之目標部分匚上。 藉助於第二定位器PW及位置感測器IF(例如,+涉量測器 145551.doc 201040669 件、線性編碼器、2-D編碼器或電容性感測器),基板台 wt可準確地移動,例如,以便在輻射光束B之路徑中定位 不同目部分c。類似地,第一定位器PM及另一位置感測 器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之 • 機械擷取之後或在掃描期間相對於輻射光束B之路徑來準 確地定位光罩MA。—般而言,可藉助於形成帛一定位器 PM之一部分的長衝程模組(粗略定位)及短衝程模組(精細 ❹ 定位)來實現光罩台MT之移動。類似地,可使用形成第二 疋位器PW之一部分的長衝程模組及短衝程模組來實現基 板台WT之移動。在步進器(與掃描器相反)之情況下,光罩 台MT可僅連接至短衝程致動器,或可係固定的。可使用 光罩對準標記Ml、M2及基板對準標記?1、p2來對準光罩 MA與基板W。儘管如所說明之基板對準標記佔用專用目 標部分,但其可位於目標部分之間的空間中(此等被稱為 切割道對準標記)。類似地,在一個以上晶粒提供於光罩 〇 μα上之情形中,光罩對準標記可位於該等晶粒之間。 所描繪裝置可用於以下模式中之至少一者中: 1. 在步進模式中’在將被賦予至輻射光束之整個圖案 -人性投影至目標部分C上時,使光罩台ΜΤ及基板台 保持基本上靜止(;亦即,單次靜態曝光)。接著,使基板台 WT在X及/或γ方向上移位,使得可曝光不同目標部分c。 在步進模式中’曝光場之最大尺寸限制單次靜態曝光中所 成像之目標部分c的尺寸。 2. 在掃描模式中’在將被賦予至輻射光東之圖案投影 145551.doc -11- 201040669 至目標部分C上時’同步地掃描光罩台MT與基板(亦 即,單次動1曝光)。可藉由投影系統PL之放大率(縮小率 及影像反轉特性來決定基板台WT相對於光罩台Μτ之速度 及方向。在掃描模式中,曝光場之最大尺寸限制單次動態 曝光中之目標部分的寬度(在非掃描方向上),而掃描運動 之長度決定目標部分之高度(在掃描方向上)。 3·在另-模式中’在將被料至輻射光束之圖案投影 至目標部分C上時,使光罩台ΜΤ保持基本上靜止,從而固 持可程式化圖案化器件’且移動或掃描基板台WT。在此 模式中’ it常使用脈衝式轄射源,且在基板台WT之每— 移動之後或在掃描期間的順次輻射脈衝之間根據需要而更 新可程式化圖案化器件。此操作模式可易於應用於利用可 程式化圖案化器件(諸如以上所提及之類型的可 面陣列)之無光罩微影。 亦可使用對以上所描述之使用模式之組合及/或變化或 完全不同的使用模式。 如圖2所示,微影裝置LA形成微影單元Lc(有時亦被稱 作微影早7G或叢集)之—部分,其亦包括用以對基板執行 曝光前及曝光後程序之裝置。通常,此等裝置包括用以沈 積抗姓劑層之旋塗器SC、用以顯影經曝光抗姓劑之顯影器 DE、冷卻板CH,及供烤板BK。基板處置器或機器人⑽自 輸入/輸出口则、购拾取基板、在不同程序裝置之間移 動基板,且接著將基板傳送至微影裝置之裝載盤lb。通常 被集體地稱作塗布顯影系統(track)之此等器件係在塗布顯 145551.doc -12· 201040669 影系統控制單mcu之控制下,塗布顯影_控制單元 TCU自身係藉由監督控制系統scs控制,監督控制系统 SCS亦經由微影控制單元_而控制微影裝置。因此, • 不同裝置可經操作以最大化產出率及處理效率。 . "為了使藉由微影裝置所曝光之基板被正確地且—致地曝 光,需要檢測經曝光基板以量測諸如後續層之間的疊對誤 差、線厚度、臨界尺寸(CD)等等的屬性。若僅測到誤差°、 ❹貝li可對㈣基板之曝光進行調整(尤其係在檢測可被足夠 迅速且快速地進行以使得同一分批之其他基板仍待曝光的 凊况下)。又,已經曝光之基板可經剝離及重做,例如, :乂改良良率或經廢除’藉此避免對已知為有缺陷之基板執 行曝光。在基板之僅一些目標部分有缺陷的情況下,可僅 對為良好之彼等目標部分執行另外曝光。 使用檢測裝置以決;t基板之屬性,且特別係決定不同基 板或同-基板之不同層的屬性如何在層與層之間變化。檢 〇 ㈣置可經整合至微影裝置LA或微影單元Lc中或可為獨 立器件。為了實現最快量測,需要使檢測裝置在曝光之後 立即量測經曝光抗姓劑層中之屬性。然而,抗敍劑中之潛 影具有極低對比度,使得在已曝光至輻射的抗钮劑之部分 與尚未曝光至韓射的抗餘劑之部分之間僅存在極小的折射 率差,t並非所有檢測裝置均具有足夠敏感性來進行潛影 之有用量測。因此,可在曝光後烘烤步驟(PEB)之後採取 量測,曝光後烘烤步驟(PEB)通常為對經曝光基板所進行 之第-步驟且其增加抗蚀劑之經曝光部分與未經曝光部分 245551.doc 201040669 之間的對比度。在此階段,抗㈣中之影像可被稱作半潛 伏的。亦#可能進行經顯影抗钱劑影像之量測此時,抗 姓劑之經曝光部分或未經曝光部分已被移除,或在諸如钱 刻之圖案轉印㈣之後進行經顯f彡抗㈣影像之量測。後 者可能性限制重做有缺陷基板之可能性,但仍可提供有用 資訊。 圖3描緣可用於本發明之一實施例中的散射計。散射計 包含將輕射投影至基板|上之寬頻帶(白光)輕射投影儀2。 將經反㈣射傳遞至分光計偵測器4,其量測鏡面反射轄 射之光譜10(作為波長之函數的強度)。自此資料可藉由 處理單元PU來重建構引起經偵測光譜之結構或輪廓 (profile),例如,藉由嚴密耦合波分析及非線性回歸或藉 由與如圖3之底部處所示之模擬光譜庫相比較。一般而 言’為了重建構,已知結構之通用形式,且根據對製造該 結構所採用之程序的認識來假定—些參數,從而僅留下該 結構之少許參數以自散射量測資料加以決定。該散射計可 經組悲為正入射散射計或斜入射散射計。 圖4中展示可用於本發明之另一散射計。在此器件中, 藉由輻射源2所發射之輻射係使用透鏡系統12而聚焦通過 干涉濾光器13及偏振器17、藉由部分反射表面16反射且經 由顯微鏡接物鏡15而聚焦至基板貨上,顯微鏡接物鏡。具 有高數值孔徑(NA),例如,至少約〇 9及至少約〇 95。浸沒 散射計可甚至具有數值孔徑超過丨之透鏡。經反射輻射接 著通過部分反射表面16而透射至偵測器18中,以便偵測散 145551.doc -14- 201040669 射光譜。偵測器可位於背部投影式光瞳平面丨丨中,背部投 影式光瞳平面11處於透鏡系統15之焦距,然而,光瞳平面 可代替地藉由輔助光學儀器(未圖示)而再成像至债測器 上。光瞳平面為輻射之徑向位置界定入射角且角位界定輕 射之方位角所處的平面。偵測器為二維偵測器,使得可量 測基板目標30之二維角散射光譜。在一實例中,憤測器18 為CCD或CMOS感測器陣列,且可使用為(例如)每圖框4〇 毫秒之積分時間。 ❹ 舉例而a ’通常使用參考光束以量測入射輻射之強度。 為了進行此過程,當輻射光束入射於光束分裂器16上時, 使輻射光束之一部分透射通過光束分裂器以作為朝向參考 鏡面14之參考光束。接著將參考光束投影至同一偵測器^ 之不同部分上。 干涉濾光器13之集合可用以選擇在(例如)4〇5奈米至79〇 奈米或甚至更低(諸如約200奈米至300奈米)之範圍内的所 ◎ 關注波長。干涉濾光器可係可調諧的,而非包含不同濾光 器之集合。可使用光柵以代替干涉濾光器。 偵測器18可量測經散射光在單一波長(或窄波長範圍)下 之強度、單獨地在多個波長下之強度,或在一波長範圍内 所積分之強度。此外’偵測器可單獨地量測橫向磁偏振光 及橫向電偏振光之強度,及/或橫向磁偏振光與橫向電偏 振光之間的相位差。 使用寬頻帶光源(亦即,具有寬光頻率或波長範圍且因 此具有寬顏色範圍之光源)係可能的,其給出大光展量 145551.doc -15· 201040669 (etendue) ’從而允許多個波長之混合。在寬頻帶中之複數 個波長各自具有為*8之頻寬及為至少2*8(亦即,為頻寬之 兩倍)之間隔。複數個輻射「源」可為已使用光纖束加以 分裂的延伸式輻射源之不同部分。以此方式,可在多個波 長下並行地量測角度解析散射光譜。可量測3-D光譜(諸如 波長及兩個不同角度),其與2_d光譜相比較含有更多資 訊。此允許量測更多資訊’其增加度量衡程序穩固性。此 在歐洲專利第1,628,164A號中得以更詳細地描述,該專利 之全文係以引用的方式併入本文中。 基板W上之目標3 〇可為光柵,其經印刷,使得在顯影之 後,條狀物(bar)係由固體抗蝕劑線形成。或者,條狀物可 經蝕刻至基板中。此圖案對微影投影裝置(特別係投影系 統PL)中之色像差敏感,且照明對稱性及該等像差之存在 將使其自身表現為經印刷光栅之變化。因此,使用經印刷 光栅之散射量測資料來重建構光栅。根據對印刷步驟及/ 或其他散射量測程序之認識,可將光柵之參數(諸如線寬 及形狀)輸入至藉由處理單元PU所執行之重建構程序。 為了區分用於雙重圖案化中之兩個群體,有必要在兩個 群體之間引入差異或不對稱性。圖5十展示兩個群體相同 且形成規則圖案所處之規則圖案。然而,若在第二群體與 第一群體之間存在小疊對誤差,則其難以被偵測,因為零 級繞射圖案(用於大多數散射量測應用中)實質上不改變。 圖6中展示零繞射級圖案之強度變化。自圖6可見,對於小 且對决差’針對受對誤差之給定改變的繞射圖案之改變較 14555l.doc -16 - 201040669 小(亦即,在零疊對誤差周圍之梯度可忽略不計卜然而, 對於大疊對誤差,針對疊對誤差之相同給定改變,=繞身 圖案中存在大改變。類似地,若使用者希望評估其^ 參數(諸如該等群體中之一者之酢斗+"扉 τ ^者之臨界尺寸或側壁角度),則The pattern has a period equal to the distance between the feature of the first group and the feature of the second I, the method comprising: forming a first group, the first group comprising a third earth plate a first target population of π-limb G3; forming a second population on the substrate at 145551.doc 201040669 'The second population comprises a second target population, and the first target population of 3H forms a combined target group with the first target population Measuring radiation reflected from the combined target population; and calculating a characteristic of the first population or the second population using a sensation reflected from the target, wherein the second target population has a relative target One of the groups is asymmetrical. Further embodiments, features, and advantages of the present invention, as well as the structure and operation of various embodiments of the present invention, are described in detail. It should be noted that the invention is not limited to the specific embodiments described herein. The embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to those skilled in the art in view of the teachings herein. [Embodiment] The embodiments of the present invention will be described by way of example only with reference to the accompanying drawings. In addition, the present invention will be described in conjunction with the accompanying drawings, and the embodiments of the invention. This specification discloses one or more embodiments of the features of the invention. The disclosed embodiments are merely illustrative of the invention. The scope of the invention is not limited to the disclosed examples. The invention is defined by the scope of the appended claims. The described embodiments and the reference to the "an embodiment", "an example embodiment" and the like in the specification may include a specific feature, structure or characteristic, but each embodiment may not necessarily include the A specific feature, structure, or characteristic. Further, the phrases are not necessarily referring to the embodiments. 145551.doc -6- 201040669 In addition, when a specific feature, structure, or characteristic is described in conjunction with the embodiments, it should be understood that the features, structures, or characteristics are The knowledge of the technical experts. :: Ming: The examples can be implemented by hardware, firmware, software or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine-readable medium that can be read and executed by one or more processors. Machine crying can read media can (4) any organization that stores or transmits information in the form of a form that can be read by a machine (eg, a two-way device). For example, a machine; reading media may include: read only memory (ROM); random access memory (RAM); disk storage media; optical storage media; flash memory crying; electrical, optical, acoustic or Other forms of propagating signals (eg, waves, infrared signals, digital signals, etc.); and others. In addition, Boomers, software, routines, instructions may be described herein as performing specific actions. However, it should be understood that the descriptions are for convenience only, and that the operations are in fact, by computing 11 pieces of 'processors, controllers or executing blades, software, routines, instructions, etc. Caused by other devices. However, prior to describing the embodiments in more detail, it is indicative of an example environment in which embodiments of the invention may be practiced. Figure 1 schematically depicts a lithography apparatus. The apparatus includes an illumination system (illuminator) IL configured to condition a radiation beam B (eg, uv radiation or radiation), and a support structure (eg, a reticle stage) MT configured to support the patterned device (eg, , a reticle) MA, and connected to a first locator PM configured to accurately position the patterned device according to a particular parameter; a substrate stage (eg, I45551.doc 201040669 such as 'wafer stage) wt, constructed to Holding a substrate (eg, coating the day of the anti-contact agent) W' and connecting to the second position HPW that is implanted to accurately position the substrate according to specific parameters; and a projection system (eg, a refractive projection lens system) PL' It is configured to project a pattern imparted to the light beam B by the patterned device MA onto the target portion of the substrate to include one or more crystal grains. The illumination system can include various types of optical components for directing, shaping, or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic, or other types of optical components, or any combination thereof. The support structure supports (ie, carries) the patterned device. The support structure holds the patterned device in a manner that depends on the orientation of the patterned element, the design of the lithography apparatus, and other conditions, such as whether the patterned device is held in a vacuum environment. The fulcrum structure can hold the patterned device using mechanical, vacuum, electrostatic or other clamping techniques. The wagon structure can be, for example, a frame or table that is fixed or movable as needed. The support structure ensures that the pattern is, for example, at a desired position relative to the projection system. Any use of the term "main mask" and "mask" can be considered synonymous with the more general technique of "patterned device". The term "patterned device" as used herein shall be interpreted broadly to refer to any device that can be used to impart a pattern to a light beam in a cross section of a radiation beam to form a pattern in the target portion of the panel. It should be noted that the pattern given to the radiation beam by the law right includes a phase shifting feature or a so-called auxiliary # sign, the pattern may not correspond exactly to the target portion of the substrate, the pattern is usually applied to the pattern of the radiation beam. It will correspond to a specific functional layer in a device (such as an integrated circuit) formed in the section of 145551.doc 201040669. The patterned device can be transmissive or reflective. Examples of patterned devices include photomasks, programmable mirror arrays, and programmable LCD panels. The mask is known in the «Medium (four) '^ including binary, alternating phase shift and attenuated phase shifts • t-light (four) type, as well as various hybrid mask types. One example of a programmable mirror array uses a matrix configuration of small mirrors, each of which can be individually tilted to reflect the incident light beam in different directions. The tilting mirror imparts a pattern to the light beam reflected by the mirror matrix. The term "projection system" as used herein shall be interpreted broadly to encompass any type of projection system 'including refractive, reflective, catadioptric, magnetic, electromagnetic, and electrostatic optical systems, or any combination thereof, suitable for the exposure radiation used. Or suitable for other factors such as the use of immersion liquids or the use of vacuum. Any use of the term "projection lens" herein is considered synonymous with the more general term "projection system." As depicted herein, the device is of the transmissive type (e.g., using a transmitted light hood). Alternatively, the device can be of the reflective type (e.g., using a programmable mirror array of the type mentioned above, or using a reflective mask). A slave device can be of the type having two (e.g., dual platforms) or more than two substrates and/or two or more reticle stages. In such "multi-platform j machines, additional stations may be used in parallel, or preliminary steps may be performed on one or more stations while one or more other stations are used for exposure. The lithography apparatus may also be of the following type : at least a portion of the methyl plate may be covered by a liquid having a relatively high refractive index (eg, water) to fill the space between the slave system and the substrate. The immersion liquid may also be applied to the lithography 14555]. Doc 201040669 Other spaces in the device 'eg between the reticle and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of the projection system. As used herein, the technique of "changing nothing" does not mean The structure such as the substrate must be impregnated in the liquid, but rather only means that the liquid is located between the projection system and the substrate during exposure. See Figure illuminator IL_source S0 to receive the light beam. For example, 'when the radiation source is a quasi-molecular laser', the radiation source and the lithography device can be separate entities, such as the case of the sea, and the radiation source is not considered to form part of the micro-view device and the light beam beam is A beam delivery system BD comprising, for example, a suitable guiding mirror and/or beam expander, is delivered from the radiation source s (10) to the illuminator 1L. In other cases, for example, when the Korean source is a mercury lamp, the radiation source may be micro An integral part of the shadow device. The radiation source 8 〇 and the illuminator together with the beam delivery system BD (when needed) may be referred to as a radiation system. The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Typically, the intensity distribution of the adjustable illuminator has at least an outer radial extent and/or an inner radial extent in the pupil plane (which is commonly referred to as the outer and inner σ, respectively). In addition, the illuminator can include various other components. For example, the illuminator IN and the concentrator C0. The illuminator can be used to adjust the light beam to have a desired uniformity and intensity distribution in its cross section. The radiation beam B is incident on the support node. (eg, reticle stage) on the patterned device (eg, reticle), and patterned by the patterned device. After crossing the reticle, the light beam (10) passes through the projection system PL, the projection system PL focuses the beam onto the target portion of the substrate w. By means of the second positioner PW and the position sensor IF (for example, + Measurer 145551.doc 201040669 pieces, linear encoder, 2- The D encoder or the capacitive sensor), the substrate stage wt can be accurately moved, for example, to position the different target portions c in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor ( It is not explicitly depicted in Figure 1) can be used to accurately position the reticle MA, for example, after a mechanical extraction from the reticle library or during the scan relative to the path of the radiation beam B. The movement of the reticle stage MT can be achieved by means of a long stroke module (rough positioning) and a short stroke module (fine 定位 positioning) forming part of the Locator PM. Similarly, a second 形成 position can be used. Long stroke module and short stroke of one part of PW The module is used to realize the movement of the substrate table WT. In the case of a stepper (as opposed to a scanner), the mask table MT can be connected only to a short-stroke actuator, or can be fixed. A reticle pair can be used. The alignment marks M1, M2 and the substrate alignment marks ?1, p2 align the mask MA with the substrate W. Although the substrate alignment marks occupy a dedicated target portion as illustrated, they may be located in a space between the target portions ( These are referred to as scribe line alignment marks. Similarly, where more than one die is provided on the reticle 〇μα, a reticle alignment mark can be located between the dies. In at least one of the following modes: 1. In the step mode, when the entire pattern to be imparted to the radiation beam is projected onto the target portion C, the mask stage and the substrate stage are kept substantially stationary ( ; that is, a single static exposure). Next, the substrate stage WT is displaced in the X and/or γ directions so that different target portions c can be exposed. The maximum size of the exposure field in the step mode limits the size of the target portion c imaged in a single static exposure. 2. In scan mode 'synchronously scan the mask table MT and substrate when the pattern projection 145551.doc -11- 201040669 will be assigned to the radiant light east (ie, single shot 1 exposure) ). The speed and direction of the substrate stage WT relative to the mask stage τ can be determined by the magnification of the projection system PL (the reduction ratio and the image inversion characteristic. In the scan mode, the maximum size of the exposure field is limited to a single dynamic exposure. The width of the target portion (in the non-scanning direction), and the length of the scanning motion determines the height of the target portion (in the scanning direction). 3. In the other mode, 'projects the pattern of the material to be radiated to the target portion. When C is on, the reticle stage is kept substantially stationary, thereby holding the programmable patterning device' and moving or scanning the substrate table WT. In this mode, it is often used with a pulsed source, and at the substrate table WT Each of the programmable patterning devices is updated as needed after the movement or between successive pulses of radiation during the scan. This mode of operation can be readily applied to the use of programmable patterning devices (such as the types mentioned above) Maskless lithography of the face array. It is also possible to use combinations and/or variations or completely different modes of use of the modes of use described above. As shown in Figure 2, the lithography device LA forms part of a lithography unit Lc (sometimes referred to as lithography early 7G or cluster), which also includes means for performing pre- and post-exposure procedures on the substrate. Typically, such devices include deposition a spin coater SC for anti-surname layer, a developer DE for developing an exposed anti-surname agent, a cooling plate CH, and a bake plate BK. The substrate handler or the robot (10) is self-input/output port, and the substrate is purchased, The substrate is moved between the different program devices, and then the substrate is transferred to the loading tray 1b of the lithographic apparatus. These devices, which are collectively referred to collectively as coating development systems, are coated 145551.doc -12· 201040669 Under the control of the shadow system control single mcu, the coating development_control unit TCU itself is controlled by the supervisory control system scs, and the supervisory control system SCS also controls the lithography apparatus via the lithography control unit. Therefore, different devices can be operated. In order to maximize the yield and processing efficiency. In order to expose the substrate exposed by the lithography device correctly and accurately, it is necessary to detect the exposed substrate to measure the overlap between subsequent layers. Attributes of difference, line thickness, critical dimension (CD), etc. If only the error is measured, the mu) can adjust the exposure of the (four) substrate (especially when the detection can be performed quickly enough and quickly to make the same point The other substrates in the batch are still to be exposed.) Further, the exposed substrate can be peeled and reworked, for example, : 乂 improved yield or abolished 'by thereby avoiding exposure to a substrate that is known to be defective In the case where only some of the target portions of the substrate are defective, additional exposure may be performed only for the target portions that are good. The detection device is used to determine the properties of the substrate, and in particular, the different substrates or the same substrate are determined. The properties of the different layers vary from layer to layer. The detection (4) can be integrated into the lithography device LA or lithography unit Lc or can be a stand-alone device. In order to achieve the fastest measurement, it is necessary to have the detection device measure the properties in the exposed anti-surname layer immediately after exposure. However, the latent image in the anti-synthesis agent has an extremely low contrast, so that there is only a very small refractive index difference between the portion of the anti-knocking agent that has been exposed to radiation and the portion of the anti-reagent that has not been exposed to the Korean shot, t is not All detection devices are sensitive enough to measure the amount of latent image. Therefore, the measurement can be taken after the post-exposure bake step (PEB), which is usually the first step of the exposed substrate and which increases the exposed portion of the resist and Exposure contrast between sections 245551.doc 201040669. At this stage, the image in the anti-(4) can be called semi-latent.亦# Possible measurement of the developed anti-money agent image At this time, the exposed or unexposed portion of the anti-surname agent has been removed, or after the pattern transfer (four) such as money engraving (4) Measurement of images. The latter possibility limits the possibility of redoing defective substrates, but still provides useful information. Figure 3 depicts a scatterometer useful in an embodiment of the invention. The scatterometer includes a wideband (white light) light projector 2 that projects light projection onto the substrate. The inverse (four) shot is passed to the spectrometer detector 4, which measures the spectrum 10 of the specular reflection (intensity as a function of wavelength). From this data, the structure or profile of the detected spectrum can be reconstructed by the processing unit PU, for example, by tightly coupled wave analysis and nonlinear regression or by being shown at the bottom of FIG. Analog spectrum library comparison. In general, 'in order to reconstruct a structure, the general form of the structure is known, and the parameters are assumed based on the knowledge of the procedure used to make the structure, so that only a few parameters of the structure are left to be determined from the self-scattering measurement data. . The scatterometer can be grouped as a normal incidence scatterometer or an oblique incident scatterometer. Another scatterometer useful in the present invention is shown in FIG. In this device, the radiation emitted by the radiation source 2 is focused by the lens system 12 through the interference filter 13 and the polarizer 17, reflected by the partially reflective surface 16 and focused to the substrate via the microscope objective lens 15 On, the microscope is connected to the objective lens. It has a high numerical aperture (NA), for example, at least about 9 and at least about 95. Immersion scatterometers can even have lenses with numerical apertures exceeding 丨. The reflected radiation is transmitted through the partially reflective surface 16 to the detector 18 for detecting the 145551.doc -14-201040669 emission spectrum. The detector can be located in the back projection pupil plane, and the back projection pupil plane 11 is at the focal length of the lens system 15, however, the pupil plane can instead be reimaged by an auxiliary optical instrument (not shown). To the debt detector. The pupil plane defines the angle of incidence for the radial position of the radiation and the angular position defines the plane in which the azimuth of the light is located. The detector is a two-dimensional detector that allows measurement of the two-dimensional angular scatter spectrum of the substrate target 30. In one example, the anger detector 18 is a CCD or CMOS sensor array and can be used, for example, as an integration time of 4 毫秒 per frame. ❹ For example, a 'usually uses a reference beam to measure the intensity of incident radiation. To perform this process, when the radiation beam is incident on the beam splitter 16, one of the radiation beams is partially transmitted through the beam splitter as a reference beam toward the reference mirror 14. The reference beam is then projected onto different portions of the same detector ^. The set of interference filters 13 can be used to select wavelengths of interest in the range of, for example, 4 〇 5 nm to 79 奈 nm or even lower (such as about 200 nm to 300 nm). The interference filter can be tunable rather than containing a collection of different filters. A grating can be used instead of the interference filter. The detector 18 measures the intensity of the scattered light at a single wavelength (or a narrow range of wavelengths), the intensity at a plurality of wavelengths alone, or the intensity integrated over a range of wavelengths. Further, the detector can separately measure the intensity of the transversely polarized light and the transversely polarized light, and/or the phase difference between the transversely polarized light and the laterally polarized light. It is possible to use a broadband light source (i.e., a light source having a wide optical frequency or wavelength range and thus a wide color range), which gives a large light spread of 145551.doc -15· 201040669 (etendue) 'allowing multiple A mixture of wavelengths. The plurality of wavelengths in the wide frequency band each have a bandwidth of *8 and an interval of at least 2*8 (i.e., twice the bandwidth). A plurality of "sources" of radiation may be different portions of an extended source of radiation that has been split using a bundle of fibers. In this way, the angular resolution scattering spectra can be measured in parallel over multiple wavelengths. The 3-D spectrum (such as wavelength and two different angles) can be measured, which contains more information than the 2_d spectrum. This allows for more information to be measured' which increases the stability of the weights and measures. This is described in more detail in the European Patent No. 1,628,164, the entire disclosure of which is incorporated herein by reference. The target 3 on the substrate W may be a grating which is printed such that after development, the bars are formed of solid resist lines. Alternatively, the strip can be etched into the substrate. This pattern is sensitive to chromatic aberrations in the lithographic projection apparatus (especially the projection system PL), and the illumination symmetry and the presence of such aberrations will manifest themselves as a change in the printed raster. Therefore, the scatter data of the printed grating is used to reconstruct the texture grating. Depending on the printing steps and/or other scatterometry procedures, the parameters of the grating, such as line width and shape, can be input to the reconstruction process performed by the processing unit PU. In order to distinguish between two populations used in double patterning, it is necessary to introduce differences or asymmetry between the two populations. Figure 5 shows a regular pattern in which two groups are identical and form a regular pattern. However, if there is a small stack error between the second population and the first population, it is difficult to detect because the zero order diffraction pattern (used in most scattering measurement applications) does not substantially change. The intensity variation of the zero diffraction pattern is shown in FIG. As can be seen from Figure 6, the change in the diffraction pattern for a given change for a given error is smaller than 14555l.doc -16 - 201040669 (ie, the gradient around the zero stack error is negligible) However, for large stacking errors, for the same given change of the overlay error, there is a large change in the body pattern. Similarly, if the user wishes to evaluate their parameters (such as one of the groups) Bucket +"扉τ^'s critical dimension or sidewall angle)

難以區分該兩個群體以評估其臨界尺寸或側壁角度。、 圖7a及圖7b展示根據本發明之—實施例所曝^圖案。 圖7a描繪主圖案’其中存在由第一群體A及第二群體b構 成之單-圖案。然而,在第二群體之置放中存在小疊對誤 差OV。圖7b描繪用於本發明之第一實施例中的目標。已 形成第-目標群體且接著形成第二目標群體。第二目標群 體具有相對於第一目標群體之偏置△。因此,第二目標群 體相對於第一目標群體之置放偏差等於偏置△加上疊=誤 差OV。正是此經引入不對稱性意謂更易於決定疊對誤 差。偵測零級繞射圖案,且使用與預期繞射圖案之偏差來 決定疊對誤差。或者,i易於區分兩個群體且因此量測兩 個群體之特性,諸如任一群體之臨界尺寸或側壁角度。 儘官已使用兩個群體(亦即,使用⑶^或^^程序加以 製造)來描述以上所描述之實施例,但其可同等地應用於 雙重圖案化之隔片方法。圖8a及圖8b描繪根據本發明之一 實知例的雙重圖案化之隔片方法。在圖8&中,使用隔片2】 以在抗蝕劑22之間產生空間且因此產生規則圖案。圖8b描 繪當隔片21過小且因此在任一群體之鄰近特徵或任何參數 之間存在疊對誤差0V時的情形。因此,以上所描述之實 施例的方法可類似地用以決定此疊對誤差。將藉由故意地 145551.doc •17· 201040669 修改隔片之尺寸來?丨人已知偏置, 性’諸如藉由隔片之尺寸斤估特徵之任何特 該偏置可為任何值入的特性。 < »沮但應小於圖索夕拥t 對於-圖案,具有約16奈米 -°舉例而言, 偏置係所要的。 、^及约5奈米至奈米之 為了豐對誤差之改良型 如,各自罝有P + 了存在複數個目標(例 分有其自己之目標群體 經引入偏置。 心具有不同的 圖9中描繪本發明之另一實施例。可 一群體h b + 第一群體B與第 …於 大臨界尺寸。引入此不對稱性再-欠 兩個群體且因此評估該等群體中之每 特性盖儘管圖9描繪具有更大臨界尺寸之 可同等適當地具有更小臨界鮮:仁其 (諸如側壁角度)變化卜:者’其之其他特性 實際上,將影響零級繞射圖宰之任 何特性可變化,以便產生該不對稱性。 圓木之任 類似於第一實施例,可存在複數個目標,其各自具有第 一目標群體之不同臨界尺寸。 圖1 〇描繪根據本發明之—實施例的目標群體其中已引 入偏置且第一群體之臨界尺寸亦變化。此將再次使更易於 區分不同群體且因此量測每一群體之疊對誤差及特性。 圖11中描繪本發明之一另外實施例,其描繪另一目標群 體°可見’第二群體之每隔三個線丢失。再次,此引入使 更易於區分兩個群體之不對稱性。 如以上所論述,此實施例係關於將不對稱性引入至目標 145551.doc -18- 201040669 群體中。以上已概述諸如丢失線、偏置及臨界尺寸之變化 2對稱性之特定實例,但引人不對稱性之任何方法將係 =的。兩個群體之間的不對稱性之另外實例將為第二群 具有不同於第-群體之高度的高度。或者,不同材料可 用於不同群體。此外,本發明不限於僅僅兩個群體之使 用且可在存在三個或三個以上群體時被同等適當地應 用〇 Ο Ο 儘管在本文中可特定地參考微影裝置在1C製造中之使 仁應理解,本文所描述之微影裝置可具有其他應用, 諸如製造整合光學系統、用於磁嘴記憶體之導引及请測圖 案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等等。 熟習此項技術者應瞭解,在該等替代應用之情境中,可認 為本文對術5吾「晶圓」或「晶粒」之任何使用分別與更通 用之術語「基板」或「目標部分」同義。可在曝光之前或 之後在(例如)塗布顯影系統(其為通常將抗蝕劑層施加至基 板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工 具中處理本文所提及之基板。適用時,可將本文之揭示内 谷應用於§亥等及其他基板處理工具。另外,可將基板處理 一次以上,(例如)以便形成多層IC ,使得本文所使用之術 語基板亦可指代已經含有多個經處理層之基板。 儘管以上可特定地參考在光學微影之情境中對本發明之 實施例的使用,但應瞭解,本發明可用於其他應用(例 如,壓印微影)中,且在情境允許時不限於光學微影。在 壓印微影中,圖案化器件中之構形界定形成於基板上之圖 145551.doc -19- 201040669 案可將圖案化器件之構形塵入被供應至基板之抗姓劑層 中,在基板上,抗省虫劑係藉由施加電磁輻射、熱、壓力或 其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗 雀虫劑,從而在其令留下圖案。 本文所使用之術語「輻射」及「光束」涵蓋所有類型之 電磁輻射,包括紫外線(uv)輻射(例如,具有為或為約如 奈米、355奈米、248奈米、193奈米、157奈米或126奈米 之波長)及極紫外線(EUV)輕射(例如,具有在為5奈米至2〇 奈米之範圍内的读具、.,、,Ώ _ 長),以及粒子束(諸如離子束或電子 束)。 術語「透鏡」在情境允許時可指代各種類型之光學組件 :之任纟或其組合’包括折射、反射、磁性、電磁及 電光學組件》 儘管以上已描述本發明之特定實施例,但應瞭解,可以 :所描述之方式不同的其他方式來實踐本發明。舉例而 5,本發明可採取如下形式:電腦程式,其含有描述如以 上所揭示之方法之機器可靖 』°貝扣令的一或多個序列;或資料 ,存媒體(例如導體記憶體、磁碟或光碟),其具 存於其中之該電腦程式。 結論 應瞭解’[實施方式]章節而非[發明内容]及[中文發明摘 要]章節意欲用以解釋申請專利範圍。[發明内容 發明摘要]章節可閣述如由發明人所預期的本發明之4 多個而非所有例示性實施例’且因此,不意欲以任何方式 145551.doc -20- 201040669 來限制本發明及附加申請專利範圍。 以上已藉助於說明指;^力能及其關係之實施的功能儲存 區塊而描述本發明。為了便於描述,本文已任意地界定此It is difficult to distinguish between the two populations to assess their critical dimensions or sidewall angles. Figures 7a and 7b show patterns exposed in accordance with an embodiment of the present invention. Figure 7a depicts a main pattern' in which there is a single-pattern consisting of a first population A and a second population b. However, there is a small stack error OV in the placement of the second population. Figure 7b depicts the object used in the first embodiment of the invention. A first target group has been formed and then a second target group is formed. The second target group has an offset Δ relative to the first target population. Therefore, the placement deviation of the second target group with respect to the first target group is equal to the offset Δ plus the stack = error OV. It is this introduction of asymmetry that means that it is easier to determine the overlap error. The zero-order diffraction pattern is detected and the deviation from the expected diffraction pattern is used to determine the overlay error. Alternatively, i is easy to distinguish between two populations and thus measure the characteristics of the two populations, such as the critical dimension or sidewall angle of any population. The above described embodiments have been described using two groups (i.e., manufactured using the (3)^ or ^^ program), but are equally applicable to the double patterned spacer method. Figures 8a and 8b depict a double patterned spacer method in accordance with one embodiment of the present invention. In Figures 8 & a spacer 2] is used to create a space between the resists 22 and thus create a regular pattern. Figure 8b depicts the situation when the septum 21 is too small and therefore there is a stacking error of 0V between adjacent features or any parameters of any population. Thus, the method of the embodiments described above can be similarly used to determine this overlay error. Will the size of the spacer be modified by intentionally 145551.doc •17· 201040669? Deaf people are known to be biased, such as any characteristic of the size of the spacer, such as by the size of the spacer. < » 沮 但 应 应 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于 对于, ^ and about 5 nm to nano-in order to improve the error of the error, for example, each has P + there are multiple targets (the case has its own target group introduced bias). The heart has a different Figure 9 Another embodiment of the present invention is depicted. A population of hb + first population B and a large critical dimension can be introduced. This asymmetry is introduced again - the two groups are owed and thus each of the characteristics of the population is assessed despite Figure 9 depicts that having a larger critical dimension can equally well have a smaller critical freshness: a change in its (such as sidewall angle): the other characteristics of which, in fact, will affect any characteristic of the zero-order diffraction pattern. Variations in order to produce this asymmetry. The log is similar to the first embodiment, and there may be a plurality of targets each having a different critical dimension of the first target population. Figure 1 is a depiction of an embodiment in accordance with the present invention. The target population has introduced biases and the critical dimension of the first population also changes. This will again make it easier to distinguish between different populations and thus measure the overlay error and characteristics of each population. Figure 11 depicts the invention. A further embodiment, which depicts another target population, sees that every third line of the second population is lost. Again, this introduction makes it easier to distinguish the asymmetry of the two populations. As discussed above, this embodiment is about Asymmetry is introduced into the target 145551.doc -18- 201040669 group. Specific examples such as missing line, offset and critical dimension change 2 symmetry have been outlined above, but any method that introduces asymmetry will be A further example of the asymmetry between the two populations would be that the second group has a height different from the height of the first group. Alternatively, different materials can be used for different groups. Furthermore, the invention is not limited to only two groups. Use and may be equally suitably applied in the presence of three or more populations. Although reference may be made herein specifically to the fabrication of lithographic apparatus in 1C, the lithographic apparatus described herein may Other applications, such as manufacturing integrated optical systems, guidance for magnetic memory and measurement patterns, flat panel displays, liquid crystal displays (LCDs), thin film magnetic heads, etc. It should be understood by those skilled in the art that in the context of such alternative applications, any use of the "wafer" or "die" in this document may be considered synonymous with the more general term "substrate" or "target portion". The process mentioned herein may be treated before or after exposure, for example, by applying a development system that is a tool that typically applies a resist layer to the substrate and develops the exposed resist, a metrology tool, and/or a detection tool. The substrate may be applied to §Hai et al. and other substrate processing tools when applicable. In addition, the substrate may be processed more than once, for example, to form a multi-layer IC, so that the term substrate used herein may also Reference is made to a substrate that already contains a plurality of treated layers. Although the above uses may be specifically referenced to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications (eg, embossing lithography) ), and is not limited to optical lithography when the context permits. In the embossing lithography, the configuration in the patterned device is defined on the substrate. Figure 145551.doc -19-201040669 can pattern the patterned device into the anti-surname layer of the substrate. On the substrate, the insect repellent is cured by application of electromagnetic radiation, heat, pressure, or a combination thereof. After the resist is cured, the patterned device is removed from the anti-snoring agent, leaving a pattern in it. The terms "radiation" and "beam" as used herein encompass all types of electromagnetic radiation, including ultraviolet (uv) radiation (eg, having or being about, such as nano, 355 nm, 248 nm, 193 nm, 157). Nano or 126 nm wavelength) and extreme ultraviolet (EUV) light (for example, readings in the range of 5 nm to 2 nm, ., ,, Ώ _ long), and particle beams (such as ion beam or electron beam). The term "lens", when the context permits, may refer to various types of optical components: any or a combination thereof 'including refractive, reflective, magnetic, electromagnetic, and electro-optical components." Although specific embodiments of the invention have been described above, It is understood that the invention may be practiced in other ways than described. For example, 5, the present invention can take the form of a computer program containing one or more sequences describing the machine singularity of the method as disclosed above; or data, storage media (eg, conductor memory, A disk or a disc) having the computer program stored therein. Conclusion It should be understood that the '[Embodiment] section, not the [Summary of the Invention] and the [Chinese Abstracts] section are intended to explain the scope of the patent application. SUMMARY OF THE INVENTION The present invention is capable of limiting the present invention in any manner, 145551.doc -20-201040669, as contemplated by the inventors. And the scope of additional patent applications. The invention has been described above by means of a functional storage block that illustrates the implementation of the force and its relationship. For ease of description, this article has arbitrarily defined this

等功此儲存區塊之邊界。〇亞^ lL 運芥〃、要適當地執行指定功能及其關 係,便可界定替代邊界。 Ο 特定實施狀前❹料如此充分地展現本發明之—般 屬社以使得其他人可在無不當實驗的情況下藉由應用此項 技術中之熟知知識而易於針對各種應用來修改及/或調適 該等特定實施例,而不脫離本發明之一般概念。因此,基 於本文所呈現之教不及指導,該等調適及修改意欲屬於所 揭示實施例之等效物的涵義及範圍。應理解,本文之措辭 係詩描述而非限制之㈣,使得本說明書之術語 β «存由熟習此項技術者按照教示及指導加以解釋。 一本發明之廣度及範疇不應藉由上述例示性實施例中之任 界=限制而應僅根據以下中請專利範圍及其等效物加以 【圖式簡單說明】 圖1描緣根據本發明之-實施例的微影裝置; =田纷根據本發明之—實施例的微影單元或叢集; ::緣根據本發明之—實施例的第—散射計; 為繪根據本發明之一實施例的第二散射計; 所曝二:根案據本發明之-實施例的使用雙重圖案化技術 :根據本發明之—實施例的描、%零級繞射圖案之強 145551.doc -21- 201040669 度如何隨著疊對誤差而變化的圖解; 與第Wait for the boundary of this storage block. 〇 ^ l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l l特定 Before the specific implementation, the present invention is so fully demonstrated that other people can easily modify and/or modify for various applications by applying the well-known knowledge in the art without undue experimentation. These particular embodiments are adapted without departing from the general inventive concept. Therefore, the scope and scope of equivalents of the disclosed embodiments are intended to be within the scope of the invention. It should be understood that the phrase "here" is used to describe the term "beta" as explained in the specification and guidance. The breadth and scope of the invention should not be limited by the above-described exemplary embodiments, but only by the following patent scope and equivalents thereof. FIG. a lithography apparatus according to an embodiment of the invention; a lithography unit or cluster according to an embodiment of the invention; a first scatterometer according to the invention - an embodiment; Second scatterometer of the example; exposed two: according to the invention - the use of the double patterning technique of the embodiment: according to the embodiment of the invention, the strength of the % zero-order diffraction pattern 145551.doc -21 - 201040669 how the graph changes with the error of the stack;

圖7a描繪根據本發明之—實施例的在第-群體 體之間存在疊對誤差所處的圖案; 圖7b描繪根據本發明 二目標群體之間存在偏 圖8a描繪根據本發明 之階段及所得圖案; 之一實施例的在第一目標群體與第 置及疊對誤差所處的目標群體; 之一實施例的在隔片圖案化技術中 圖朴描繪根據本發明之—實施例的在使用隔片圖案化技 術來製造目標中之階段及所得目標; 圖9七田繪根據本發明之一實施例所製造的目標; 圖10描繪根據本發明之一實施例的另一目標;及 圖11描繪根據本發明之一實施例的目標。 根據以上在結合圖式時所闡述之實施方式,本發明之特 徵及優點將變得更顯而易見,在圖式中,相似參考符號始 終識別對應元件。在圖式中,相似參考數字通常指示相 同、功犯上類似及/或結構上類似之元件。元件第一次出 現時之圖式係藉由對應參考數字中之最左邊數位指示。 【主要元件符號說明】 2 寬頻帶(白光)輻射投影儀/輻射源 4 分光計偵測器 10 光譜 11 背部投影式光曈平面 12 透鏡系統 13 干涉濾光器 145551.doc -22- 201040669Figure 7a depicts a pattern in which a stacking error exists between first-complexes in accordance with an embodiment of the present invention; Figure 7b depicts a stage in accordance with the present invention depicting stages and gains in accordance with the present invention. a pattern; a target population at which the first target population and the first and the overlay errors are located; one of the embodiments in the spacer patterning technique is depicted in accordance with the present invention - an embodiment of the use The spacer patterning technique is used to create the stage in the target and the resulting target; FIG. 9 is a target made in accordance with an embodiment of the present invention; FIG. 10 depicts another object in accordance with an embodiment of the present invention; and FIG. A target in accordance with an embodiment of the present invention. The features and advantages of the present invention will become more apparent from the aspects of the embodiments described in the appended claims. In the drawings, like reference numerals generally refer to the same, the same, and/or structurally similar elements. The first appearance of the component is indicated by the leftmost digit of the corresponding reference number. [Main component symbol description] 2 Broadband (white light) radiation projector / radiation source 4 Spectrometer detector 10 Spectrum 11 Back projection diaphragm plane 12 Lens system 13 Interference filter 145551.doc -22- 201040669

14 參考鏡面 15 顯微鏡接物鏡 16 部分反射表面/光束分裂器 17 偏振 18 偵測器 21 隔片 22 抗姓劑 30 基板目標 A 第一群體 AD 調整器 B 輻射光束(圖1)/第二群體(圖5) BD 光束傳送系統 BK 烘烤板 C 目標部分 CH 冷卻板 CO 聚光器 DE 顯影器 1/01 輸入/輸出口 1/02 輸入/輸出口 IF 位置感測器 IL 照明系統/照明器 IN 積光器 LA 微影裝置 LACU 微影控制單元 145551.doc -23- 20104066914 Reference Mirror 15 Microscope Objectives 16 Partially Reflective Surface / Beam Splitter 17 Polarization 18 Detector 21 Septum 22 Anti-Surmitant 30 Substrate Target A First Group AD Regulator B Radiation Beam (Figure 1) / Second Population ( Figure 5) BD Beam Transfer System BK Baking Plate C Target Part CH Cooling Plate CO Concentrator DE Developer 1/01 Input/Output Port 1/02 Input/Output Port IF Position Sensor IL Lighting System / Illuminator IN Accumulator LA lithography device LACU lithography control unit 145551.doc -23- 201040669

LB LC Ml M2 MA MT PI P2 PL PM PU PW RO SC SCS SO TCU W WT 裝載盤 微影單元 光罩對準標記 光罩對準標記 圖案化器件/光罩 支撐結構/光罩台 基板對準標記 基板對準標記 投影系統 第一定位器 處理單元 第二定位器 機器人 旋塗器 監督控制系統 輻射源 塗布顯影系統控制單元 基板 基板台 145551.doc -24-LB LC Ml M2 MA MT PI P2 PL PM PU PW RO SC SCS SO TCU W WT Loading plate lithography unit reticle alignment mark reticle alignment mark patterning device / reticle support structure / reticle stage alignment mark Substrate alignment mark projection system first positioner processing unit second positioner robot spin coater supervision control system radiation source coating development system control unit substrate substrate table 145551.doc -24-

Claims (1)

201040669 七、申請專利範圍: 1· 一種決定一基板上之特徵之一第一 砰體或一第二群體之 —特性的方法,該第一群體與該 乐—群體標稱地相同且 基板上之一單一層内形成—單一圖案該圖案罝有 專於該第-群體之-特徵與該第二群體之最接近特徵之 間的距離的一週期,該方法包含: 在該基板上形成一第一群體,該 略弟一群體包含一第— 目標群體; Ο Ο 在該基板上形成-第二群體,該第二群體包含_第二 目標群體,該第二目標群體鱼嗲楚 矸菔,、°亥第一目標群體形成一經 組合目標群體,· 僧測自該經組合目標群體所反射之輕射;及 使用自該經組合目標群體所反射之輕射來計算該第一 群體或該第二群體之一特性, 其中該第二目標群體具有相對於該第一目標群體之一 不對稱性。 2.如請求们之方法’其中該不對稱性包含:該第一目標 群體之-特徵與該第二目標群體之最接近特徵之間的距 離為該週期減去一偏置。 3·如请求項1或2之方法’其中該不對稱性包含:該第二目 標群體之該等特徵具有不同於該第__目標群體之臨界尺 寸的一臨界尺寸。 4.如靖求項1或2之方法,其中該不對稱性包含:該第一目 標群體或該第二目標群體使每隔11個特徵被移除,η為大 145551.doc 201040669 於1之—有限數。 5·如哨求項3之方法’其中該不對稱性進-步包含:該第 一目標群體或該第:目標群體使每隔η個特徵被移除,η 為大於1之一有限數。 6. 如請求項1之方法’盆中哕胜吣也斗姑 上 '、甲及特性為該第一群體或該第二 群體之臨界尺寸。 7. 如請求項1之方法,1中兮胜卜 誤差。 ” Τ °亥特性為该第二群體之置放之 8·如請求項!之方法,其中 马忒弟一群體或該第二 群體之側壁角度。 9.如請求項2之方法,其中兮黹… 具中β亥第一群體包含—第_ 目標群體,且該第二群體包含 個第一 气t n 弟一個第一目標群體, 〇哀第一個第二目標群體 m相對於邊第二個第— 偏置不同於該第—個第— 目‘群體之 目標群體之偏置。 個弟— 10·如請求項3之方法,其中 、τ肩第—群體包含— μ 目標群體,且兮笛- 一個弟一 砰體且β亥第_群體包含m 該第二個第二目標群體相對於該第二 ^群體, 臨界尺寸不同於該第一個第_ 目標群體之 4個弟一目標群體相對 第一目標群體之臨界尺寸。 、°亥弟一個 11. 如請求項1之方法,其中哕 八甲名不對稱性包含: 具有不同於該第一群體之高度的—高度。w第-群體 12. 如請求項1之方法,其中 . 具中°亥不對稱性包含、笛 係由不同於該第一群體之材料的—材料製成:第〜群體 145551.doc 201040669 13. 14. 如請求項1之方法,其中形成該第— 板之步驟及處理該基板之一步驟, 包含曝光處理該基板之一第二步驟的 如請求項1之方法,其中形成該第— 板之—步驟及凍結該基板之一步驟, 包含曝光該基板之一第二步驟。 #體包含曝光該基 且形成該第二群體 卓二步驟。 鮮體包含曝光該基 且形成該第二群體201040669 VII. Patent application scope: 1. A method for determining a characteristic of a first body or a second group on a substrate, the first group being nominally the same as the music group and on the substrate Forming in a single layer - a single pattern having a period of time specific to the distance between the feature of the first group and the closest feature of the second group, the method comprising: forming a first on the substrate a group comprising a first target group; Ο 形成 forming a second group on the substrate, the second group comprising a second target group, the second target group being fish, ° The first target group of the Hai formation forms a combined target group, the light shot reflected from the combined target group is measured; and the first group or the second group is calculated using the light reflection reflected from the combined target group One characteristic, wherein the second target group has an asymmetry with respect to one of the first target groups. 2. The method of claimants wherein the asymmetry comprises: the distance between the feature of the first target group and the closest feature of the second target group is the period minus an offset. 3. The method of claim 1 or 2 wherein the asymmetry comprises: the features of the second target population having a critical dimension different from a critical dimension of the first __ target population. 4. The method of claim 1 or 2, wherein the asymmetry comprises: the first target group or the second target group is such that every 11 features are removed, η is greater 145551.doc 201040669 at 1 - a limited number. 5. The method of claim 3, wherein the asymmetry further comprises: the first target population or the first: target population is such that every n features are removed, and η is a finite number greater than one. 6. The method of claim 1 'the pot in the pot 哕 吣 吣 斗 斗 斗 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 7. As in the method of claim 1, the error in 1 is satisfied. Τ ° 特性 特性 特性 该 该 · · · · · · · 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. 9. ... with the first group of β Hai contains the - _ target group, and the second group contains a first target group of the first gas tn brother, the first second target group m relative to the second second – the offset is different from the bias of the target group of the first – target group. The younger – 10 • The method of claim 3, where the τ shoulder-group contains – μ the target group, and the whistle- a younger brother and a β hai _ group containing m the second second target group relative to the second group, the critical size is different from the first _ target group of 4 brothers and one target group relative to the first The critical dimension of a target group. The method of claim 1, wherein the asymmetry of the 哕八甲名 includes: having a height different from the height of the first group - w-group 12. The method of claim 1, wherein The asymmetry of the hay includes, the flute is made of a material different from the material of the first group: the first group 145551.doc 201040669 13. 14. The method of claim 1, wherein the step of forming the first plate And a step of processing the substrate, comprising the method of claim 1, wherein the step of forming the first plate and the step of freezing the substrate comprises: exposing one of the substrates The second step: the body comprises exposing the group and forming the second group. The fresh body comprises exposing the group and forming the second group 15 ·如睛求項1之方法 群體同時發生。 其中形成該第一 群體與形成該第 16. —種方法,其包含: 在一基板上產生一第一群體 目標群體; 該第一群體包含一第一 群體包含一第 在該基板上產生一第二群體,該第 目標群體; 目標群體之一經組 產生包含該第一目標群體及該第二 合目標群體; 僧測自該經組合目標群體所反射之輕射;及 使用分別自該第一目標群體痞 吁® 亥第二目標群體所反射 之輻射來決定該第一群體或該第二群體之一特性, 其中該第-群體與該第二群體實質上相同且在該基板 上之-單-層内形成一單一圖案’該單一圖案具有等於 該第一群體之一特徵與該第二群體之一最接近特徵之間 的距離的一週期,且 其中該第二目標群體具有相斜於該第一目標群體之一 不對稱性。 H5551.doc 201040669 第—目標 徵之間的 17_如請求項16之方法, 群體之該特徵與該第 該距離。 其中s亥不對稱性包含:該 二目標群體之該最接近特 18·如請求項16之方法,其中該不對稱性包含:該第二目把 群體之特徵具有不同於該第_目標群體之一 : 一臨界尺寸。 j 7 19·如請求項16之方法,其中該不對稱性包含:該第一目標 群體或該第二目標群體使每_個特徵被移除,η為大於 1之一有限數。 2〇·如請求項18之方法’其中該不對稱性進一步包含:該第 目I群體或⑦第二目標群體使每隔η個特徵被移除,η 為大於1之一有限數。 士月求項16之方法,其中該特性為該第—群禮或該第二 群體之一臨界尺寸。 月长項I6之;ξτ法,其中該特性為該第二群體之置放之 一誤差。 23·如請求項16之方法,其中該特性為該第-群體或該第二 群體之一側壁角度。 24·如請求項17之方法,其中: 該第-群體包含該第-目標群體之—第二者; 該第二群體包含該第二目標群體之一第二者;且 4第—目標群體之該第二者相對於該第—目標群體之 該第二者之-偏置不同於該第二目標群體之該第一者相 對於該第一目標群體之該第一者之—偏置。 145551.doc 201040669 25.如請求項18之方法,其中: 該第一群體包含該第一目標群體之一第二者. 該第二群體包含該第二目標群體之一第二者.且 該第二目標群體之該第二者相對於該第— 曰知群體之 該第二者之一臨界尺寸不同於該第二目標群體之該第— 者相對於該第一目標群體之該第一者之一臨界尺~ 26. 如請求項16之方法,其中該不對稱性白人. υ 3 .該第二群體 〇 具有不同於該第一群體之一高度的 27. 如請求項16之方法,其中該不對稱性包含:該第二群體 係由不同於該第一群體之一材料的一材料組態。 28·如請求項16之方法,其中: 形成該第一群體包含: 曝光該基板, 處理該基板;且 形成該第二群體包含: 曝光該經處理基板。 2 9.如請求項16之方法,其中·· 形成該第一群體包含: 曝光該基板,及 床結該基板;且 形成該第二群體包含: 曝光該基板。 其中形成該第一群體與形成該第二 30.如請求項16之方法, 群體實質上同時發生 145551.doc15 · The method of seeking the item 1 The group occurs simultaneously. Forming the first population and forming the 16th method, comprising: generating a first population target population on a substrate; the first population comprising a first population comprising a first generation on the substrate a second group, the first target group; one of the target groups is generated by the group to include the first target group and the second target group; the light is reflected from the combined target group; and the use is separately from the first target The radiation reflected by the second target population of the group determines the characteristics of the first population or the second population, wherein the first population is substantially identical to the second population and is mono- on the substrate Forming a single pattern within the layer' the single pattern having a period equal to the distance between one of the features of the first population and the closest feature of the second population, and wherein the second target population has a phase oblique to the first One of the target groups is asymmetrical. H5551.doc 201040669 Between the first and the target 17_ as in the method of claim 16, the characteristic of the group and the first distance. Wherein the sax asymmetry comprises: the second target group is the closest to the method of claim 16, wherein the asymmetry comprises: the second target group has a feature different from the first target group One: a critical dimension. The method of claim 16, wherein the asymmetry comprises: the first target population or the second target population causes each _ feature to be removed, and η is a finite number greater than one. 2. The method of claim 18, wherein the asymmetry further comprises: the first item I group or the seventh second target group such that every n features are removed, and η is a finite number greater than one. The method of claim 16, wherein the characteristic is a critical size of the first group or the second group. The monthly length term I6; ξτ method, wherein the characteristic is an error of the placement of the second group. 23. The method of claim 16, wherein the characteristic is a sidewall angle of the first population or the second population. The method of claim 17, wherein: the first group includes a second party of the first target group; the second group includes a second one of the second target group; and 4 the first target group The offset of the second one relative to the second one of the first target group is different from the first one of the second target group relative to the first one of the first target groups. The method of claim 18, wherein: the first group comprises a second one of the first target groups. The second group comprises a second one of the second target groups. and the first a second dimension of the second target group relative to the first one of the second target group is different from the first one of the second target group relative to the first one of the first target group A method of claim 16, wherein the method of claim 16 wherein the asymmetry is white. υ 3. The second group 〇 has a height different from one of the first groups. 27. The method of claim 16, wherein The asymmetry comprises: the second group system being configured by a material different from the material of one of the first groups. The method of claim 16, wherein: forming the first population comprises: exposing the substrate, processing the substrate; and forming the second population comprises: exposing the processed substrate. 2. The method of claim 16, wherein forming the first population comprises: exposing the substrate, and bed bonding the substrate; and forming the second population comprises: exposing the substrate. Forming the first population and forming the second 30. The method of claim 16, wherein the population occurs substantially simultaneously 145551.doc
TW98146003A 2008-12-30 2009-12-30 A method of determining a characteristic TWI467346B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14141408P 2008-12-30 2008-12-30

Publications (2)

Publication Number Publication Date
TW201040669A true TW201040669A (en) 2010-11-16
TWI467346B TWI467346B (en) 2015-01-01

Family

ID=41785638

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98146003A TWI467346B (en) 2008-12-30 2009-12-30 A method of determining a characteristic

Country Status (7)

Country Link
JP (1) JP5525547B2 (en)
KR (1) KR101330116B1 (en)
CN (1) CN102265220B (en)
IL (1) IL213064A (en)
NL (1) NL2003990A (en)
TW (1) TWI467346B (en)
WO (1) WO2010076254A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI460813B (en) * 2010-12-28 2014-11-11
TWI470375B (en) * 2011-08-30 2015-01-21 Asml Netherlands Bv Method and apparatus for determining an overlay error
TWI581053B (en) * 2014-05-23 2017-05-01 格羅方德半導體公司 Method and apparatus for mask-aware routing
TWI588514B (en) * 2011-03-23 2017-06-21 新力股份有限公司 Optical element, optical system, imaging apparatus, optical instrument, and stamper

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3451060A1 (en) * 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7704850B2 (en) * 2006-09-08 2010-04-27 Asml Netherlands B.V. Semiconductor device for measuring an overlay error, method for measuring an overlay error, lithographic apparatus and device manufacturing method
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
JP4871786B2 (en) * 2007-05-11 2012-02-08 東京応化工業株式会社 Pattern formation method
CN101320206A (en) * 2007-06-08 2008-12-10 旺宏电子股份有限公司 Overlapping mark and uses thereof
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI460813B (en) * 2010-12-28 2014-11-11
TWI588514B (en) * 2011-03-23 2017-06-21 新力股份有限公司 Optical element, optical system, imaging apparatus, optical instrument, and stamper
TWI470375B (en) * 2011-08-30 2015-01-21 Asml Netherlands Bv Method and apparatus for determining an overlay error
US9704810B2 (en) 2011-08-30 2017-07-11 Asml Netherlands B.V. Method and apparatus for determining an overlay error
TWI581053B (en) * 2014-05-23 2017-05-01 格羅方德半導體公司 Method and apparatus for mask-aware routing

Also Published As

Publication number Publication date
KR20110110263A (en) 2011-10-06
TWI467346B (en) 2015-01-01
NL2003990A (en) 2010-07-01
CN102265220B (en) 2014-03-12
JP2012516027A (en) 2012-07-12
CN102265220A (en) 2011-11-30
IL213064A (en) 2016-03-31
IL213064A0 (en) 2011-07-31
JP5525547B2 (en) 2014-06-18
KR101330116B1 (en) 2013-11-15
WO2010076254A1 (en) 2010-07-08

Similar Documents

Publication Publication Date Title
JP4912241B2 (en) Inspection method and inspection apparatus, lithographic apparatus, lithographic processing cell, and device manufacturing method
TWI428705B (en) Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
TWI405046B (en) A method of assessing a model, an inspection apparatus and a lithographic apparatus
TWI470375B (en) Method and apparatus for determining an overlay error
TWI464543B (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP5288808B2 (en) Measuring method, inspection apparatus and lithography apparatus
KR101257453B1 (en) Inspection method for lithography
TWI640801B (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI448658B (en) A method of measuring overlay error and a device manufacturing method
TWI528115B (en) A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor
TW201120580A (en) Method of determining overlay error and a device manufacturing method
JP4875685B2 (en) Method for determining parameters of target pattern, method for generating library, inspection apparatus, lithographic apparatus, lithography cell, and computer program
KR20110081281A (en) Scatterometer and lithographic apparatus
TW200903183A (en) Angularly resolved scatterometer and inspection method
TW200846839A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TW201633003A (en) Method and apparatus for using patterning device topography induced phase
TW201040669A (en) A method of determining a characteristic
TWI428583B (en) Scatterometer method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI398739B (en) Metrology apparatus, lithographic apparatus and method of measuring a property of a substrate

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees