KR101330116B1 - Method of determining a characteristic - Google Patents

Method of determining a characteristic Download PDF

Info

Publication number
KR101330116B1
KR101330116B1 KR1020117017727A KR20117017727A KR101330116B1 KR 101330116 B1 KR101330116 B1 KR 101330116B1 KR 1020117017727 A KR1020117017727 A KR 1020117017727A KR 20117017727 A KR20117017727 A KR 20117017727A KR 101330116 B1 KR101330116 B1 KR 101330116B1
Authority
KR
South Korea
Prior art keywords
target
population
substrate
delete delete
characteristic
Prior art date
Application number
KR1020117017727A
Other languages
Korean (ko)
Other versions
KR20110110263A (en
Inventor
헨리쿠스 메겐스
요제프 핀더스
안토이네 키에르스
요한네스 카에다케르스
마우리츠 반 데르 샤르
크리스티안 리비스
헨드릭 반 라르호벤
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20110110263A publication Critical patent/KR20110110263A/en
Application granted granted Critical
Publication of KR101330116B1 publication Critical patent/KR101330116B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

제 1 타겟 집단 및 제 2 타겟 집단이 기판 안으로 에칭된다. 제 2 타겟 집단은 제 1 타겟 집단에 대해 비대칭을 갖는다. 이는 상이한 타겟 집단들로 하여금 구별되게 하고, 상이한 타겟 집단들의 특성이 결정되게 할 수 있다.The first target population and the second target population are etched into the substrate. The second target population is asymmetrical with respect to the first target population. This may allow different target populations to be distinguished and the characteristics of the different target populations to be determined.

Description

특성을 결정하는 방법{METHOD OF DETERMINING A CHARACTERISTIC}How to determine the attribute {METHOD OF DETERMINING A CHARACTERISTIC}

본 출원은 2008년 12월 30일 출원된 미국 가출원 61/141,414의 이익을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.This application claims the benefit of US Provisional Application No. 61 / 141,414, filed December 30, 2008, which is incorporated herein in its entirety.

본 발명은 기판의 특성을 결정하는 방법에 관한 것이다.The present invention relates to a method of determining the properties of a substrate.

리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.A lithographic apparatus is a machine that applies a desired pattern onto a substrate, typically onto a target portion of the substrate. The lithographic apparatus may be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterning device, alternatively referred to as a mask or a reticle, can be used to create a circuit pattern to be formed on a separate layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of one or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically performed through imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will comprise a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanning the pattern through a radiation beam in a given direction ("scanning" -direction) Called scanner, in which each target portion is irradiated by synchronously scanning the substrate in a direction parallel (parallel to the same direction) or in a reverse-parallel direction (parallel to the opposite direction). It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern on the substrate.

리소그래피 공정을 모니터링(monitor)하기 위해, 패터닝된 기판의 파라미터들, 예를 들어 상기 기판 안이나 그 위에 형성된 연속층들 간의 오버레이 오차를 측정할 필요가 있다. 리소그래피 공정 시 형성된 미세한 구조체들의 측정을 수행하기 위해, 스캐닝 전자 현미경 및 다양한 특수 툴들의 사용을 포함하는 다양한 기술들이 존재한다. 특수 검사 툴의 한가지 형태는, 기판의 표면 상의 타겟 상으로 방사선 빔이 지향되고, 산란되거나 반사된 빔의 속성들이 측정되는 스케터로미터(scatterometer)이다. 상기 빔이 기판에 의해 반사되거나 산란된 전후에 상기 빔의 속성들을 비교함으로써, 기판의 속성들이 결정될 수 있다. 이는, 예를 들어 알려진 기판 속성들과 연계된 알려진 측정들의 라이브러리(library) 내에 저장된 데이터와 반사된 빔을 비교함으로써 수행될 수 있다. 스케터로미터의 2 가지 주 형태가 알려져 있다. 분광 스케터로미터(spectroscopic scatterometer)는 기판 상으로 광대역 방사선 빔을 지향하고, 특정한 좁은 각도 범위(particular narrow angular range)로 산란되는 방사선의 스펙트럼(파장의 함수로서 세기)을 측정한다. 각도 분해된 스케터로미터(angularly resolved scatterometer)는 단색 방사선 빔(monochromatic radiation beam)을 사용하고, 각도의 함수로서 산란된 방사선의 세기를 측정한다.In order to monitor the lithography process, it is necessary to measure the parameters of the patterned substrate, for example the overlay error between successive layers formed in or on the substrate. A variety of techniques exist, including scanning electron microscopy and the use of various specialized tools, to perform measurements of microscopic structures formed during the lithography process. One form of special inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and the properties of the scattered or reflected beam are measured. By comparing the properties of the beam before and after the beam is reflected or scattered by the substrate, the properties of the substrate can be determined. This can be done, for example, by comparing the reflected beam with data stored in a library of known measurements associated with known substrate properties. Two main forms of the scatterometer are known. A spectroscopic scatterometer directs a broadband beam of radiation onto a substrate and measures the spectrum (intensity as a function of wavelength) of the radiation scattered in a particular narrow angular range. An angularly resolved scatterometer uses a monochromatic radiation beam and measures the intensity of the scattered radiation as a function of angle.

IC 칩의 제조는 다수 층들의 제작을 수반한다. 더 세부적인 패턴을 생성하기 위해, 각 층의 제조에 복수의 리소그래피 및 에칭 처리 단계들이 사용될 수 있다: 이는 더블 패터닝(double patterning)으로 알려져 있다. 더블 패터닝을 달성하는 다수의 상이한 방법들이 존재한다. 이 방법들 중 하나는 LELE(lithographic-etch-lithography-etch)로서 알려져 있으며, 이 경우 제 1 패턴이 노광되고 에칭된다. 그 후, 제 1 패턴의 피처(feature)들 사이의 공간들 내에 위치되는 피처들을 갖는 제 2 패턴이 노광되고 에칭된다. 이에 따라, 더 작은 치수들의 패턴이 생성될 수 있다. 또 다른 유사한 더블 패터닝 기술은 LFLE(lithography-freeze-lithography-etch)로서 알려져 있다. 레지스트 내에 패턴이 노광된 후, 이는 프리징된다(frozen). 그 후, 레지스트 내에 제 2 패턴도 노광될 수 있으며, 그 후 두 패턴들이 기판에 에칭된다. 또 다른 더블 패터닝 방법은 스페이서 방법(spacer method)으로서 알려져 있다. 스페이서 방법에서는, 희생 템플릿(sacrificial template)이 놓이고, 희생 템플릿 양쪽에 인접하여 스페이서들이 배치된다. 그 후, 템플릿이 제거되고, 결과적인 패턴이 기판에 에칭된다.Fabrication of IC chips involves fabrication of multiple layers. In order to create a more detailed pattern, a plurality of lithography and etching process steps can be used in the manufacture of each layer: this is known as double patterning. There are a number of different ways of achieving double patterning. One of these methods is known as lithographic-etch-lithography-etch (LELE), in which case the first pattern is exposed and etched. Thereafter, the second pattern with features located in the spaces between the features of the first pattern is exposed and etched. Accordingly, a pattern of smaller dimensions can be created. Another similar double patterning technique is known as lithography-freeze-lithography-etch (LFLE). After the pattern is exposed in the resist, it is frozen. Thereafter, a second pattern may also be exposed in the resist, after which the two patterns are etched into the substrate. Another double patterning method is known as the spacer method. In the spacer method, a sacrificial template is placed and spacers are placed adjacent to both sides of the sacrificial template. The template is then removed and the resulting pattern is etched into the substrate.

단일 패턴을 생성하는데 두 가지 리소그래피 단계가 사용되는 경우, 예를 들어 제 2 리소그래피 단계 시 피처들의 배치에 있어서 몇몇 오차들이 존재할 수 있다. 이와 유사하게, 제 1 리소그래피 단계 시 노광된 피처들은 제 2 리소그래피 단계 시 노광된 피처들과 동일하지 않을 수 있다. 두 가지 리소그래피 단계들이 존재하였기 때문에, 각각의 리소그래피 단계 시 노광된 피처들은 상이할 수 있으며, 개별적으로 평가되어야 할 수 있다. 하지만, 제 1 및 제 2 리소그래피 단계 시 노광된 피처들은 필연적으로 매우 유사하고 규칙적인 패턴을 형성하기 때문에, 각도 분해된 스케터로메트리를 이용하여 피처들의 두 세트들을 구별하기가 어려울 수 있다.If two lithographic steps are used to create a single pattern, there may be some errors in the placement of the features, for example in the second lithography step. Similarly, the features exposed during the first lithography step may not be the same as the features exposed during the second lithography step. Since there were two lithography steps, the features exposed during each lithography step may be different and may need to be evaluated separately. However, since the features exposed during the first and second lithography steps necessarily form very similar and regular patterns, it may be difficult to distinguish between two sets of features using angularly resolved scatterometry.

스페이서 기술에서는, 규칙적인 패턴을 생성하기 위해 스페이서가 사용된다. 하지만, 스페이서가 너무 크거나 너무 작은 경우, 패턴이 불규칙할 것이다. 이와 유사하게, 패턴이 거의 불규칙할 수 있지만, 패턴 내의 작은 불규칙들을 평가하기는 어려울 것이다.In spacer technology, spacers are used to create a regular pattern. However, if the spacer is too large or too small, the pattern will be irregular. Similarly, the pattern may be nearly irregular, but it will be difficult to evaluate small irregularities in the pattern.

노광 단계들 각각에서 노광된 피처들을 평가하기 위해, 앞서 SEM이 사용되었다. 하지만, SEM은 IC 칩들의 대량 제조 시 기판의 스루풋을 유지하기에 충분히 빠르지 않다.In order to evaluate the exposed features in each of the exposure steps, SEM was used previously. However, SEM is not fast enough to maintain the throughput of the substrate in high volume manufacturing of IC chips.

그러므로, 더블 패터닝 기술들에서 사용되는 피처들을 평가하는 개선된 방법이 요구된다.Therefore, there is a need for an improved method of evaluating features used in double patterning techniques.

본 발명의 일 실시예에서, 검사 장치, 기판의 속성을 측정하도록 구성된 리소그래피 셀 또는 리소그래피 장치, 기판 상의 피처들의 제 1 집단 또는 제 2 집단의 특성을 결정하는 방법이 제공되고,In one embodiment of the present invention, there is provided a method of determining characteristics of an inspection apparatus, a lithographic cell or a lithographic apparatus configured to measure a property of a substrate, a first population or a second population of features on a substrate,

상기 제 1 및 제 2 집단은 공칭적으로(예를 들어, 실질적으로) 동일하며, 기판 상의 단일 층 내에 단일 패턴을 형성(예를 들어, 생성)하고, 상기 패턴은 상기 제 1 집단의 피처와 상기 제 2 집단의 가장 가까운 피처 간의 거리와 같은 주기를 가지며,The first and second populations are nominally (eg, substantially) identical and form (eg, produce) a single pattern within a single layer on a substrate, the pattern being in combination with the features of the first population. Has a period equal to the distance between the nearest features of the second population,

상기 방법은:The method comprising:

상기 기판 상에 제 1 집단을 형성하는 단계 -상기 제 1 집단은 제 1 타겟 집단을 포함함-;Forming a first population on the substrate, the first population comprising a first target population;

상기 기판 상에 제 2 집단을 형성하는 단계 -상기 제 2 집단은 제 2 타겟 집단을 포함하고, 상기 제 2 타겟 집단 및 상기 제 1 타겟 집단은 조합된 타겟 집단을 형성함-;Forming a second population on the substrate, the second population comprising a second target population, wherein the second target population and the first target population form a combined target population;

상기 조합된 타겟 집단으로부터 반사된 방사선을 검출하는 단계; 및Detecting radiation reflected from the combined target population; And

상기 타겟으로부터 반사된 방사선을 이용하여 상기 제 1 집단 또는 상기 제 2 집단의 특성을 계산하는 단계를 포함하고,Calculating a characteristic of said first population or said second population using radiation reflected from said target,

상기 제 2 타겟 집단은 상기 제 1 타겟 집단에 대해 비대칭을 갖는다.The second target population is asymmetrical with respect to the first target population.

첨부된 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 실시예들, 특징들 및 장점들이 아래에서 상세하게 설명된다. 본 발명은 본 명세서에서 설명되는 특정한 실시예들에 제한되지 않는다는 것을 유의한다. 본 명세서에서, 이러한 실시예들은 단지 예시적인 목적으로만 제시된다. 당업자라면, 본 명세서에 포함되는 교수(teaching)에 기초하여 추가적인 실시예들을 명백히 알 것이다.Further embodiments, features, and advantages of the present invention, as well as the structure and operation of various embodiments of the present invention, are described in detail below with reference to the accompanying drawings. It should be noted that the present invention is not limited to the specific embodiments described herein. In the present specification, these embodiments are presented for illustrative purposes only. Those skilled in the art will apparently appreciate further embodiments based on the teachings contained herein.

이하 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다. 또한, 본 명세서에 통합되며 명세서의 일부분을 형성하는 첨부된 도면들은 본 발명을 예시하며, 설명과 함께 본 발명의 원리들을 설명하고 당업자가 본 발명을 수행하고 사용할 수 있게 하는 역할을 한다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 도시하는 도면;
도 2는 본 발명의 일 실시예에 따른 리소그래피 셀 또는 클러스터(cluster)를 도시하는 도면;
도 3은 본 발명의 일 실시예에 따른 제 1 스케터로미터를 도시하는 도면;
도 4는 본 발명의 일 실시예에 따른 제 2 스케터로미터를 도시하는 도면;
도 5는 본 발명의 일 실시예에 따른 더블 패터닝 기술을 이용하여 노광된 패턴을 도시하는 도면;
도 6은 본 발명의 일 실시예에 따라, 0차 회절 패턴의 세기가 오버레이 오차에 따라 변화하는 방식을 도시하는 그래프;
도 7a는 본 발명의 일 실시예에 따라, 제 1 집단과 제 2 집단 간의 오버레이 오차가 존재하는 패턴을 도시하는 도면;
도 7b는 본 발명의 일 실시예에 따라, 제 1 타겟 집단과 제 2 타겟 집단 간의 오버레이 오차 및 바이어스(bias)가 존재하는 타겟 집단을 도시하는 도면;
도 8a는 본 발명의 일 실시예에 따라, 스페이서 패터닝 기술에서의 단계 및 결과적인 패턴을 도시하는 도면;
도 8b는 본 발명의 일 실시예에 따라, 스페이서 패터닝 기술을 이용한 타겟의 제조 시 단계 및 결과적인 타겟을 도시하는 도면;
도 9는 본 발명의 일 실시예에 따라 제조된 타겟을 도시하는 도면;
도 10은 본 발명의 일 실시예에 따른 또 다른 타겟을 도시하는 도면; 및
도 11은 본 발명의 일 실시예에 따른 타겟을 도시하는 도면이다.
동일한 참조 기호들이 대응하는 요소들을 전부 식별하는 도면들에 관련하여 아래에서 설명되는 상세한 설명으로부터 본 발명의 특징들 및 장점들을 더 이해하게 될 것이다. 도면들에서, 동일한 참조 번호들은 일반적으로 동일하고, 기능적으로 유사한, 및/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 처음 나타나는 도면은 대응하는 참조 번호의 맨 앞자리 수(들)에 의해 나타내어진다.
DETAILED DESCRIPTION OF THE EMBODIMENTS Hereinafter, embodiments of the present invention will be described only by way of example with reference to the accompanying drawings in which corresponding reference numbers indicate corresponding parts. In addition, the accompanying drawings, which are incorporated in and form a part of the specification, illustrate the invention, together with the description serve to explain the principles of the invention and to enable those skilled in the art to make and use the invention:
1 illustrates a lithographic apparatus according to one embodiment of the present invention;
2 shows a lithographic cell or cluster according to an embodiment of the present invention;
3 shows a first scatterometer according to an embodiment of the present invention;
4 shows a second scatterometer according to an embodiment of the present invention;
5 illustrates a pattern exposed using a double patterning technique in accordance with one embodiment of the present invention;
6 is a graph showing how the intensity of a zeroth order diffraction pattern changes with overlay error, according to an embodiment of the invention;
FIG. 7A illustrates a pattern in which an overlay error exists between a first population and a second population, in accordance with an embodiment of the present invention; FIG.
FIG. 7B illustrates a target population in which there is an overlay error and bias between the first target population and the second target population, in accordance with an embodiment of the present invention; FIG.
8A illustrates steps and resulting patterns in a spacer patterning technique, in accordance with an embodiment of the present invention;
FIG. 8B illustrates the steps in the manufacture of a target using spacer patterning techniques and the resulting target, in accordance with an embodiment of the invention; FIG.
9 illustrates a target made in accordance with one embodiment of the present invention;
10 illustrates another target according to an embodiment of the present invention; And
11 illustrates a target according to an embodiment of the present invention.
BRIEF DESCRIPTION OF THE DRAWINGS The features and advantages of the present invention will become more apparent from the detailed description set forth below when taken in conjunction with the drawings in which like reference characters identify corresponding elements throughout. In the drawings, like reference numerals generally refer to the same, functionally similar, and / or structurally similar elements. The drawing where the element first appears is indicated by the first number (s) of the corresponding reference number.

본 명세서는 본 발명의 특징들을 구체화하는 1 이상의 실시예들을 개시한다. 개시된 실시예(들)는 단지 본 발명을 예시한다. 개시된 실시예(들)에 본 발명의 범위가 제한되지는 않는다. 본 발명은 본 명세서에 첨부된 청구항들에 의해 정의된다.The present specification discloses one or more embodiments that incorporate the features of the present invention. The disclosed embodiment (s) merely illustrate the present invention. The scope of the invention is not limited to the disclosed embodiment (s). The invention is defined by the claims appended hereto.

본 명세서에서, "하나의 실시예", "일 실시예", "예시적인 실시예" 등으로 설명된 실시예(들) 및 이러한 언급들은, 설명된 실시예(들)가 특정한 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 특정한 특징, 구조 또는 특성을 반드시 포함하는 것은 아닐 수 있음을 나타낸다. 또한, 이러한 어구들이 반드시 동일한 실시예를 칭하는 것은 아니다. 또한, 특정한 특징, 구조 또는 특성이 일 실시예와 관련하여 설명되는 경우, 다른 실시예들과 관련하여 이러한 특징, 구조 또는 특성에 영향을 주는 것은 명확하게 설명되든지 그렇지 않든지 당업자의 지식 내에 있음을 이해한다.In the present specification, the embodiment (s) described in the context of "one embodiment", "one embodiment", "exemplary embodiment", and the like, Features, but it should be understood that not all embodiments necessarily include a particular feature, structure, or characteristic. Further, such phrases do not necessarily refer to the same embodiment. In addition, where a particular feature, structure, or characteristic is described in connection with one embodiment, it is understood that it is within the knowledge of a person skilled in the art whether it is clearly described or not affecting this feature, structure or characteristic in connection with other embodiments. I understand.

본 발명의 실시예들은 하드웨어, 펌웨어, 소프트웨어 또는 여하한의 그 조합으로 구현될 수 있다. 또한, 본 발명의 실시예들은 기계-판독가능한 매체 상에 저장된 명령어들로서 구현될 수 있으며, 이는 1 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독가능한 매체는 기계[예를 들어, 연산 디바이스(computing device)]에 의해 판독가능한 형태로 정보를 저장하거나 전송하는 여하한의 메카니즘을 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 스토리지 매체; 광학 스토리지 매체; 플래시 메모리 디바이스들; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signal)(예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 및 명령어들은 본 명세서에서 소정 동작을 수행하는 것으로서 설명될 수 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것이며, 이러한 동작은 사실상 연산 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스로부터 일어난다는 것을 이해하여야 한다.Embodiments of the invention may be implemented in hardware, firmware, software or any combination thereof. In addition, embodiments of the present invention may be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. Machine-readable media may include any mechanism for storing or transmitting information in a form readable by a machine (eg, a computing device). For example, the machine-readable medium may include read only memory (ROM); Random access memory (RAM); Magnetic disk storage media; Optical storage media; Flash memory devices; Electrical, optical, acoustical or other forms of propagated signals (eg, carrier waves, infrared signals, digital signals, etc.) and the like. In addition, firmware, software, routines, and instructions may be described herein as performing certain operations. However, it is to be understood that these descriptions are for convenience only, and that the operation may in fact occur from a computing device, a processor, a controller, or another device executing firmware, software, routines, instructions, or the like.

하지만, 이러한 실시예들을 더 상세히 설명하기 전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.However, before describing these embodiments in more detail, it is advantageous to present an exemplary environment in which embodiments of the present invention may be implemented.

도 1은 리소그래피 장치를 개략적으로 도시한다. 리소그래피 장치는: 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PL)을 포함한다.Figure 1 schematically depicts a lithographic apparatus. The lithographic apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (eg UV radiation or DUV radiation); A support structure (e.g., a mask table) MA constructed to support a patterning device (e.g., mask) MA and coupled to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters ) (MT); A substrate table (e.g., a wafer table) configured to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate according to certain parameters, Wafer table) WT; And a projection system (e.g., a projection system) configured to project a pattern imparted to the radiation beam B by a patterning device MA onto a target portion C (e.g. comprising one or more dies) (E.g., a refractive projection lens system) PL.

조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation have.

지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 견딘다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure may utilize mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device. The support structure may be, for example, a frame or a table that may be fixed or movable as required. The support structure can ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".

본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.The term "patterning device " as used herein should be broadly interpreted as referring to any device that can be used to impart a pattern to a cross-section of a radiation beam to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may be precisely matched to the desired pattern in the target portion of the substrate, for example when the pattern comprises phase-shifting features or so-called assist features . Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in the device to be created in the target portion, such as an integrated circuit.

패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in the lithographic arts and include mask types such as binary, alternating phase-shift and attenuated phase-shift, and various hybrid mask types. One example of a programmable mirror array employs a matrix configuration of small mirrors, each of which can be individually tilted to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern to the radiation beam reflected by the mirror matrix.

본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The term "projection system " used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, catadioptric, catadioptric, But should be broadly interpreted as including any type of projection system, including magnetic, electromagnetic and electrostatic optical systems, or any combination thereof. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system ".

본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.As shown herein, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of the type as mentioned above, or employing a reflective mask).

리소그래피 장치는 2 개(예를 들어, 듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 기판 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.The lithographic apparatus may be of a type having two (e.g., dual stage) or more substrate tables (and / or two or more mask tables). In such "multiple stage" machines, additional substrate tables may be used in parallel, or preparatory steps may be performed on one or more other tables while one or more tables are being used for exposure.

또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 전체 또는 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 노광 시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.The lithographic apparatus may also be of a type wherein all or a portion of the substrate may be covered with a liquid, e.g., water, having a relatively high refractive index, to fill the space between the projection system and the substrate. Immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of a projection system. The term "immersion " as used herein does not mean that a structure such as a substrate has to be immersed in liquid, but rather means that the liquid only has to lie between the projection system and the substrate during exposure.

도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. For example, where the source is an excimer laser, the source and the lithographic apparatus may be separate entities. In this case, the source is not considered to form part of the lithographic apparatus, and the radiation beam is incident on the source (e.g., with the aid of a beam delivery system BD including, for example, a suitable directional mirror and / or a beam expander) SO) to the illuminator IL. In other cases, for example when the source is a mercury lamp, the source may be an integral part of the lithographic apparatus. The source SO and the illuminator IL may be referred to as a radiation system together with a beam delivery system BD if necessary.

일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는데 사용될 수 있다.The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and / or inner radial extent (commonly referred to as -outer and -inner, respectively) of the intensity distribution in the pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam to have a desired uniformity and intensity distribution in the cross section of the radiation beam.

방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 마스크(MA)를 가로질렀으면, 상기 방사선 빔(B)은 투영 시스템(PL)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 마스크(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.The radiation beam B is incident on the patterning device (eg mask) MA, which is held on the support structure (eg mask table) MT, and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PL, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and the position sensor IF (e.g. interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WT is moved, for example, B to position different target portions C in the path of the target portion C. Similarly, the first positioner PM and another position sensor (not explicitly shown in FIG. 1), for example, after mechanical retrieval from a mask library or during scanning It can be used to accurately position the mask MA with respect to the path of the beam B. In general, the movement of the mask table MT may be realized with the aid of a long-stroke module and a short-stroke module, 1 positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form a part of the second positioner PW. In the case of a stepper (as opposed to a scanner), the mask table MT may only be connected or fixed to the short-stroke actuators. The mask MA and the substrate W may be aligned using the mask alignment marks M1 and M2 and the substrate alignment marks P1 and P2. Although the substrate alignment marks illustrated occupy dedicated target portions, they may be located in the spaces between the target portions (these are known as scribe-lane alignment marks). Similarly, in situations where more than one die is provided on the mask MA, the mask alignment marks may be located between the dies.

리소그래피 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:The lithographic apparatus may be used in at least one of the following modes:

1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.1. In the step mode, the mask table MT and the substrate table WT are basically kept stationary, while the entire pattern imparted to the radiation beam is projected onto the target portion C at once (ie, a single static Single static exposure]. The substrate table WT is then shifted in the X and / or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged during a single static exposure.

2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PL)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i. E., A single dynamic exposure )]. The speed and direction of the substrate table WT relative to the mask table MT may be determined by the magnification (image reduction) and image reversal characteristics of the projection system PL. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion during a single dynamic exposure, while the length of the scanning operation determines the height of the target portion (in the scanning direction).

3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and while the pattern imparted to the radiation beam is projected onto the target portion C, the substrate table WT Is moved or scanned. In this mode, a pulsed radiation source is generally employed, and the programmable patterning device is updated as needed after each movement of the substrate table WT, or between successive radiation pulses during a scan . This mode of operation can be readily applied to maskless lithography using a programmable patterning device, such as a programmable mirror array of a type as referred to above.

또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.Combinations and / or variations on the above described modes of use, or entirely different modes of use, may also be employed.

도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판들을 집어올리고, 상기 기판들을 상이한 공정 장치들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.As shown in Figure 2, the lithographic apparatus LA sometimes forms a portion of a lithography cell LC, also referred to as a lithocell or cluster, which is pre-exposed and post- And an apparatus for performing post-exposure processes. Typically, they include a spin coater (SC) for depositing resist layers, a developer (DE) for developing exposed resist, a chill plate (CH) and a bake plate (BK) do. After the substrate handler or robot RO picks up the substrates from the input / output ports I / O1 and I / O2 and moves the substrates between different processing apparatuses, the loading bay of the lithographic apparatus: LB). These devices, often collectively referred to as tracks, are under the control of a track control unit (TCU), which is controlled by a supervisory control system (SCS) that controls the lithographic apparatus through a lithographic control unit (LACU). Thus, different devices can be operated to maximize throughput and processing efficiency.

리소그래피 장치에 의해 노광되는 기판들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성들을 측정하도록 노광된 기판들을 검사하는 것이 바람직하다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch)의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면, 후속한 기판들의 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판들은 예를 들어 산출량을 개선하도록 벗겨져서(strip) 재가공(rework)되거나, 버려져서 결점이 있다고 알려진 기판들 상에 노광을 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광이 수행될 수 있다.In order for the substrates exposed by the lithographic apparatus to be correctly and consistently exposed, it is desirable to inspect the exposed substrates to measure properties such as overlay error, line thickness, critical dimension (CD), etc. between subsequent layers. If an error is detected, adjustments can be made to the exposure of subsequent substrates, particularly if the inspection can be done quickly enough so that another substrate of the same batch is still exposed. In addition, substrates that have already been exposed can be avoided, for example, stripping to improve yield, reworked, or discarded to perform exposure on substrates known to be defective. If only some target portions of the substrate are defective, another exposure may be performed on only good target portions.

검사 장치는 기판의 특성들을 결정하는데 사용되며, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 특성들이 층마다 어떻게 변하는지를 결정하는데 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 가장 신속한 측정들을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층에서 특성들을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 가져서, 방사선에 노광된 레지스트의 부분과 노광되지 않은 레지스트의 부분 간의 굴절률에 있어서 매우 작은 차이만 존재하고, 모든 검사 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠재(semi-latent)라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 -이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음- 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판의 재가공에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.The inspection apparatus is used to determine the characteristics of the substrate, and in particular is used to determine how the characteristics of different substrates or of different layers of the same substrate vary from layer to layer. The inspection apparatus may be integrated into the lithographic apparatus LA or the lithocell LC, or it may be a stand-alone device. To enable the quickest measurements, the inspection apparatus preferably measures the properties in the exposed resist layer immediately after exposure. However, the latent image in the resist has a very low contrast such that there is only a very small difference in the refractive index between the portion of the resist exposed to the radiation and the portion of the unexposed resist, and all inspection apparatuses It does not have sufficient sensitivity to make useful measurements. Therefore, measurements may be performed after the post-exposure bake step (PEB), which is the first step usually performed on the exposed substrate and increases the contrast between the exposed and unexposed portions of the resist. At this stage, the image in the resist may be referred to as semi-latent. It is also possible to perform measurements of the developed resist image, in which either the exposed or unexposed portions of the resist have been removed, or it may be performed after a pattern transfer step such as etching. The latter possibility limits the possibility of reprocessing the defective substrate, but it can still provide useful information.

도 3은 본 발명의 일 실시예에서 사용될 수 있는 스케터로미터를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼에 의해 생성된 프로파일 또는 구조체는, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 3의 저부에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 처리 유닛(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 상기 구조체의 일반적인 형태가 알려지며, 상기 구조체가 만들어진 공정의 정보(knowledge)로부터 일부 파라미터들이 가정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사(normal-incidence) 스케터로미터 또는 경사-입사(oblique-incidence) 스케터로미터로서 구성될 수 있다.Figure 3 illustrates a scatterometer that may be used in an embodiment of the present invention. Which includes a broadband (white light) radiation projector 2 for projecting radiation onto a substrate W. The reflected radiation is passed through a spectrometer detector 4 which measures the spectrum 10 (intensity as a function of wavelength) of specular reflected radiation. From this data, the profile or structure produced by the detected spectrum can be simulated, for example, by Rigorous Coupled Wave Analysis (RCWA) and non-linear regression, or as shown at the bottom of FIG. 3. By comparing with the library of the spectra, it can be reconstructed by the processing unit (PU). In general, the general form of the structure is known for reconstruction, and some parameters are assumed from the knowledge of the process in which the structure is made, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer.

본 발명과 사용될 수 있는 또 다른 스케터로미터가 도 4에 도시된다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 포커스되고, 간섭 필터(interference filter: 13) 및 편광기(polarizer: 17)를 통하며, 부분 반사면(partially reflected surface: 16)에 의해 반사되고, 예를 들어 약 0.9 이상 및 약 0.95 이상의 높은 개구수(NA)를 갖는 현미경 대물 렌즈(15)를 통해 기판(W) 상으로 포커스된다. 침지 스케터로미터는, 심지어 개구수가 1이 넘는 렌즈를 구비할 수도 있다. 그 후, 반사된 방사선은 산란 스펙트럼(scatter spectrum)이 검출되게 하기 위해서, 부분 반사면(16)을 통해 검출기(18)로 전달된다. 검출기는 렌즈 시스템(15)의 초점 길이에 존재하는 역 투영(back-projected)된 퓨필 평면(11) 내에 위치될 수 있지만, 그 대신에 퓨필 평면이 보조 광학기(도시되지 않음)를 이용하여 검출기 상에 재-이미징(re-image)될 수도 있다. 퓨필 평면은, 방사선의 반경방향 위치(radial position)가 입사각을 정의하고 각도 위치가 방사선의 방위각(azimuth angle)을 정의하는 평면이다. 검출기는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기이다. 일 예시에서, 검출기(18)는 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 이용할 수 있다.Another scatterometer that can be used with the present invention is shown in FIG. In this device, the radiation emitted by the radiation source 2 is focused using the lens system 12, through an interference filter 13 and a polarizer 17, in partially Reflected by the reflected surface 16, it is focused onto the substrate W through a microscope objective lens 15 having a high numerical aperture NA of at least about 0.9 and at least about 0.95, for example. The immersion scatterometer may even have a lens with a numerical aperture greater than one. The reflected radiation is then transmitted to the detector 18 through the partially reflective surface 16 so that a scatter spectrum is detected. The detector may be located in a back-projected pupil plane 11 that is present at the focal length of the lens system 15, but instead the pupil plane may be located on the detector (not shown) Or may be re-imaged onto the substrate. The pupil plane is a plane in which the radial position of the radiation defines the angle of incidence and the angular position defines the azimuth angle of the radiation. The detector is a two-dimensional detector such that the two-dimensional angular scattering spectrum of the substrate target 30 can be measured. In one example, detector 18 may be an array of CCD or CMOS sensors, for example, using an integration time of 40 milliseconds per frame.

예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 흔히 사용된다. 이를 위해, 방사선 빔이 빔 스플리터(16) 상에 입사하는 경우, 그 일부분이 상기 빔 스플리터를 통해 기준 빔으로서 기준 거울(14)을 향하여 전달된다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로 투영된다.For example, a reference beam is often used to measure the intensity of incident radiation. To this end, when the radiation beam is incident on the beam splitter 16, a part thereof is transmitted as a reference beam toward the reference mirror 14 through the beam splitter. The reference beam is then projected onto a different part of the same detector 18.

예를 들어, 약 405 내지 790 nm의 범위, 또는 약 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장(wavelength of interest)을 선택하기 위해, 간섭 필터들(13)의 일 세트가 이용될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터들 대신에, 격자가 사용될 수 있다.For example, one set of interference filters 13 may be used to select a wavelength of interest in the range of about 405 to 790 nm, or even lower, such as about 200 to 300 nm. . The interference filter may be tunable rather than including a set of different filters. Instead of interference filters, a grating may be used.

검출기(18)는 단파장 또는 협파장 범위에서의 산란 광의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)-편광 및 횡전기(transverse electric)-편광의 세기, 및/또는 횡자기-편광 및 횡전기-편광 간의 위상차를 별도로 측정할 수 있다.Detector 18 may measure the intensity of scattered light in the short or narrow wavelength range, separate intensity at multiple wavelengths, or integrated intensity over a wavelength range. In addition, the detector can separately measure the phase difference between transverse magnetic-polarization and transverse electric-polarization intensity, and / or transverse magnetic-polarization and transverse-electrical-polarization.

광대역 광 소스(즉, 광범위한 광 주파수들 또는 파장들 - 및 이에 따른 컬러들을 갖는 광 소스)를 이용할 수 있으며, 이는 넓은 에텐듀(etendue)를 제공하여 다수 파장들의 혼합(mixing)을 허용한다. 광대역에서의 복수의 파장들은 각각 Δλ의 대역폭 및 적어도 2Δλ(즉, 대역폭의 두 배) 이상의 간격을 갖는다. 방사선의 복수의 "소스들"은 섬유 다발(fiber bundle)을 이용하여 분할(split)되었던 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해된 산란 스펙트럼들이 다수 파장들에서 병렬로(in parallel) 측정될 수 있다. 예를 들어, 파장 및 2 개의 상이한 각도들과 같은 3-D 스펙트럼이 측정될 수 있으며, 이는 2-D 스펙트럼보다 더 많은 정보를 포함한다. 이는 메트롤로지 프로세스 견고성(metrology process robustness)을 증가시키는 더 많은 정보가 측정되게 한다. 이는 본 명세서에서 그 전문이 인용참조되는 유럽 특허 제 1,628,164A호에서 더 상세히 설명된다.A broadband light source (i. E., A broad light frequency or wavelengths - and a light source with colors thereon) can be used, which provides a wide etendue to allow mixing of multiple wavelengths. The plurality of wavelengths in the wideband each have a bandwidth of Δλ and a spacing of at least 2Δλ (ie, twice the bandwidth). The plurality of "sources" of radiation may be different portions of an extended radiation source that have been split using a fiber bundle. In this way, angularly resolved scatter spectra can be measured in parallel at multiple wavelengths. For example, a 3-D spectrum such as wavelength and two different angles can be measured, which contains more information than the 2-D spectrum. This allows more information to be measured that increases the metrology process robustness. This is described in more detail in European Patent No. 1,628,164A, which is incorporated by reference in its entirety herein.

기판(W) 상의 타겟(30)은 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 격자일 수 있다. 대안적으로, 상기 바아들은 기판 안으로 에칭될 수 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL) 내의 색수차(chromatic aberration) 및 조명 대칭성에 민감할 수 있으며, 이러한 수차들의 존재는 프린트된 격자의 변동에서 드러날 것이다. 따라서, 프린트된 격자의 스케터로메트리 데이터가 상기 격자들을 재구성하는데 사용된다. 프린팅 단계 및/또는 다른 스케터로메트리 공정들의 정보로부터, 라인 폭 및 형상과 같은 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.The target 30 on the substrate W may be a grating that is printed such that the bars are formed of solid resist lines after development. Alternatively, the bars can be etched into the substrate. This pattern may be sensitive to chromatic aberration and illumination symmetry in the lithographic projection apparatus, particularly in the projection system PL, and the presence of such aberrations will be evidenced by variations in the printed grating. Thus, scatterometry data of the printed grid is used to reconstruct the grids. From the information of the printing step and / or other scatterometry processes, parameters of the grating, such as line width and shape, can be input to the reconstruction process performed by the processing unit PU.

더블 패터닝에서 사용되는 두 집단들을 구별하기 위해, 두 집단들 간의 차이, 또는 비대칭을 도입할 필요가 있다. 두 집단이 동일하고 규칙적인 패턴을 형성하는 규칙적인 패턴이 도 5에 도시된다. 하지만, 제 2 집단과 제 1 집단 사이에 작은 오버레이 오차가 존재하는 경우, (대부분의 스케터로메트리 적용에서 사용되는) 0차 회절 패턴이 실질적으로 변화하지 않기 때문에 검출하기가 어렵다. 0차 회절 패턴의 세기 변동이 도 6에 도시된다. 도 6으로부터 알 수 있는 바와 같이, 작은 오버레이 오차에 대한 오버레이 오차의 주어진 변화에 대하여 회절 패턴의 변화는 작다[즉, 영 오버레이 오차(zero overlay error) 주위의 경사는 무시해도 좋을 정도임]. 하지만, 큰 오버레이 오차에 대한 오버레이 오차의 동일한 주어진 변화에 대해서는 회절 패턴의 큰 변화가 존재한다. 이와 유사하게, 예를 들어 집단들 중 하나의 측벽 각도 또는 임계 치수와 같은 다른 프로파일 파라미터들을 평가하기를 바라는 경우, 이들의 측벽 각도 또는 임계 치수를 평가하기 위해 두 집단들을 구별하기는 어렵다.In order to distinguish the two groups used in double patterning, it is necessary to introduce a difference, or asymmetry, between the two groups. A regular pattern is shown in FIG. 5 in which both groups form the same and regular pattern. However, if there is a small overlay error between the second group and the first group, it is difficult to detect because the zero-order diffraction pattern (used in most scatterometry applications) does not substantially change. The intensity variation of the zero order diffraction pattern is shown in FIG. 6. As can be seen from FIG. 6, for a given change in overlay error for a small overlay error, the change in diffraction pattern is small (ie, the slope around zero overlay error is negligible). However, there is a large change in the diffraction pattern for the same given change in overlay error over a large overlay error. Similarly, if one wishes to evaluate other profile parameters, such as, for example, the sidewall angle or critical dimension of one of the populations, it is difficult to distinguish the two groups in order to evaluate their sidewall angle or critical dimension.

도 7a 및 도 7b는 본 발명의 일 실시예에 따라 노광된 패턴들을 나타낸다. 도 7a는 제 1 집단(A) 및 제 2 집단(B)으로 이루어진 단일 패턴이 존재하는 주 패턴을 도시한다. 하지만, 제 2 집단의 배치에서 작은 오버레이 오차(OV)가 존재한다. 도 7b는 본 발명의 제 1 실시예에서 사용된 타겟을 도시한다. 제 1 타겟 집단이 형성된 후 제 2 타겟 집단이 형성되었다. 제 2 타겟 집단은 제 1 타겟 집단에 대하여 바이어스(Δ)를 갖는다. 따라서, 제 1 타겟 집단에 대한 제 2 타겟 집단의 배치 편차는 오버레이 오차(OV)와 바이어스(Δ)를 더한 것과 같다. 이 도입된 비대칭은 오버레이 오차를 결정하기가 훨씬 더 쉽다는 것을 의미한다. 0차 회절 패턴이 검출되고, 예상 회절 패턴으로부터의 편차가 오버레이 오차를 결정하는데 사용된다. 대안적으로, 두 집단을 구별하고, 이에 따라 어느 한 집단의 측벽 각도 또는 임계 치수와 같은 두 집단의 특성들을 측정하기가 더 쉽다.7A and 7B show exposed patterns in accordance with one embodiment of the present invention. FIG. 7A shows the main pattern in which a single pattern consisting of the first population A and the second population B exists. However, there is a small overlay error OV in the placement of the second population. 7B shows a target used in the first embodiment of the present invention. After the first target population was formed, a second target population was formed. The second target population has a bias Δ relative to the first target population. Thus, the placement deviation of the second target population relative to the first target population is equal to the overlay error OV plus the bias Δ. This introduced asymmetry means that it is much easier to determine the overlay error. The zeroth order diffraction pattern is detected and the deviation from the expected diffraction pattern is used to determine the overlay error. Alternatively, it is easier to distinguish the two groups and thus measure the characteristics of the two groups, such as the sidewall angle or the critical dimension of either group.

앞서 설명된 실시예는 LELE 또는 LFLE 공정을 이용하여 제조된 두 집단을 이용하여 설명되었지만, 이는 더블 패터닝의 스페이서 방법에 동등하게 적용가능하다. 도 8a 및 도 8b는 본 발명의 일 실시예에 따른 더블 패터닝의 스페이서 방법을 도시한다. 도 8a에서, 스페이서(21)가 사용되어 레지스트(22) 사이에 공간들을 생성하고, 이에 따라 규칙적인 패턴을 생성한다. 도 8b는 스페이서(21)가 너무 작은 경우 어느 한 집단의 여하한의 파라미터 또는 인접한 피처들 사이에 오버레이 오차(OV)가 존재하는 상황을 도시한다. 따라서, 앞서 설명된 실시예의 방법 이 오버레이 오차를 결정하는데 유사하게 사용될 수 있다. 알려진 바이어스는 스페이서의 크기 오차에 의해 도입되는 바와 같이, 평가되는 피처들의 여하한의 특성 및 스페이서의 크기를 의도적으로 변경함으로써 도입될 것이다.Although the embodiment described above has been described using two populations made using the LELE or LFLE process, this is equally applicable to the spacer method of double patterning. 8A and 8B illustrate a spacer method of double patterning according to an embodiment of the present invention. In FIG. 8A, a spacer 21 is used to create spaces between the resists 22, thus creating a regular pattern. FIG. 8B shows the situation where an overlay error OV exists between any parameter or adjacent features of either group when the spacer 21 is too small. Thus, the method of the embodiment described above can be similarly used to determine the overlay error. Known bias will be introduced by intentionally changing the size of the spacer and any property of the features being evaluated, as introduced by the size error of the spacer.

바이어스는 여하한의 값일 수 있지만, 패턴의 주기보다는 작아야 한다. 예를 들어, 약 16 nm의 주기를 갖는 패턴에 대해 약 5 내지 10 nm의 바이어스가 바람직하다.The bias can be any value, but must be smaller than the period of the pattern. For example, a bias of about 5-10 nm is preferred for a pattern having a period of about 16 nm.

오버레이 오차의 개선된 계산에 대해, 복수의 타겟들(예를 들어, 이들 자신의 타겟 집단들을 각각 가짐)이 존재할 수 있으며, 각각의 타겟은 상이한 도입 바이어스를 갖는다.For improved calculation of overlay error, there may be a plurality of targets (eg, each with their own target populations), each target having a different introduction bias.

본 발명의 또 다른 실시예가 도 9에 도시된다. 알 수 있는 바와 같이, 제 2 집단(B)은 제 1 집단(A)보다 더 큰 임계 치수를 갖는다. 이 비대칭을 도입하는 것은 다시 두 집단을 구별하고 이에 따라 각 집단의 특성을 평가하기 쉽게 한다. 도 9는 더 큰 임계 치수를 갖는 제 2 집단을 도시하지만, 이는 동등하게 더 작은 임계 치수를 갖거나, 대안적으로 변화된 측벽 각도와 같은 다른 특성을 가질 수 있다. 실제로, 0차 회절 패턴에 영향을 주는 여하한의 특성이 이러한 비대칭을 생성하기 위해 변화될 수 있다.Another embodiment of the present invention is shown in FIG. As can be seen, the second population B has a larger critical dimension than the first population A. Introducing this asymmetry again makes it easier to distinguish the two groups and to evaluate the characteristics of each group accordingly. 9 shows a second population with a larger critical dimension, but it may have an equally smaller critical dimension or alternatively have other characteristics such as a changed sidewall angle. Indeed, any characteristic that affects the zero-order diffraction pattern can be changed to produce this asymmetry.

제 1 실시예와 유사하게, 복수의 타겟들이 존재할 수 있으며, 각각은 제 2 타겟 집단의 상이한 임계 치수를 갖는다.Similar to the first embodiment, there may be a plurality of targets, each having a different critical dimension of the second target population.

도 10은 본 발명의 일 실시예에 따라 도입된 바이어스 및 변화된 제 2 집단의 임계 치수가 존재하는 타겟 집단을 도시한다. 이는 다시 상이한 집단들을 구별하고 이에 따라 각 집단의 오버레이 오차 및 특성을 측정하기 쉽게 할 것이다.10 illustrates a target population in which there are introduced bias and critical dimensions of the changed second population in accordance with one embodiment of the present invention. This in turn will make it easier to distinguish different groups and thus to measure the overlay error and characteristics of each group.

본 발명의 또 다른 실시예가 도 11에 도시되며, 이는 또 다른 타겟 집단을 도시한다. 알 수 있는 바와 같이, 제 2 집단의 세번째 라인이 모두 사라졌다. 다시, 이는 두 집단을 구별하기 쉽게 하는 비대칭을 도입한다.Another embodiment of the present invention is shown in FIG. 11, which shows another target population. As can be seen, the third line of the second group has all disappeared. Again, this introduces asymmetry which makes it easy to distinguish between the two groups.

앞서 설명된 바와 같이, 이 실시예는 타겟 집단으로의 비대칭 도입에 관한 것이다. 이상 사라진 라인들, 바이어스 및 임계 치수의 변동과 같은 비대칭의 특정한 예시들이 설명되었지만, 비대칭을 도입하는 여하한의 방법이 적절할 것이다. 두 집단 간의 비대칭의 또 다른 예시들은 제 1 집단으로부터 상이한 높이에 있는 제 2 집단일 수 있다. 대안적으로, 상이한 집단에 대해 상이한 재료가 사용될 수 있다. 또한, 본 발명은 단지 두 집단의 사용에 제한되지 않으며, 3 이상의 집단이 존재하는 경우에도 동등하게 적용될 수 있다.As described above, this embodiment relates to asymmetric introduction into the target population. While specific examples of asymmetry, such as variations in lines, biases, and critical dimensions, which have disappeared above have been described, any method of introducing asymmetry would be appropriate. Still other examples of asymmetry between two populations may be a second population at different heights from the first population. Alternatively, different materials may be used for different populations. In addition, the present invention is not limited to the use of only two populations, and may be equally applied even when three or more populations exist.

본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, Display (LCD), thin-film magnetic heads, and the like. Those skilled in the art will recognize that any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively, in connection with this alternative application I will understand. The substrate referred to herein can be processed before and after exposure, for example in a track (typically a tool that applies a resist layer to a substrate and develops the exposed resist), a metrology tool, and / or an inspection tool. Where applicable, the description herein may be applied to such substrate processing tools and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피(topography)는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.While specific reference may have been made above to the use of embodiments of the invention in connection with optical lithography, it is to be understood that the invention may be used in other applications, for example imprint lithography, and is not limited to optical lithography, I will understand. In imprint lithography, topography in a patterning device defines a pattern created on a substrate. The topography of the patterning device can be pressed into the resist layer supplied to the substrate on which the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. The patterning device is moved from the resist leaving a pattern therein after the resist is cured.

본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.The terms "radiation" and "beam" as used herein refer to particle beams, such as ion beams or electron beams, as well as wavelengths (eg, 365, 355, 248, 193, 157 or 126 nm, or the like). All types of electromagnetic radiation, including ultraviolet (UV) radiation, and extreme ultraviolet (EUV) radiation (eg, having a wavelength within the range of 5-20 nm).

본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.The term "lens ", as the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.

이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the present invention relates to a computer program comprising one or more sequences of machine-readable instructions for implementing a method as disclosed above, or to a data storage medium on which such computer program is stored (e.g., semiconductor memory, magnetic Or an optical disc).

결론conclusion

본 명세서의 요약 및 초록 부분(Summary and Abstract sectons)이 아닌, 발명의 상세한 설명 부분(Detailed Description section)이 청구항을 해석하는데 사용되도록 의도된다는 것을 이해하여야 한다. 요약 및 초록 부분은 1 이상을 설명할 수 있지만, 발명자(들)에 의해 의도(contemplate)된 본 발명의 모든 예시적인 실시예를 설명하지는 않으므로, 어떠한 방식으로도 본 발명 및 첨부된 청구항을 제한하지는 않는다.It is to be understood that the Detailed Description section of the invention, rather than the Summary and Abstract sections, is intended to be used to interpret the claims. The Summary and Abstract sections may illustrate more than one, but do not describe all exemplary embodiments of the invention contemplated by the inventor (s), and do not in any way limit the invention and the appended claims. Do not.

이상, 본 발명의 실시예들은 명시된 기능들 및 그 관계들의 구현을 예시하는 기능 저장 블럭(functional storing block)들의 도움으로 설명되었다. 본 명세서에서, 이 기능 저장 블럭들의 경계들은 설명의 편의를 위해 임의로 정의되었다. 명시된 기능들 및 그 관계들이 적절히 수행되는 한, 대안적인 경계들이 정의될 수 있다.In the above, embodiments of the present invention have been described with the aid of functional storing blocks illustrating the implementation of specified functions and their relationships. In this specification, the boundaries of these functional storage blocks have been arbitrarily defined for convenience of description. Alternate boundaries can be defined as long as the specified functions and their relationships are properly performed.

특정 실시예들의 앞선 설명은, 당업계의 지식을 적용함으로써, 다양한 적용들에 대해 본 발명의 일반적인 개념을 벗어나지 않고 지나친 실험 없이 이러한 특정 실시예들을 쉽게 변형하고, 및/또는 적합하게 할 수 있도록 본 발명의 일반적인 성질을 전부 드러낼 것이다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 교수 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교수 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다.The foregoing description of specific embodiments is directed to the application of knowledge in the art to facilitate modification and / or adaptation of these specific embodiments without undue experimentation without departing from the general concept of the invention for various applications. The general nature of the invention will be revealed. Therefore, such applications and modifications are intended to be within the meaning and scope of equivalents of the described embodiments, based on the teachings and guidance presented herein. As used herein, the phraseology or terminology is for the purpose of description and not of limitation, and those skilled in the art should understand that the terminology or phraseology herein is to be interpreted in the light of teaching and guidance.

본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (30)

기판 상의 피처(feature)들의 특성을 결정하는 방법에 있어서:
상기 기판의 단일 층 상에 제 1 타겟의 제 1 집단 및 제 2 타겟의 제 1 집단을 형성하는 단계 - 상기 제 1 타겟의 제 1 집단은 제 2 타겟의 제 1 집단과 구별됨 -;
상기 기판의 단일 층 상에 제 1 타겟의 제 2 집단 및 제 2 타겟의 제 2 집단을 형성하는 단계 - 상기 제 1 타겟의 제 2 집단은 제 2 타겟의 제 2 집단과 구별됨 -;
상기 1 타겟으로부터 반사된 방사선을 검출하는 단계;
상기 1 타겟으로부터 반사된 방사선을 이용하여, 상기 제 1 타겟의 제 1 집단 또는 제 2 집단의 제 1 특성을 계산하는 단계;
상기 제 2 타겟으로부터 반사된 방사선을 검출하는 단계;
상기 제 2 타겟으로부터 반사된 방사선을 이용하여, 상기 제 2 타겟의 제 1 집단 또는 제 2 집단의 제 2 특성을 계산하는 단계;
상기 제 1 타겟의 제 1 집단은 상기 제 1 타겟의 제 2 집단에 대해 제 1 비대칭을 가지고, 상기 제 2 타겟의 제 1 집단은 상기 제 2 타겟의 제 2 집단에 대해 제 2 비대칭을 가지고,
상기 제 1 비대칭은 상기 제 1 타겟의 제 1 집단 및 제 2 집단의 피처들 간에 간격 차이를 포함하고, 상기 제 2 비대칭은 상기 제 2 타겟의 제 1 집단 및 제 2 집단의 피처들의 임계 치수 차이를 포함하는 특성 결정 방법.
In a method of determining characteristics of features on a substrate:
Forming a first population of a first target and a second population of a first target on a single layer of the substrate, wherein the first population of the first target is distinct from the first population of the second target;
Forming a second population of a first target and a second population of a second target on a single layer of the substrate, wherein the second population of the first target is distinct from the second population of the second target;
Detecting radiation reflected from the first target;
Calculating a first characteristic of a first population or a second population of the first target using the radiation reflected from the first target;
Detecting radiation reflected from the second target;
Calculating a second characteristic of the first population or the second population of the second target using the radiation reflected from the second target;
The first population of the first target has a first asymmetry with respect to the second population of the first target, the first population of the second target has a second asymmetry with respect to the second population of the second target,
The first asymmetry comprises a gap difference between features of the first and second populations of the first target, wherein the second asymmetry is a threshold dimension difference of the features of the first and second populations of the second target. Characteristic determination method comprising a.
삭제delete 제 1 항에 있어서,
상기 제 2 비대칭은 상기 제 2 타겟의 제 1 집단 및 제 2 집단의 피처들 간에 간격 차이를 더 포함하는 특성 결정 방법.
The method of claim 1,
And wherein the second asymmetry further comprises a gap difference between features of the first and second populations of the second target.
제 1 항에 있어서,
상기 제 1 특성은 상기 제 1 타겟의 제 1 집단 및 제 2 집단 간에 간격이고, 제 2 특성은 제 2 타겟의 제 1 집단 및 제 2 집단 간에 간격인 특성 결정 방법.
The method of claim 1,
Wherein the first characteristic is an interval between a first population and a second population of the first target, and the second characteristic is an interval between the first and second populations of a second target.
제 1 항에 있어서,
상기 제 1 비대칭은 상기 제 1 타겟의 제 1 집단 및 제 2 집단의 피처들의 임계 치수 차이를 더 포함하는 특성 결정 방법.
The method of claim 1,
And wherein the first asymmetry further comprises a difference in critical dimensions of features of the first and second populations of the first target.
제 1 항에 있어서,
상기 제 1 비대칭은 상기 제 1 타겟의 제 1 집단 또는 제 2 집단의 n번째 피처가 제거되는 것을 더 포함하고,
상기 제 2 비대칭은 상기 제 2 타겟의 제 1 집단 도는 제 2 집단의 n번째 피처가 제거되는 것을 포함하고,
n은 1보다 더 큰 유한 수인 특성 결정 방법.
The method of claim 1,
The first asymmetry further comprises removing the n th feature of the first or second population of the first target,
The second asymmetry includes removing the n th feature of the first or second population of the second target,
and n is a finite number greater than one.
제 1 항에 있어서,
상기 제 1 특성은 상기 제 1 타겟의 제1 집단 또는 제 2 집단의 피처의 임계 치수이고, 상기 제 2 특성은 상기 제 2 타겟의 제 1 집단 또는 제 2 집단의 피처의 임계 치수인 특성 결정 방법.
The method of claim 1,
Wherein the first characteristic is a critical dimension of a feature of the first or second population of the first target and the second characteristic is a critical dimension of a feature of the first or second population of the second target. .
제 1 항에 있어서,
상기 제 1 특성은 상기 제 1 타겟의 제 2 집단의 배치 오차이고, 상기 제 2 특성은 상기 제 2 타겟의 제 2 집단의 배치 오차인 특성 결정 방법.
The method of claim 1,
Wherein the first characteristic is a placement error of a second population of the first target, and the second characteristic is a placement error of a second population of the second target.
제 1 항에 있어서,
상기 제 1 특성은 상기 제 1 타겟의 제 1 집단 또는 제 2 집단의 측벽 각도이고, 상기 제 2 특성은 상기 제 2 타겟의 제 1 집단 또는 제 2 집단의 측벽 각도인 특성 결정 방법.
The method of claim 1,
Wherein the first characteristic is a sidewall angle of a first population or a second population of the first target, and the second characteristic is a sidewall angle of a first population or a second population of the second target.
제 1 항에 있어서,
상기 제 1 비대칭은 상기 제 1 타겟의 제 2 집단의 피처가 상기 제 1 타겟의 제 1 집단의 피처와 높이, 너비, 크기, 비율이 다른 것을 포함하고, 제 2 비대칭은 상기 제 2 타겟의 제 2 집단의 피처가 상기 제 2 타겟의 제 1 집단의 피처와 높이, 너비, 크기, 비율이 다른 것을 포함하는 특성 결정 방법.
The method of claim 1,
The first asymmetry includes that features of the second population of the first target differ in height, width, size, and ratio from features of the first population of the first target, wherein the second asymmetry is the first of the second target. And wherein the features of the second population differ in height, width, size, and ratio from the features of the first population of the second target.
제 1 항에 있어서,
상기 제 1 타겟의 제 1 집단 및 제 2 타겟의 제 1 집단을 형성하는 단계는 상기 기판을 노광하는 단계 및 상기 기판을 처리하는 단계를 포함하고, 상기 제 1 타겟의 제 2 집단 및 제 2 타겟의 제 2 집단을 형성하는 단계는 상기 기판에 제 2 노광을 하는 단계 및 상기 기판에 제 2 처리를 하는 단계를 포함하는 특성 결정 방법.
The method of claim 1,
Forming the first population of the first target and the first population of the second target includes exposing the substrate and processing the substrate, the second population of the first target and the second target Forming a second population of the substrates comprises subjecting the substrate to a second exposure and subjecting the substrate to a second treatment.
제 1 항에 있어서,
상기 제 1 타겟의 제 1 집단 및 제 2 타겟의 제 1 집단을 형성하는 단계는 상기 기판을 노광하는 단계 및 상기 기판을 프리징하는 단계를 포함하고, 상기 제 1 타겟의 제 2 집단 및 제 2 타겟의 제 2 집단을 형성하는 단계는 상기 기판에 제 2 노광을 하는 단계 및 제 2 프리징을 하는 단계를 포함하는 특성 결정 방법.
The method of claim 1,
Forming the first population of the first target and the first population of the second target includes exposing the substrate and freezing the substrate, the second population and the second population of the first target. Forming a second population of targets includes subjecting the substrate to a second exposure and subjecting a second freezing.
제 1 항에 있어서,
상기 제 1 타겟의 제 1 집단 및 제 2 타겟의 제 1 집단을 형성하는 단계 및 상기 제 1 타겟의 제 2 집단 및 제 2 타겟의 제 2 집단을 형성하는 단계는 동시에 일어나는 특성 결정 방법.
The method of claim 1,
Forming the first population of the first target and the second population of the first target and the forming the second population of the first target and the second population of the second target occur simultaneously.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020117017727A 2008-12-30 2009-12-21 Method of determining a characteristic KR101330116B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14141408P 2008-12-30 2008-12-30
US61/141,414 2008-12-30
PCT/EP2009/067620 WO2010076254A1 (en) 2008-12-30 2009-12-21 Method of determining a characteristic

Publications (2)

Publication Number Publication Date
KR20110110263A KR20110110263A (en) 2011-10-06
KR101330116B1 true KR101330116B1 (en) 2013-11-15

Family

ID=41785638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117017727A KR101330116B1 (en) 2008-12-30 2009-12-21 Method of determining a characteristic

Country Status (7)

Country Link
JP (1) JP5525547B2 (en)
KR (1) KR101330116B1 (en)
CN (1) CN102265220B (en)
IL (1) IL213064A (en)
NL (1) NL2003990A (en)
TW (1) TWI467346B (en)
WO (1) WO2010076254A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102540781B (en) * 2010-12-28 2015-09-30 上海微电子装备有限公司 A kind of backside alignment device and method
JP5760566B2 (en) * 2011-03-23 2015-08-12 ソニー株式会社 Optical element, optical system, imaging device, optical apparatus, and master
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030212525A1 (en) * 2002-01-31 2003-11-13 Joerg Bischoff Overlay measurements using periodic gratings
JP2008042200A (en) * 2006-08-08 2008-02-21 Asml Netherlands Bv Method and equipment for characterizing angle-resolved spectral lithography
JP2008311645A (en) * 2007-06-13 2008-12-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7704850B2 (en) * 2006-09-08 2010-04-27 Asml Netherlands B.V. Semiconductor device for measuring an overlay error, method for measuring an overlay error, lithographic apparatus and device manufacturing method
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
JP4871786B2 (en) * 2007-05-11 2012-02-08 東京応化工業株式会社 Pattern formation method
CN101320206A (en) * 2007-06-08 2008-12-10 旺宏电子股份有限公司 Overlapping mark and uses thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030212525A1 (en) * 2002-01-31 2003-11-13 Joerg Bischoff Overlay measurements using periodic gratings
JP2008042200A (en) * 2006-08-08 2008-02-21 Asml Netherlands Bv Method and equipment for characterizing angle-resolved spectral lithography
JP2008311645A (en) * 2007-06-13 2008-12-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Also Published As

Publication number Publication date
TWI467346B (en) 2015-01-01
IL213064A (en) 2016-03-31
TW201040669A (en) 2010-11-16
CN102265220A (en) 2011-11-30
KR20110110263A (en) 2011-10-06
WO2010076254A1 (en) 2010-07-08
IL213064A0 (en) 2011-07-31
CN102265220B (en) 2014-03-12
JP5525547B2 (en) 2014-06-18
NL2003990A (en) 2010-07-01
JP2012516027A (en) 2012-07-12

Similar Documents

Publication Publication Date Title
KR101617644B1 (en) A method of assessing a model of a substrate, an inspection apparatus and a lithographic apparatus
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
US7916284B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8868387B2 (en) Method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus
US7619737B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
US9529278B2 (en) Inspection apparatus to detect a target located within a pattern for lithography
US7724370B2 (en) Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
WO2009130010A1 (en) Method and apparatus for measuring line end shortening, substrate and patterning device
US9081304B2 (en) Substrate, an inspection apparatus, and a lithographic apparatus
US20090073448A1 (en) Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
KR101330116B1 (en) Method of determining a characteristic
US20140199634A1 (en) Method of Measuring a Characteristic
US8502955B2 (en) Method of determining a characteristic
US8982328B2 (en) Method and apparatus for overlay measurement
US8848195B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method for determining a property of a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161104

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171103

Year of fee payment: 5