TW201038142A - Plasma Processing System - Google Patents

Plasma Processing System Download PDF

Info

Publication number
TW201038142A
TW201038142A TW098128043A TW98128043A TW201038142A TW 201038142 A TW201038142 A TW 201038142A TW 098128043 A TW098128043 A TW 098128043A TW 98128043 A TW98128043 A TW 98128043A TW 201038142 A TW201038142 A TW 201038142A
Authority
TW
Taiwan
Prior art keywords
plasma
processing
unit
parameter
parameters
Prior art date
Application number
TW098128043A
Other languages
English (en)
Inventor
Takehisa Iwakoshi
Masaru Izawa
Akira Kagoshima
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Publication of TW201038142A publication Critical patent/TW201038142A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

201038142 六、發明說明: 【發明所屬之技術領域】 本發明係關於電漿處理裝置,特別是關於以取得的參 數値爲基礎來診斷裝置狀態之電漿處理裝置。 【先前技術】 爲了於半導體晶圓等的表面形成微細電路或電子裝置 Ο ,一般使用電漿蝕刻等之電漿處理。於半導體裝置之製造 工程中,被要求高製造良率,在發生致命的處理異常前, 檢測其徵兆的技術爲所期望。另外,爲了製造產出的提升 ’於電漿處理裝置之異常發生時,期望能在短期間恢復。 作爲發生致命的處理異常前能檢測其徵兆的技術,於 專利文獻1 (日本專利特開20〇4_131777號公報)公開有 :檢測電漿的異常放電,以異常放電的次數爲基礎來進行 被處理物之管理的方法。另外,於專利文獻2(日本專利 Ο 特開2004-200323號公報)公開有:在處理異常連續發生 時,當成電漿處理裝置爲處於致命的異常狀態,將處理予 以中斷之方法。另外’於專利文獻3 (日本專利特開 2006-324316號公報)公開有··收集電發處理裝置之裝置 參數’於參數和正常狀態不同的情形時,診斷爲異常之技 術。 【發明內容】 但是’一般在電漿處理中,如專利文獻1般,對異常 -5- 201038142 和正常之間設定明確的臨界値進行判斷之方法,並無法順 利地發揮功能。原因何在?此係處理狀況經常存在變動, 將正常之處理判斷爲異常、將異常之處理判斷爲正常之錯 誤判定,並無法避免。 特別是在載置被處理物之工作台中,於被處理物和工 作台之間夾有極細微的異物之情形時,處理雖正常地結束 ’但處理裝置之參數卻爲異常之狀況經常會有。在此種情 形時’儘管正常地結束,但卻被判定爲異常而使處理停止 ,導致裝置的稼動率降低。 於專利文獻2中,考慮此點,只在處理異常連續的情 形時,才停止處理。但是有變動之情事,異常處理一旦正 常化’也有之後再度成爲異常之情形,只將連續之異常檢 測爲異常,會導致漏掉處理裝置之失常。 另外’如專利文獻3所示般,一般爲藉由收集處理參 數’來判斷處理爲異常或正常之方法。但是,只是收集參 數’大多無法掌握異常的原因。原因何在呢? 一般在發生 處理異常之情形,複數的處理參數顯示異常値。在此種狀 況下’要查明異常的原因,多數需要依賴熟練技術人員的 經驗與直覺,難於進行迅速的處置。 本發明係有鑑於此等問題點所完成者,爲提供:可以 高精度地檢測裝置的異常發生,另外,能容易地探索異常 的原因之電漿處理技術。 本發明爲了解決前述課題,採用如下之手段。 具備:具有對所被供給之處理氣體施加高頻電力,來 -6- 201038142 產生電漿之電漿產生手段、及載置被處理基板之試料台的 處理室;及 依循事先所被設定的處理條件,來產生電漿,逐次地 對被載置於前述試料台上的被處理基板施以電漿處理,且 逐次收集表示電漿處理的狀態之參數値的控制用計算機, 前述控制用計算機,係具備:於每一特定期間將收集 的裝置參數値偏離事先設定的基準値之次數予以記錄之記 0 錄部、及以前述次數爲基礎,來計算裝置參數値偏離基準 値的機率之機率計算部、及比較前述發生機率與事先設定 的設定値,來診斷裝置狀態的比較部。 本發明係具備以上的構造,可以高精度地檢測裝置的 異常發生,另外,可以容易地探索異常的原因。 【實施方式】 [實施型態1 ] 〇 以下,一面參照所附圖面一面說明第1實施型態。第 1圖係說明關於本發明之第1實施型態的電漿處理裝置之 構造圖。於用以處理被處理物之電漿處理室100內,具備 有:供給處理氣體之氣體供給手段101、調整處理氣體的 排氣,來控制電漿處理室100內的壓力之閥門103、氣體 排氣手段102、及測定電漿處理室101內的壓力之壓力計 104° 另外,電漿處理室100內具備有:用以產生電漿之電 漿產生手段106,電漿產生手段106,係具備有:對該手 201038142 段供給電力之高頻電源109,以及調整電力供給路徑的阻 抗之調諧器108。 另外,於電漿處理室100內設置有:載置被處理物 107並予以支撐之工作台105,工作台105具備有:對前 述工作台施加電壓之高頻電源111及調整阻抗之調諧器 1 1 0。另外,利用電子迴旋器共鳴來產生電漿之情形時, 於處理室100的周圍配置作爲電磁鐵之線圈。 本實施型態之電漿處理裝置,進而具備控制用計算機 1 1 2,控制用計算機1 1 2係具備:取得壓力計1 04之壓力 指示値、閥門103的開度、高頻電源109、111的輸出電 力値、調諧器1 0 8、1 1 0檢測之阻抗値、反射電力値等之 處理參數的處理參數取得手段1 1 3。 第2圖係表不控制用計算機112之詳細圖。如前述般 ’計算機112係具備:接收處理參數之處理參數取得手段 113,將接收的處理參數發送至將處理參數間的因果關係 予以圖式化之圖式化手段202及第1比較部203。 圖式化手段202係將接收的處理參數間之因果關係予 以圖式化,顯示於顯示部2 0 9。作爲圖式化手法,可以使 用:SGS演算法、WL演算法、PC演算法、登普斯特( Dempster)之共變數選擇,圖形化模式、多變量分析等之 已知的方法。 第1比較部203係判定處理參數値是否脫離事先決定 的輸出範圍(第1基準値)。在脫離第1基準値的情形時 ’判斷處理參數爲異常,在沒有脫離之情形時,判斷爲正 -8 - 201038142 常。 第1比較部203所下之此種判斷,於記錄部204中被 當成履歷進行記錄。機率計算部205係計算以被記錄於記 錄部204之履歷爲基礎,在事先決定的期間內,被判斷爲 異常之機率。 機率計算部205所計算出的機率値,被送至第2比較 部206,比較是否在事先決定的第2基準値以上。在第2 〇 基準値以上的情形時,藉由警報手段207,發出電漿處理 裝置爲異常狀態之警報。另外,藉由控制用計算機11 2所 計算之結果,則被顯示於外部的顯示部209。 接著,說明於前述第1比較部中,被與處理參數値比 較之事先決定的輸出範圍(第1基準値)。前述輸出範圍 係表示裝置正常地動作之範圍。因此,期望由至目前爲止 的處理資料之記錄來統計性地決定。但是,對於異常的處 理條件,個別地決定時,欠缺泛用性。 〇 第3圖係說明具備有產生標準的處理參數値(模式値 )之模式式製作部201之控制用計算機圖。第3圖中’控 制用計算機1 1 2係具備:將各處理參數的相關關係轉換爲 模式式之模式式製作部20 1 ’產生對於任意的處理參數之 標準的處理參數値。例如,將某處理參數値與其他的處理 參數値的關係以線性一次多變數函數予以近似地描述時’ 對於任意的處理參數,可以算出正常裝置本來所持有之處 理參數値(模式値)。 如此,可以藉由控制用計算機112來算出正常的處理 -9 - 201038142 參數的範圍,使用此値可以彈性地決定第1比較部203所 使用的基準値,能更提高裝置的泛用性。 例如’將前述處理參數的模式値和實際的參數値的偏 差予以統計性地調查時,可以決定正常的裝置本來持有之 處理參數値的範圍。以此値爲基礎來決定前述基準範圍時 ,來處理正常裝置的任意狀態,變得非常地便利。另外, 此處雖描述以線性1次多變數函數來近似地描述某處理參 數値與其他的處理參數的關係之方法,當然也可以假定非 線性函數等的其他方法來描述模式式。 於第3圖中,處理參數取得手段1 1 3所取得的處理參 數被發送至模式式製作部201、圖式化手段202及第1比 較部203 。 模式式製作部201以接收的處理參數爲基礎,製作描 述對於任意的處理參數之處理參數群的回應之模式式。接 著,模式式製作部2 0 1將藉由所製作的模式式之響應値( 計算値)發送至第1比較部2〇3。第1比較部203將接收 的處理參數(實測値)和藉由模式式之響應値(計算値) 予以比較,計算兩者之差或差的絕對値或差的平方等,來 算出藉由模式式之響應與處理參數値的分離程度。之後, 以前述分離程度爲基礎,判斷處理參數値是否從藉由模式 式之響應値脫離。在沒有脫離之情形時,判斷處理裝置爲 正常,在脫離之情形時,判斷處理裝置爲異常。 第1比較部203的前述判斷被發送至記錄部204,記 錄部2 04將接收的判斷予以記錄。此後之動作,係與第2 -10- 201038142 圖所示例子的情形相同。 第4圖係表示第丨比較部2 〇 3所算出的處理參數的計 算値與實測値(實驗値)的差之曲線。對於橫軸所示之處 理步驟的延伸數’縱軸所示之處理參數的計算値和實測値 的差’幾乎如白雜訊(white noise)般的動作。 因此’決定某臨界値(例如針對從藉由模式式之響應 値的偏差’計算分散σ m()del,將臨界値設爲3 σ m()del ), Ο ___ 參數的計算値和實測値之差的絕對値超過臨界 値的情形時,診斷爲裝置狀態屬於異常之規則時,變成電 漿處理裝置處於異常狀態之警報會被頻繁地發出。此種狀 態,成爲將正常狀態誤判爲異常狀態,不單使得裝置的稼 動率降低’也損及警報的可靠性。另外,如將臨界値設定 得太大’即使是電漿處理裝置真的成爲異會狀態之情形時 ,也會無法檢測出異常。 第5圖係將在特定期間(例如過去2 0步驟之間), 〇 出現異常判定之機率設定在縱軸之曲線,此種曲線可以藉 由機率計算部205來獲得。如前述般,處理參數的計算値 與實測値的差,幾乎爲如白雜訊般,電槳處理裝置在爲正 常狀態的情形下,出現異常之判定的機率低。但是,電漿 處理裝置一真正成爲異常狀態時,出現異常判定的機率增 大。因此,可以提高警報的可靠性。第5圖中,雖將出現 異常的判定之機率超過60%時,定義爲裝置真正地處於異 常狀態,但藉由此設定’能以高機率地檢測裝置真正的異 常狀態。 -11 - 201038142 第6圖係表示將處理參數的因果關係予以輸出之圖式 化手段2〇2的輸出圖。第6圖所示之各參數的名稱與其意 義,係如第13圖所示般。另外,第6圖中之[X]— [Y]的 表示,係表示Y爲X的原因。 第7圖係表示閥門103之開度[F]發生異常的情形中 之圖式化手段的輸出圖。圖中,將藉由模式式之計算値與 實測値之差超過臨界値的處理參數(F、Ο、P、I)予以剖 面線處理來表示。在參數[F]之外,有因果關係之其他的 參數(0、P、I )的實測値,也從藉由模式式之計算値偏 離而顯示異常之値。但是,藉由尋求因果關係,可以容易 地推測參數[F](閥門1 3的開度)爲故障的原因。 如此’藉由將處理參數的因果關係予以圖式化,推測 電漿處理裝置的故障原因變得容易,能夠縮短維修時間。 另外’如第7圖般,於表示因果關係之圖中,藉由將處理 參數由基準範圍偏離者以和正常的參數不同的顏色或模樣 來表示,能夠視覺性地容易掌握故障原因。 作爲異常檢測的例子,說明工作台i 05之更換時期的 推算方法。於電漿處理裝置中,被處理物107係被藉由電 漿被加熱。因此,爲了冷卻被處理物107的目的,於工作 台105內設置有冷卻手段。此時,於被處理物107和工作 台1〇5之間,爲了提高熱傳導率的目的,塡充有氦等之熱 傳導性高的傳熱性氣體。在工作台105與被處理物107密 接的情形時,前述傳熱性氣體幾乎不洩漏,因此,供給量 可以少。可是’在工作台1 05的表面逐漸磨耗,傳熱性氣 -12- 201038142 體從間隙洩漏,必要的氣體供給量增加。因此,藉由監視 前述氣體的供給量,可以掌握工作台105的消耗狀況。 然而,於極小的異物夾在工作台105與被處理物107 的間隙之情形時,會有傳熱性氣體洩漏的情形。即工作台 1 0 5的消耗即使沒有相當程度地進行,而必要的供給量增 加。 第8圖係表示供給至工作台之傳熱性氣體的供給量的 〇 時間變化圖。如圖所示般,得知隨著工作台的時間變化進 行,工作台1 0 5的更換時期接近,傳熱性氣體供給量的實 際値增加。另外,得知直到該時期爲止,有時候突發性地 供給量增加。在該種狀況下,單單設定對於供給量之臨界 値,想要檢測工作台1 0 5的更換時期,由圖得知並無法順 利爲之。 相對於此,如計算超過事先設定的基準値之機率時, 計算結果如第8圖所示之曲線般。在此例子之情形時,於 G 機率超過60%時,以促使進行工作台105的更換之方式, 藉由警報手段207來發出警報,可以容易地通報更換時期 〇 如以上說明般,如依據本發明之第1實施型態,係計 算收集到的裝置參數値脫離事先設定的基準範圍之現象的 發生機率,將計算的發生機率和事先設定的基準値比較, 來診斷裝置狀態,針對裝置異常,可以發出可靠性高的警 報。另外,且使用做成的因果關係圖,可以快速地進行原 因之探究。 -13- 201038142 [實施型態2] 第9圖係說明關於本實施型態之控制用計算機圖。如 第9圖所示般,控制用計算機112係具備接收處理參數之 處理參數取得手段113,將取得的處理參數發送至將處理 參數間的因果關係予以圖式化之圖式化手段202及記錄部 204。此時,圖式化手段202係將接收的處理參數的因果 關係予以圖式化。 記錄部204係將接收的處理參數値當成履歷予以記錄 。記錄部204所保持的履歷係藉由統計處理部805而被讀 入,計算出特定期間中之處理參數的最頻繁値。所計算的 最頻繁値係於第2比較部206中,被與事先決定的基準値 (第2基準値)比較,如超過基準値,則被判斷爲電漿處 理裝置的狀態爲異常,藉由警報手段207對運轉人員發出 警報。另外,此等藉由計算機112的分析結果,係被顯示 於顯示部209。 第1 〇圖係與實施型態1相同,表示設置有模式式製 作部201及第1比較部203之例子圖。第1 0圖中,處理 參數取得手段U 3係將取得的處理參數發送至模式式製作 部201、圖式化手段202及第1比較部203。 模式式製作部201係從接收的處理參數製作描述對於 任意的處理參數之處理參數群的響應之模式式。接著,模 式式製作部201將藉由製作的模式式之響應値(計算値) 發送至第1比較部203。第1比較部203比較接收的處理 -14- 201038142 參數(實測値)和藉由模式式之響應値(計算値),計算 兩者之差或差的絕對値或差的平方等,來算出藉由模式式 之響應與處理參數値的分離程度。之後,以前述分離程度 爲基礎,判斷處理參數値是否從藉由模式式之響應値脫離 。在沒有脫離之情形時,判斷處理裝置爲正常,在脫離之 情形時,判斷處理裝置爲異常。 第1比較部2〇3的前述判斷被發送至記錄部204,記 0 錄部204將接收的判斷予以記錄。被保持在記錄部204的 記錄係藉由統計處理部805而被讀入,計算出對於特定期 間中之第1比較部203的輸出的最頻繁値。此後之動作, 係與第9圖的例子的情形相同。 第11圖係表示第1比較部2 03所算出的處理參數的 計算値與實測値的差之最頻繁値的時間變化圖。橫軸爲處 理步驟的延伸數,縱軸爲最頻繁値。和第4圖比較時,變 成容易掌握雜訊變少之舉動。此是最頻繁値之統計量,如 〇 和平均等之統計量相比,爲雜訊耐受性高之穩定的指標的 關係所致。 第1 2圖係表示供給至工作台之傳熱性氣體的供給量 (實測値)的時間變化及最頻繁値的時間變化圖。如第1 2 圖所示般’藉由使用最頻繁値,實測値和計算値的偏差的 突發性之增加可被忽視,知道能夠正確地追隨偏差變化的 趨勢。 另外’做爲前述最頻繁値之代替,即使使用最小値也 可以獲得同樣的效果。另外,對於事先決定的範圍,處理 -15- 201038142 參數値逐漸減少之趨勢的情形中,代替最頻繁値而使用最 大値也可以獲得同樣的效果。另外,在雜訊充分小之情形 時,也可以使用移動平均等之統計量,在想要處理雜訊本 身之情形時,也可以使用分散或標準偏差等之統計量。 如以上說明般,如依據第2實施型態,藉由使用最頻 繁値、最大値、最小値等之統計指標,可以發出可靠性高 的警報,能適切地檢測裝置的異常發生時期。 【圖式簡單說明】 第1圖係說明關於第1實施型態之電漿處理裝置的構 成圖。 第2圖係說明控制用計算機的詳細圖。 第3圖係說明具備有模式式製作部之控制用計算機圖 〇 第4圖係表示處理參數的計算値和實測値(實驗値) 之差的圖。 第5圖係表示在特定期間出現異常的判定之機率圖。 第6圖係表示圖式化手段的輸出例圖。 第7圖係表示診斷裝置的異常原因並予以視覺化之例 子圖。 第8圖係表示供給至工作台之導熱性氣體的供給量的 時間變化圖。 第9圖係說明關於第2實施型態之控制用計算機圖。 第1 0圖係說明具備有關於第2實施型態之模式式製 -16- 201038142 制用計算機圖。 1圖係表示處理參數的計算値和實測値之差的最 時間變化圖。 2圖係表示導熱性氣體的供給量(實測値)的時 最頻繁値的時間變化圖。 3圖係說明第6圖所示之各參數的名稱與其意義 作部的控 第1 頻繁値的 第1 間變化及 第1 之圖。 ❹ 【主要元 100 : 101 : 102 : 103 : 104 : 105 : 〇 106: 107 : 108 : 109 : 110: 111: 112: 113: 201 : 件符號說明】 電漿處理室 氣體供給手段 氣體排氣手段 閥門 壓力計 工作台 電漿產生手段 被處理物 調諧器 高頻電源 調諧器 筒頻電源 控制用計算機 參數取得手段 模式式製作部 -17- 201038142 2 Ο 2 :圖式化手段 2 0 3 :第1比較部 2 04 :記錄部 2 0 5 :機率計算部 206:第2比較部 209 :外部顯示部 8 0 5 :統計處理部

Claims (1)

  1. 201038142 七、申請專利範圍: 1· 一種電漿處理裝置,其特徵爲: 具備:具有對所被供給之處理氣體施加高頻電力,來 產生電漿之電漿產生手段、及載置被處理基板之試料台的 處理室;及 依循事先所被設定的處理條件,來產生電漿,逐次地 對被載置於前述試料台上的被處理基板施以電漿處理,且 〇 逐次收集表示電漿處理的狀態之參數値的控制用計算機, 前述控制用計算機,係具備:於每一特定期間將收集 的裝置參數値偏離事先設定的基準値之次數予以記錄之記 錄部、及以前述次數爲基礎,來計算裝置參數値偏離基準 値的機率之機率計算部、及 比較前述發生機率與事先設定的設定値,來診斷裝置 狀態的比較部。 2. —種電漿處理裝置,其特徵爲: 〇 具備:具有對所被供給之處理氣體施加高頻電力,來 產生電漿之電漿產生手段、及載置被處理基板之試料台的 處理室;及 依循事先所被設定的處理條件,來產生電漿,逐次地 對被載置於前述試料台上的被處理基板施以電漿處理,且 逐次收集表示電漿處理的狀態之參數値的控制用計算機, 前述控制用計算機,係具備:將表示電漿處理裝置的 狀態之複數的參數値的相關關係予以格式化,並將特定裝 置的裝置參數之模式値予以輸出之模式製作部、於每一特 -19- 201038142 定期間將收集的前述特定裝置的裝置參數値偏離以前述模 式製作部所產生的裝置參數的模式値爲基礎所設定的基準 値之次數予以記錄之記錄部、以前述次數爲基礎,計算裝 置參數値偏離基準値之機率的機率計算部、及比較前述發 生機率與事先設定的基準値來診斷裝置狀態的比較部、以 及顯示表示前述收集的電漿處理裝置的各裝置參數與參數 間的因果關係之圖的顯示部。 3. —種電漿處理裝置,其特徵爲: 具備:具有對所被供給之處理氣體施加高頻電力,來 產生電漿之電漿產生手段、及載置被處理基板之試料台的 處理室:及 依循事先所被設定的處理條件,來產生電漿,逐次地 對被載置於前述試料台上的被處理基板施以電漿處理,且 逐次收集表示電漿處理的狀態之參數値的控制用計算機, 前述控制用計算機,係具備:將收集的裝置參數値當 成履歷予以記錄的記錄部、以記錄部所保持的記錄爲基礎 ,來計算特定期間中之處理參數的最頻繁値的統計處理部 、將藉由統計處理部所計算的最頻繁値和基準値比較來診 斷裝置狀態的比較部。 4. 一種電漿處理裝置,其特徵爲: 具備:具有對所被供給之處理氣體施加高頻電力,來 產生電漿之電漿產生手段、及載置被處理基板之試料台的 處理室;及 依循事先所被設定的處理條件,來產生電漿,逐次地 -20- 201038142 對被載置於前述試料台上的被處理基板施以電漿處理,且 逐次收集表示電漿處理的狀態之參數値的控制用計算機, 前述控制用計算機,係具備:將表示電漿處理裝置的 狀態之複數的參數値的相關關係予以格式化,並將裝置參 數之模式値予以輸出之模式製作部、於每一特定期間將收 集的前述裝置參數値與前述模式製作部所產生的裝置參數 的模式値的偏差予以記錄之記錄部、以記錄部所保持的記 〇 錄爲基礎,計算特定期間中之處理參數的偏差之最頻繁値 之統計處理部、及將藉由統計處理部所計算的最頻繁値與 基準値比較來診斷裝置狀態的比較部、以及顯示表示前述 收集的電漿處理裝置的各裝置參數與參數間的因果關係之 圖的顯示部。 5 .如申請專利範圍第2項所記載之電漿處理裝置, 其中,偏離前述基準範圍的裝置參數,係以和其它的裝置 參數不同的顏色來表示。 Ο 6.如申請專利範圍第3或4項所記載之電漿處理裝 置’其中,使用最大値或最小値來代替最頻繁値。 -21 -
TW098128043A 2009-04-10 2009-08-20 Plasma Processing System TW201038142A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009096052A JP2010250959A (ja) 2009-04-10 2009-04-10 プラズマ処理装置

Publications (1)

Publication Number Publication Date
TW201038142A true TW201038142A (en) 2010-10-16

Family

ID=42933393

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098128043A TW201038142A (en) 2009-04-10 2009-08-20 Plasma Processing System

Country Status (4)

Country Link
US (1) US20100258246A1 (zh)
JP (1) JP2010250959A (zh)
KR (1) KR101066973B1 (zh)
TW (1) TW201038142A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101843443B1 (ko) 2011-10-19 2018-05-15 삼성전자주식회사 플라즈마 설비 및 그의 관리방법
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9316675B2 (en) * 2012-09-06 2016-04-19 Mks Instruments, Inc. Secondary plasma detection systems and methods
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP2023105744A (ja) * 2022-01-19 2023-07-31 株式会社Screenホールディングス 支援装置、支援方法および支援プログラム
CN117724005B (zh) * 2024-02-05 2024-05-31 东莞市晟鼎精密仪器有限公司 一种中频宽幅等离子电源智能监控系统及方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0152355B1 (ko) * 1994-03-24 1998-12-01 가나이 쓰토무 플라즈마 처리장치 및 처리방법
JP3630931B2 (ja) 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US7000193B1 (en) * 2002-02-07 2006-02-14 Impink Jr Albert J Display to facilitate the monitoring of a complex process
JP4274747B2 (ja) * 2002-06-25 2009-06-10 東京エレクトロン株式会社 半導体製造装置
JP2004160449A (ja) * 2002-10-24 2004-06-10 Seiko Epson Corp デバイス製造装置及びデバイスの製造方法、電子機器
JP3960911B2 (ja) * 2002-12-17 2007-08-15 東京エレクトロン株式会社 処理方法および処理装置
WO2005057993A1 (ja) * 2003-11-27 2005-06-23 Daihen Corporation 高周波電力供給システム
JP4620524B2 (ja) * 2005-05-17 2011-01-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4754419B2 (ja) 2006-07-03 2011-08-24 学校法人立命館 プラズマ異常放電診断方法、プラズマ異常放電診断システム及びコンピュータプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整

Also Published As

Publication number Publication date
US20100258246A1 (en) 2010-10-14
KR20100113006A (ko) 2010-10-20
KR101066973B1 (ko) 2011-09-22
JP2010250959A (ja) 2010-11-04

Similar Documents

Publication Publication Date Title
TW201038142A (en) Plasma Processing System
TWI514497B (zh) 機台狀況定性監測方法及錯誤偵測分類系統
JP5102488B2 (ja) 製造装置における障害検出の方法
JP3630931B2 (ja) プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US20030199108A1 (en) Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
JP4071449B2 (ja) センサ異常検出方法及びセンサ異常検出装置
US20030226821A1 (en) Integrated stepwise statistical process control in a plasma processing system
CN103137513A (zh) 集成电路制造设备状态监测系统和方法
US10281520B2 (en) Diagnosing an abnormal state of a substrate-processing apparatus
KR20150101206A (ko) 설비 직결형 실시간 모니터링 고장 진단 및 고장 시기 예측 진단장치와 그에 따른 고장 진단 및 예측 진단방법
US6821792B1 (en) Method and apparatus for determining a sampling plan based on process and equipment state information
TW202235895A (zh) 基於包含感測器映射及經觸發之資料登錄的健康狀態而監測、評估及回應的基板處理系統工具
JP3732768B2 (ja) 半導体処理装置
JP4836994B2 (ja) 半導体処理装置
JP6481638B2 (ja) 電動機駆動システムの予防保全装置
WO2020204043A1 (ja) 高炉の異常判定装置、高炉の異常判定方法、及び高炉の操業方法
JP2010224988A (ja) 品質管理システム、品質管理方法、品質管理プログラム、および製品の製造方法
KR101560967B1 (ko) 설비 직결형 실시간 모니터링 고장 진단장치 및 그에 따른 고장 진단방법
KR100446926B1 (ko) 반도체제조장치의 감시 및 제어방법과 그 실시장치
JP2005051269A (ja) 半導体処理装置
JP5096919B2 (ja) プラズマ加工システムのコンピュータ用データ表示技術
JPH09219347A (ja) 半導体プロセスの管理方法及びその管理装置
CN117872026B (zh) 一种导电胶材料的检测方法及其装置
WO2022191242A1 (ja) 基板処理装置、異常検知方法及び半導体装置の製造方法
US20100063610A1 (en) Method of process modules performance matching