TW201013900A - Method of forming a single metal that performs N and P work functions in high-k/metal gate devices - Google Patents

Method of forming a single metal that performs N and P work functions in high-k/metal gate devices Download PDF

Info

Publication number
TW201013900A
TW201013900A TW098129863A TW98129863A TW201013900A TW 201013900 A TW201013900 A TW 201013900A TW 098129863 A TW098129863 A TW 098129863A TW 98129863 A TW98129863 A TW 98129863A TW 201013900 A TW201013900 A TW 201013900A
Authority
TW
Taiwan
Prior art keywords
layer
region
metal layer
semiconductor device
metal
Prior art date
Application number
TW098129863A
Other languages
English (en)
Other versions
TWI420652B (zh
Inventor
Su Horng Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201013900A publication Critical patent/TW201013900A/zh
Application granted granted Critical
Publication of TWI420652B publication Critical patent/TWI420652B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Description

201013900 六、發明說明: 【發明所屬之技術領域】 本發明係有關於半導體裴置,且特別是有關於一種 在高介電常數/金屬閘極製程中,具有N型功函數及P型 功函數效能的單一金屬之形成方法。 【先前技術】 半導體積體電路(1C)產業已經歷過快速的成長。1C ❿ 材料和設計的技術進步使得1C的生產世代不停地推新, 每個世代都較前個世代有更小及更複雜的電路。然而, 這些進步也增加了製造1C製程的複雜性,因此1C製程 也需要有同樣的進展才能實現更先進的積體電路1C製 程。 在積體電路的革新過程中,功能密度(亦即每個晶片 區域上互連裝置的數量)已普遍的增加然而幾何尺寸(亦 即在製程中所能創造的最小元件或線)也越來越小。這些 籲 縮小尺寸的製程通常能增加產品效能和提供較低的相關 成本。但某些尺寸的下降也產生相對較高的功率消耗 (power dissipation)值’其可用低功率消耗的元件例如互 補型金氧半導體(CMOS)元件來因應。 為配合元件縮小化的趨勢’各種材料被應用在 裝置的閘極電極(gate electrode)和閘極介電層(gate dielectric)。其所需要的是使用金屬材料來作為間極電極 及使用高介電常數介電質作為閘極介電層來製邊° 然而,Ν型MOS裝置(NMOS)及Ρ型MOS農置
〇50?-A?4〇81rT'WF/iefT 201013900 各自的閘極電極需要不同的功函數。目前許多方法已嘗 試用以同時滿足金屬閘極之N型及p型的功函數,其中 一種為使用多種金屬及/或蓋層料閘極堆疊以滿足㈣ 及p型功函數。雖然此種方法可滿足其原本之設計目的, 然而卻不能廣泛地應用於各種情況。例如,此方法合增 加NMOS及PM0S裝置中的問極堆疊的複雜性,“二 了圖案化間極堆疊的困難。 因此’業界需要的是在高介電常數/金屬閘極製程中 形成-種具有N型功函數及P型功函數效能的單—金屬❻ 之方法。 【發明内容】 •本發明提供-種-種半導體裝置之製造方法,包 括.提供具有一第一區域及一第二區域之一半導體基 材;形成-高介電常數介電料該半導體基材上;形成 -金屬層於該高介電常數介電層上,該金屬層具有一第 :功函數;保護位於該第—區域㈣金屬層;對位於該φ 第一區域之該金屬層進行—包含碳及氮之去輕合電聚 (de-C0upledplasma)處理;以及於該第一區域形成一第 一開極結構及於該第二區域形成—第二閘極結構,該第 -閘極結構包含該高介電常數介電層及該金屬層,該第 二間極結構包含該高介電常數層及該經處理的金屬層。 β本發明也提供-種半導體裝置之製造方法,包括·· 知·供具有一第一區域及—楚- # _ 第—S域之一半導體基材;形 成―馬介電常數介電層於該半導體基材上;形成一㈣ ; my:" 201013900 功函數金屬層(N型金屬)於該半導體基材上;對位於 該第'二區域上的N型金屬層進行一去耦合電漿處理,使 至^''兩種元素進入位於該第二區域上的N型金屬層中 該至少兩種元素至少距離位於該高介電常數介電層及詨 N型金屬層之間之界面5 A以上;進行一退火製程.^ 成一多晶矽層於該第一區域之Ν型金屬層上及該第二區 域之經處理過之Ν型金屬層上;以及形成一第一開二I 構於該第-區域中及形成―第二閘極結構於該第二區^ 中,該第-閉極結構包含該高介電常數介電層、 金屬層及該多晶石夕層,該第二間極結構包含該高介 數介電層、該經處理過型金屬層及該多晶石夕層。 本發明更提供一種半導體裝置,包含:一具 -區域及-第二區域之半導體裝置;—該 η隔離結構,用以隔離該第一區域及該第+:【 ,形成在該第一區域中之第一電晶冑,該第一電曰 體具有-第—閘極結構,該第—閘極結 = 層、-高介電常數介電層及一金屬層;:面 區域中的第二電晶體;該第— μ第一 嫌H^丄 忒弟一電日日體具有一第二閘極結 Μ及^:甲、°構包含該界面層、該高介電常數介電
=屬Γ該金屬層包含至少被混入兩種元素I 層之間的高:電常數介電層及該金屬 二至=素二金屬 明顯易僅,下文其他目的、特徵、和優點能更 卜文特舉出較佳實施例,並配合所附圖式, 050?-A:'408!Twr.'iefr · 201013900 作詳細說明如下: 【實施方式】 在本說明書的各種例子中巧能會出現重複的元件符 號以便簡化描述,但這不代表在各個實施例及/或圖示之 間有何特定的關連。再者,當提到某一層在另一層“之 上,,或“上方”,可代表兩層之間直接接觸或中間更插 有其他元件或膜層。各種元件<能以任意不同比例顯示 以使圖示清晰簡潔。 〇 第1圖繪示在高介電常數/金屬閘極製程中’半導體 裝置之製造方法100之流程圖。第2A至2F圖繪示以第 1圖方法100製造半導體裝置200之一實施例於各個階段 相對應之製程剖面示意圖。並立’第1圖方法丨〇〇中的 部分步驟可應用於CMOS的製造流程中。因此’於方法 100之前、之中或之後可提供額外的製程’且其中某些製 程在此會作些簡單的描述。此外’第2 A至圖僅為簡 化之圖示以使本發明提供之概念能易於明瞭。 _ 依據第1圖,方法100起始於方塊其為在半導 體基材上形成高介電常數材料。請參見第2A圖,半導體 裝置200包含例如為矽基材的半導體裝置202。基材202 可依照習知技術的需要包含各種摻雜形態。基材202也 可包含其他元素半導體,例如鍺或鑽石。或者,基材202 可包含化合物半導體及/或合金半導體。再者,基材202 也可選擇性地包含蠢晶層(epi layer )、應變以增進效能 (strained for performance enhancement)及絕緣層上覆石夕 201013900 (S OI )結構。
此半導體裝置200可進一步包含隔離結構204,例如 用以隔離基材202中的主動區206及208而形成於基材 中的淺溝槽隔離(STI)元件。隔離結構204可由氧化破、 氮化矽、氮氧化矽、氟摻雜玻璃(FSG)及/或已習知的 低介電常數材料形成。N型金氧半電晶體裝置(NMOS) 為配置在主動區206上及P型金氧半電晶體裝置(pm〇s ) 為配置在主動區208上。可以瞭解的是,半導體裝置2〇〇 的部分可由互補型金氧半導體裝置(CMOS)之製程製 造’故在此不多作贅述。 半導體裝置200可進 界面層21G。界面層21G可包含成長的氧化梦層,其厚ζ 約為5至10 A。半導體裝置2〇〇更可包含形成於界㈣ 2H)上的高介電常數介電層212。高 可包含ΗίΌ2。或去,古入啻♦也a "电禮d 勺入盆^人4 …丨電常數介電層212可選擇性知 w其他^電常數材料,例如刪〇
HfTiO、HfZrQ或前述之細人▲人 HiTa〇 由原子層沉積(A =、·;:學=電常數介電層— 合適技術㈣。高介或其他 3〇A。 电層的厚度約為1〇至 雷層it行讀120之步驟,其為在高介電常數> 電層上形成金屬層’且該 *電书數j 廣層川。此金;;介電層加上形心 金屬)。例如,此全屈厍N型功函數金屬(N3 此金屬層214可包含各種功函數小於4.3: 0503-A3408!TWF/'ieff 201013900 eV的各種金屬。在一實施例中,此金屬層包含组或也可 包含其他的N型金屬(但不僅限於)鋅、鈦、鈮、鋁、 銀、錳、錘、铪及鑭。金屬層214可藉由各種沉積技術 像是物理氣相沉積(PVD或濺鍍)、化學氣相沉積 (CVD)、原子層沉積(ALD)、電鍍或其他合適技術 形成。此金屬層214的厚度大於約25 A。在一實施例中, 此金屬層的厚度約在40至60 A之間,較佳為約50 A。 接著,進行方塊130之步驟,其為形成用以保護於 該第一區域中之金屬層的圖案化罩幕層。在本發明實施 ® 例中,可形成圖案化光阻層218於NMOS裝置206中的 金屬層214η上。此圖案化光阻層218可藉由光學微影 (photolithography )、浸潤式微影(immersion lithography)、離子束寫入(ion-beam writing)或其他合 適製程形成。例如,光學微影製程可包含旋轉塗佈 (spin-coating)、軟烘烤(soft-baking)、曝光、後烘烤 (post-baking )、顯影(developing )、潤洗(rinsing)、 乾燥及其他合適製程。或者,可形成圖案化硬罩幕層來 ® 取代光阻層以保護於NMOS裝置206中之金屬層214η。 硬罩幕層可由氧化矽、氮氧化矽、氮化矽或其他合適材 料形成。首先可先形成圖案化的光阻層於該硬罩幕層 上,然後再進行乾或濕蝕刻以移除該硬罩幕層位於PMOS 區域中的部分。 接著,進行方塊140之步驟,其為對在第二區域中 未受保護之金屬層進行去耦合電漿製程處理,如第2Β圖 所示。在本發明實施例中,對受到保護之金屬層214ρ進 201013900 行去耦合電漿製程處理225’此去耦合電漿處理可使元素 227混雜(incorporate)進入金屬層214p的頂部,以使 金屬層214p之功函數由N型金屬轉變(或調整)為p型 功函數金屬(p型金屬)。各種可作混雜之元素227包含 碳、氮、矽、氧或前述任何可達到欲調整之功函數之組 合。在一實施例中,可藉由去耦合電漿製程225對鈕(TU 層進行碳化及氮化而轉變為氮碳化鈕(TaCN;)層,而具 有P型金屬之效能。在各種實施例中,p型金屬之功函數 可約大於4.8 eV。並且,可調整耦合式電漿製程225,以 使兀素227混雜進入之區域至少能距離位於金屬層 及高介電常數介電2!2之間的界面至少5A以上。日如此, 可降低或避免對高介電常數介電層212造成損傷的風 險。並且,在NM0S裝置施中的受保護之金屬層2峋 也可繼續維持為Nl!金屬不變。如此,一單一金 可同時作為N型金屬及p型金屬。 去輕合電漿製程225可包含下列製程參數 機台:進行··流量大於約一之氮氣(或其: 已3乳之乳體)、流量大於約⑽他之 或其他含碳之氣題)、大於約之射頻功= p_m續或脈衝式射頻)、大於約心τ。 =在15至12G秒之間的週期時間。值得注意的是,去麵 ;33僅225可提供高離子密度但低能量的電漿,以 Λ _入金屬層214p的頂部界面,而不會 212 =厂解(de⑽ng)底下的高介議 乂 +於厗度僅有約為50A金屬層214p是相當重要 〇505-Αλ408 ! ^WF^jefi' 201013900 的。本發明在此僅揭示如上述少許實施例的各種參數, 這些參數可用來調整達到所欲之功函數,而不會脫離本 發明之精神及範圍。例如,增加去耦合電漿機台之射頻 功率及壓力可創造出高離子密度但低離子能量的電漿, 以對金屬輪廓在頂部表面作更多的修飾。 接著’進行方塊15〇之步驟,其為進行退火製程。 進行退火製程之溫度範圍約在8〇〇至1〇〇〇 °c之間。退火 製程可包含快速退火(rTA)、雷射退火或其他合適之 製程。此退火製程可確保PMOS裝置208中之金屬層214ρ β 中碳及氮元素的濃度’以穩定Ρ型金屬的功函數。如此’ 此退火製程會促進金屬層214ρ中碳及氮元素的鍵結。此 退火製程為在與已處雜元素(incorp〇rated elements)相 容之環境下進行’例如在氮氣之環境下。 接著’進行方塊160之步驟,其為在第一區域及第 二區域中的金屬層上形成多晶矽層,如第2C圖所示。圖 案化光阻層118可藉由剝離(stripping )製程或其他合適 製程移除,並可藉由化學氣相沉積(CVD)或其他合適 ® 沉積製程來在N型金屬214η及P型金屬214p上形成多 晶矽層240。此多晶矽層的厚度範圍約在200至2000 A 之間。 第2D圖顯示為可在多晶矽層240上形成硬罩幕層 250。硬罩幕層 250 可包含 SiN、SiON、SiC、SiOC/PEOX、 TEOS或其他合適材料。此外,可在硬罩幕層250上形成 抗反射塗佈(anti-reflective coating; ARC)或底部抗反 射塗佈(bottom anti-reflective coating ; B ARC ),其皆 201013900 為習知之技術。 第2E圖顯示為可在硬罩幕層250上形成為圖案化之 光阻層。此光阻層可包含用於NMOS裝置206之閘極圖 案261及用於PMOS裝置208之閘極圖案262。閘極圖案 261、262可由光學微影、浸潤式微影或其他合適製程來 形成。 接著,進行方塊170之步驟,其為在第一區域中形 成第一閘極結構及在第二區域中形成第二閘極結構。第 • 2F圖顯示為以閘極圖案261、262作為罩幕,進行乾蝕刻 或濕蝕刻製程來圖案化罩幕j 250,並使用硬罩幕層來圖 案化NMOS裝置206中的閘極結構281及PMOS裝置中 的閘極結構282。閘極結構281、282可由乾蝕刻或濕蝕 刻製程形成(閘極蝕刻或圖案化)。隨後可使用習知之 技術將閘極圖案261、262移除。 NMOS裝置206中的閘極結構281可包含多晶矽層 240η、金屬層214η、高介電常數介電層212η及界面層 m W 210n°PMOS裝置208中的閘極結構282可包含多晶矽層 240p、P型金屬214p、高介電常數介電層212p。值得注 意的是,為了對閘極進行圖案化,N型金屬214η及P型 金屬214ρ可具有相似的厚度,且因此對NMOS裝置206 及PMOS裝置208中的閘極進行圖案化相較於後閘極製 程中NMOS裝置206及PMOS裝置208具有各種不同閘 極厚度時會較為簡單。 可暸解的是,方法100 可更進一步進行CMOS技 術製程之流程以形成各種已習知之元件。例如,在兩邊 05〇r-A?408!TV\Tieff Π 201013900 之閘極結構281、282旁形成輕摻雜源極/汲極區(ldd 區)。並且,可由沉積及蝕刻製程在閘極結構之兩側形 成侧壁或閘極間隔物。此極間隔物可包含合適的介電材 料,像是氮化矽、氧化矽、碳化矽、氮氧化矽或前述之 組δ。並且,可使用合適的n型或p型推質(依據穿置 之結構,例如NMOS及PMOS)進行離子佈植或擴散以 形成源極/汲極區(S/D區)。 〇 此外,可在基材上形成各種接觸點(c〇ntacts) /通孔 (vias)、金屬結構及多層内連線元件(例如金屬層及層間 介電層),以連接半導體裝置2〇〇的各種結構及元 例如,可由自我對準矽化(self—angned silicide ; ) 形成石夕化物元件,其步驟為在石夕結構上形成金屬層,铁 後升溫作退火製程並造成金屬與其底下㈣進行反應而 形成矽化物,接著再以蝕刻移除未反應之金屬。可在各 種疋件像是源極、沒極及/或閘極電極上以自我對準魏 2石夕化物材料,減少接觸電阻。並且,在基材上形成 圖案化的介電層及導電層,以形成多層内連線ί 種Ρ型及Ν型摻雜區域’像是源極、汲極、接觸 :構及Γ極區域。在—實施例中,形成多層内連線(MLI) 中°,Γ層間介電層(ILD)相互隔離。在較佳的例子 通孔今厲1結構包含在基材上形成接觸點(_她)、 通孔、金屬線。 離第種半導體裝置’包含半導體、用以隔 成於第-區域中:J域:形成在基材中之隔離結構,形 中的苐—電晶體,此第一電晶體具有第一 201013900 閘極結構:其包含界面層·、高介電常數介電層及混雜至 ^有兩種7C素之金屬層,且此第—閘極結構中之金屬層 中的至少兩種元素距離位於金屬層及高介常數介電層之 間的界面至少5 A以上’此至少兩種元素可使金屬層由 第t力函數金屬轉變為第二型功函數金屬,且此第二 型功函數金屬包含P型功函數金屬。在其他實施例中, 此金屬層包含组。在其他實施例中,此至少兩種元素包 3反及氮在些其他實施例中,此金屬層的厚度約為 40 至 60 A。 此外,雖然本發明在實施例中舉例為可將N型金屬 轉變為P型金屬’然而’本發明也可應用於將p型金屬 轉變成N型金屬。並且’本發明所述之半導體裝置並不 僅限於電晶體,也可包含其他主動及被動裝置,例如雜 式場效電晶體(FinFET)、高功率電晶體(highv〇㈣e transistor)、雙載子電晶體(bipQlartransistGr)、電容、 電阻、二極體、熔絲(fuse)或前述之組合。 本發明在各種實施例中達到了許多不同的優點。例 如,本方法提供了簡單且具有經濟效益的單一金屬層, 其對NM0S裝置及PM〇s裝置各自具有N型功函數金屬 及p型功函數金屬。如此一來,欲對NM〇s及pM〇s裝 置中因相對應的_堆疊具有相似之厚度,㈣極結構 的圖案化變得相對較為簡單。如此,NM〇s裝置及pM〇s 裝置的性能變得更加可靠及可預測。並且,本方法適用 於現有的CMOS技術製程流程’因此可輕易地與現有的 製造設備及裝置技術作整合。另外,在本發明中於各個 050:-A34〇8iTWF/ieff 201013900 實施例會有不同的優點,且無須在每個實施例中都需要 有特定之優點。 雖然本發明已以數個較佳實施例揭露如上,然其並 非用以限定本發明,任何所屬技術領域中具有通常知識 者,在不脫離本發明之精神和範圍内,當可作任意之更 動與潤飾,因此本發明之保護範圍當視後附之申請專利 範圍所界定者為準。
/ 1 -;ef: 201013900 【圖式簡單說明】 第1圖為在高介電常數/金屬閘極製程中製造半導體 裝置之一實施例之流程圖。 ‘ 第2A〜2F圖為一系列依據第1圖所述方法製造半導 體裝置之剖面圖。 【主要元件符號說明】 200〜半導體裝置; 202〜基材; φ 204〜隔離結構; 206〜NMOS裝置; 208〜PMOS裝置; 210、210η、210p〜界面層; 212、212η、212p〜高介電常數介電層; 214〜金屬層; 212η〜η型金屬; 218〜光阻層; 227〜摻雜元素; 250〜硬罩幕層; 214ρ〜ρ型金屬; 225〜去耦合電漿處理; 240〜多晶矽層; 261〜NMOS裝置之閘極圖案; 262〜PMOS裝置之閘極圖案; 281〜NMOS裝置之閘極結構; 282〜PMOS裝置之閘極結構。
050?-A340SITV^^;jeF

Claims (1)

  1. 201013900 七、申請專利範圍: 1. 裡干等骽衷置之製造方法,包括: 材, 提供具有一第一區域及一第_ 第一£域之一半導體基 形成-高介電常數介電層於該半導體基材上· 形成一金屬層於該高介電常數 具有-第-功函數; 人钱m 保護位於該第一區域之該金屬層; 去輕:Γ二第二區Γ之該金屬層進行一包含碳及氮之 去耦〇電漿(de-coupledplasma)處理;以及 ,、於該第-區域形成一第一閘極結構及於該第二區域 ^成-第二閘極結構,該第—閘極結構包含該高介電常 )ι電層及該金屬層,該第二閘極結構包含該高介電常 數層及該經處理的金屬層。 、、2.如申請專利範圍帛〗帛所述之半導體裝置的製造
    =法其中該去耦合電漿處理包含大於5〇〇 W之射頻功 ,、大於lOmTorr之壓力、流速大於1〇〇 sccm的一含氮 氣體、流速大於1〇〇 sccm的含碳氣體及介於15_12〇秒的 時間週期。 3. 如申请專利範圍第2項所述之半導體裝置的製造 方法’其申該含氮氣體包含氮氣。 4. 如申請專利範圍第2項所述之半導體裝置的製造 方法’其中該含碳氣體包含乙烯或曱烷。 5. 如申請專利範圍第1項所述之半導體裝置的製造 方法’其中該第一功函數包含一 N型功函數金屬。 ^ν'/Γ;^Γ 201013900 6.如申請專利範圍第1項所述之半導體裝置的製造 方法,其中該第一金屬層包含鈕。 . 7.如申請專利範圍第1項所述之半導體裝置的製造 方法,更包含在處理過程之後進行一退火製程,該退火 製程的溫度介於約800到1000 之間。 ' 8.如申請專利範圍第1項所述之半導體裝置的製造 方法’更包含: 形成一界面層於該半導體基材及該高介電常數之 9 間;以及 形成一多晶矽層位於該第一區域之該未經處理之金 屬層及位於該第二區域之該處理過之金屬層上; 其中该第一及該第二閘極結構皆包含該界面層及該 多晶麥層。 、9.如申请專利範圍第丨項所述之半導體裝置的製造 方法’其中該第-閘極結構為部分的一 NM〇s裝置及該 _ 第二閘極結構為部分的一 PMOS裝置。 10. 如申睛專利範圍第i項所述之半導體裝置的製造 方法’其中該高介電常數介電層包含HfO、HfSiO、 HfSiNO、HfTaO、HfTiO、HfZr0 或前述之組合。 11. 一種半導體裝置之製造方法,包括: 提供具有一第一區域及一第二區域之一半導體基 材; 形成-高介電常數介電層於該半導體基材上; 幵乂成N型功函數金屬層(N型金屬)於該半導體 基材上; ()503-A34«8!TWFjeff _ 201013900 對位於該第二區域上的N型金屬層進行—去輕合電 理’使至少兩種元素進人位於該第二區域上的N型 金屬層中’該至少兩種元素至少距離位於該高介電常數 ,丨電層及該N型金屬層之間之界面5A以上; 進行一退火製程; 贫形成一多晶石夕層於該第一區域之N型金屬層上及該. 第一區域之經處理過之N型金屬層上;以及 . 形成第閘極結構於該第一區域中及形成一第二 ===於該第二區域中’該第一閘極結構包含該高介參 常數介電層、該N型金屬層及該多晶梦層,該第 ,結構包含該高介電常數介電層、該經處理過之N型金 屬層及該多晶矽層。 、&〗2·如申凊專利範圍第11項所述之半導體裝置的製 =方法,其中該至少兩種元素包含碳、氮、發或氧之組 合。 ^ I3.如申請專利範圍第11項所述之半導體裝置的製 造方法,其中該N型金屬層包含鈕。 ❹ 、止方、、·如申5青專利範圍第11項所述之半導體裝置的製 套其中該去麵合電漿處理過程包含大於W之 率、大於10mTorr之壓力、流速大於⑽5顏之 -Γ氣體、流速大於100sccm之含碳氣體及介於15_120 秒之週期時間。 1 15·如申請專利範圍第u項所述之半導體裝置的製 去其中該N型金屬層包含鋼、給、鉛、鋁或鈦。 16.一種半導體裝置,包含: 201013900 一具有一第一區域及一第二區域之半導體裝置; 一形成在該半導體基材中之隔離結構,用以 第一區域及該第二區域; 該 一形成在該第一區域中之第一電晶體,該第一電晶 體具有一第一閘極結構,該第一閘極結構包含一界= 層、一高介電常數介電層及一金屬層;以及
    一形成在該第二區域中的第二電晶體;該第二電曰曰 體具有一第二閘極結構,該第二閘極結構包含該界面 層、該高介電常數介電層及該金屬層,該金屬層包含至 少被混人^種元素’該至少兩種元素距離該位於該高介 電常數介電層及該金屬層之間的界面至少5 A以上,該 至少兩種兀素使該金屬層由一第一型功函數金屬轉變 一第二型功函數金屬。 !7.如申請專利範圍第16項所述之半導體裝 屬包含…功函數金屬及該第二: 力函數金屬包含_p型功函數金屬。 16項所述之半導體裝置,其中 I8·如申請專利範圍第 該金屬層包含鈕。 19.如申請專利範圍第16項所述之半導體裝置,其 該至>、兩種元素包含碳及氮。 申請專利範圍第16項所述之半導體裝置,其 k金屬層的厚度約為40-60人。 ’、 〇5〇3-A?408!^WF'leff
TW098129863A 2008-09-15 2009-09-04 半導體裝置及其製造方法 TWI420652B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9698908P 2008-09-15 2008-09-15
US12/364,289 US8778754B2 (en) 2008-09-15 2009-02-02 Method of forming a single metal that performs N and P work functions in high-K/metal gate devices

Publications (2)

Publication Number Publication Date
TW201013900A true TW201013900A (en) 2010-04-01
TWI420652B TWI420652B (zh) 2013-12-21

Family

ID=42006440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098129863A TWI420652B (zh) 2008-09-15 2009-09-04 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US8778754B2 (zh)
CN (1) CN101685799B (zh)
TW (1) TWI420652B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI556441B (zh) * 2012-06-14 2016-11-01 台灣積體電路製造股份有限公司 場效電晶體結構及其製造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778754B2 (en) 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
CN102280376B (zh) 2010-06-08 2013-01-02 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
US8753931B2 (en) * 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US8975168B2 (en) 2013-05-28 2015-03-10 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US9735012B2 (en) * 2015-03-25 2017-08-15 Globalfoundries Inc. Short-channel nFET device
EP3144958B1 (en) * 2015-09-17 2021-03-17 Soitec Structure for radiofrequency applications and process for manufacturing such a structure
US9515158B1 (en) 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with insertion layer and method for manufacturing the same
KR20180059649A (ko) 2016-11-25 2018-06-05 삼성전자주식회사 반도체 장치의 제조 방법
US9825122B1 (en) 2017-02-23 2017-11-21 International Business Machines Corporation Multiple work function device using GeOx/TiN cap on work function setting metal
US11862609B2 (en) * 2021-03-18 2024-01-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor die including fuse structure and methods for forming the same

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6664186B1 (en) * 2000-09-29 2003-12-16 International Business Machines Corporation Method of film deposition, and fabrication of structures
US6645798B2 (en) * 2001-06-22 2003-11-11 Micron Technology, Inc. Metal gate engineering for surface p-channel devices
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US7316950B2 (en) * 2003-04-22 2008-01-08 National University Of Singapore Method of fabricating a CMOS device with dual metal gate electrodes
US20040256679A1 (en) * 2003-06-17 2004-12-23 Hu Yongjun J. Dual work function metal gates and method of forming
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US20070023842A1 (en) * 2003-11-12 2007-02-01 Hyung-Suk Jung Semiconductor devices having different gate dielectric layers and methods of manufacturing the same
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
US7226826B2 (en) * 2004-04-16 2007-06-05 Texas Instruments Incorporated Semiconductor device having multiple work functions and method of manufacture therefor
US7338865B2 (en) * 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation
JP2006108355A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7514310B2 (en) 2004-12-01 2009-04-07 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
JP4764030B2 (ja) * 2005-03-03 2011-08-31 株式会社東芝 半導体装置及びその製造方法
US7332388B2 (en) * 2005-03-08 2008-02-19 Micron Technology, Inc. Method to simultaneously form both fully silicided and partially silicided dual work function transistor gates during the manufacture of a semiconductor device, semiconductor devices, and systems including same
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
JP4855419B2 (ja) * 2005-12-13 2012-01-18 富士通株式会社 半導体装置の製造方法
US8124529B2 (en) * 2006-06-01 2012-02-28 Texas Instruments Incorporated Semiconductor device fabricated using a metal microstructure control process
JP4282691B2 (ja) * 2006-06-07 2009-06-24 株式会社東芝 半導体装置
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20100025778A1 (en) * 2008-07-31 2010-02-04 Chao-Sung Lai Transistor structure and method of making the same
US8778754B2 (en) 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI556441B (zh) * 2012-06-14 2016-11-01 台灣積體電路製造股份有限公司 場效電晶體結構及其製造方法

Also Published As

Publication number Publication date
US20140319619A1 (en) 2014-10-30
US9633856B2 (en) 2017-04-25
TWI420652B (zh) 2013-12-21
US8778754B2 (en) 2014-07-15
CN101685799A (zh) 2010-03-31
CN101685799B (zh) 2012-02-01
US20150303062A1 (en) 2015-10-22
US20100065914A1 (en) 2010-03-18
US9070681B2 (en) 2015-06-30

Similar Documents

Publication Publication Date Title
TW201013900A (en) Method of forming a single metal that performs N and P work functions in high-k/metal gate devices
US20220216205A1 (en) Method of forming a single metal that performs n work function and p work function in a high-k/metal gate process
TWI306309B (en) Semiconductor device and method of making the same
TWI247425B (en) Advanced strained-channel technique toe mprove cmos performance
US9899270B2 (en) Methods for manufacturing semiconductor devices
TW201013850A (en) Method for forming metal gates in a gate last process
US9356120B2 (en) Metal gate transistor and method for tuning metal gate profile
TW201010010A (en) Semiconductor device and fabrication method thereof
TW201025509A (en) Method for tuning a work function of high-k metal gate devices
TW201015625A (en) Method of fabricating semiconductor device
TW201250858A (en) Fabrication methods of integrated semiconductor structure
TW201015669A (en) Method for gate height control in a gate last process
TWI469262B (zh) 半導體裝置之製造方法及半導體裝置
TW201017730A (en) Implantation method for reducing threshold voltage for high-k metal gate device
TW201013849A (en) Method of integrating high-k/metal gate in CMOS process flow
TW200805574A (en) Low contact resistance CMOS circuits and methods for their fabrication
TW201036070A (en) Semiconductor devices and fabrication methods thereof
TW201123277A (en) Methods for fabricating integrated circuit devices
TW201017827A (en) Method of fabricating semiconductor device
TWI398912B (zh) 製造半導體元件的方法與半導體元件
TW201010009A (en) Method for fabricating a semiconductor device and semiconductor device therefrom
US9252059B2 (en) Method for manufacturing semiconductor device
WO2014082341A1 (zh) P型mosfet的制造方法
US20150008537A1 (en) N-type mosfet and method for manufacturing the same
TW201009937A (en) Semiconductor devices and fabricating the same