TW201013758A - Semiconductor device and method for making semiconductor device having metal gate stack - Google Patents

Semiconductor device and method for making semiconductor device having metal gate stack Download PDF

Info

Publication number
TW201013758A
TW201013758A TW098126334A TW98126334A TW201013758A TW 201013758 A TW201013758 A TW 201013758A TW 098126334 A TW098126334 A TW 098126334A TW 98126334 A TW98126334 A TW 98126334A TW 201013758 A TW201013758 A TW 201013758A
Authority
TW
Taiwan
Prior art keywords
layer
metal
gate
forming
semiconductor device
Prior art date
Application number
TW098126334A
Other languages
English (en)
Other versions
TWI424480B (zh
Inventor
Yuri Masuoka
Huan-Tsung Huang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201013758A publication Critical patent/TW201013758A/zh
Application granted granted Critical
Publication of TWI424480B publication Critical patent/TWI424480B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

201013758 六、發明說明: 【發明所屬之技術領域】 本發明係有關於製造具有金屬閘極堆疊的半導體裝 置的方法。 【先前技術】 當例如金屬氧化半導體場效應電晶體 (metal-oxide-semiconductor field-effect transistor; Φ MOSFET)的半導體裝置隨著各種技術節點(technology node)微縮化時,高介電常數(high k)介電材料與金屬被用 來形成閘極堆疊。此外,使用應變石夕(strained silicon)以 提高電晶體通道的遷移率(mobility)。於一般的方法中, 是使用氮化矽層、離子植入以及退火製程以形成應變基 底。另一方面’調整功函數以增進裝置效能。當考慮高 介電常數介電材料層非常薄時,電流通道會損壞高介電 常數介電材料層與基底。再者,上述形成應變基底的方 籲法難以達到大應力。 【發明内容】 本發明提供一種製造具有金屬閘極堆疊的半導體裝 置的方法’包括:於一半導體基底上形成一高介電常數 介電材料層;於該高介電常數介電材料層上形成一第一 金屬層;於該第一金屬層上形成一石夕層;圖案化該石夕層、 第一金屬層及高介電常數介電材料層以形成具有一閘極 長度小於50 nm的閘極堆疊;以及進行矽化製程以將該 〇503-A34234TWF/hhchiang 3 201013758 矽層完全轉變成一矽化電極。 本發明也提供一種製造具有金屬閘極堆疊的半導體 裝置的方法’包括:於一半導體基底上形成-閘極堆疊; 於該半導體基底中开)成一源極及沒極;於該源極及;及極 上形成一第一矽化層;於該半導體基底上形成一層間介 電材料層;對該半㈣基底進行—化學機械研磨製程; 以及之後,於該閘極堆疊上形成一第二矽化層。 本發明還提供-種半導體裝置,包括:—源極及沒 極’位於一半導體基底中;一第一閘極堆疊,設置於該 半導體基底中且插介於該源極及該汲極之間,其中該第 閘極堆疊具有小於5〇 nm的閘極長度且包括:一高介 電常數介電層,設置於該半導體基底上;-第-金屬層, 設置於該高介電常數介電層上;以及-魏閘極層Γ直 接设置於該第一金屬層上,該矽化閘極層具有一第一厚 度二以及矽化元件,形成於該源極及該汲極上,該些矽 化7G件具有實質上小於該第一厚度的一第二厚度。 【實施方式】 、有關各實施例之製造和使用方式係如以下所詳述。 然而’值得注意的是’本發明所提供之各種可應用的發 :概念係依具體内文的各種變化據以實施,且在此所討 觸的具體實施例僅是用來顯示具體使用和製造本發明的 方法’而不用以限制本發明的範圍。以下係透過‘種圖 不及例式說明本發明較佳實施例的製造過程。在本發明 各種不同之各種實施例和圖示中,相同的符號代表相同 0503-A34234TWF/hhchiang 4 201013758 或類似的元件。此外,當一層材料層是位於另一材料層 或基板之上時,其可以是直接位於其表面上或另外插入 有其他中介層。 第1圖係根據本發明概念所構成之製造具有金屬閘 極結構的半導體裝置的方法1〇〇。第2圖至第5圖係於各 種實施例中根據本發明概念所構成之具有金屬閘極結構 的半導體裝置200的剖面圖。以下說明半導體裝置200 及其製造方法100。 請參考第1圖及第2圖,方法100起始於步驟102, 於半導體基底210上形成各種閘極材料層。半導體基底 210包括矽。基底更包括各種隔離元件,例如淺溝槽隔離 (shallow trench isolation; STI),以及各種摻雜元件,例如 藉由習知的各種離子佈值或擴散技術所形成的η型井及p 型井。基底210可額外的包括鍺(germanium)、石夕錯(silicon germanium)或其他合適的半導體材料。於其他實施例 中,基底210可額外的包括其他半導體材料,例如鑽石 (diamond)、碳化石夕(silicon carbide)、神化鎵(gallium arsenic)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁 鎵(AlGaAs)、磷化鎵銦(GalnP)、或其他適當的其組合。 於此步驟,高介電常數介電材料層212形成於基底 上。藉由例如原子層沉積法(atomic layer deposition; ALD) 的適合步驟形成高介電常數介電材料層212。其他形成高 介電常數介電材料層的方法包括金屬有機化學氣相沉積 法(metal organic chemical vapor deposition; MOCVD)、物 理氣相沉積法(physical vapor deposition; PVD)、紫外線- 0503-A34234TWF/hhchiang 5 201013758 臭氧氧化(UV-Ozone Oxidation)法以及分子束蠢晶法 (molecular beam epitaxy; MBE)。於一實施例中,高介電 常數介電材料包括氧化铪(Hf02)。或者,高介電常數介電 材料層包括金屬氮化物(metal nitride)、金屬碎化物(metal silicates)或其他金屬氧化物(metal oxide)。 可額外的於基底上形成界面層(interfacial layer; IL) (未顯示),且界面層係插介於半導體基底210及高介 電常數介電材料層212之間。於一例子中,界面層包括 薄氧化矽層。薄氧化矽係在形成高介電常數介電材料層 前形成於基底210上。薄氧化矽層可以原子層沉積法或 熱氧化法形成。 金屬層214形成於高介電常數介電材料層上。金屬 層214係以PVD或其他合適的方法形成。於一實施例 中,金屬層包括氮化鈇(titanium nitride)。於其他實施例 中,金屬閘極層可包括氮化钽(tantalum nitride)、氮化翻 (molybdenum nitride)、氮化鶴(tungsten nitride)、鶴 (tungsten)、礙化组(tantalum carbide)、氮石炭化#旦(tantalum carbide nitride)、氮化鈦銘(titanium aluminum nitride)或 其組合。所選擇的金屬層具有適當的功函數。於一實施 例中,金屬層214的厚度小於約50埃(angstrom)。於其 他實施例中,金屬層可具有設計成具有適當功函數的多 層膜結構(multi-film structure)。 可設置蓋層(capping layer)(未顯示)於高介電常數 介電材料層上。蓋層插介於高介電常數介電材料層及金 屬層之間。於一實施例中,蓋層包括氧化鋼(lanthanum 0503-A34234TWF/hhchiang 6 201013758 oxide; LaO)。蓋層或者可包括其他合適的材料,例如氧 化鋁(aluminum oxide; Al2〇3)。蓋層可以合適的方法形 成,例如PVD或ALD法。 矽層216更形成於金屬層214上。於一實施例中, 矽層包括多晶矽。於其他實施例中,矽層包括非晶矽。 可以化學氣相沉積(CVD)製程形成矽層。可於CVD製程 中使用矽烧(silane; SiH4)作為化學氣體以形成矽層。於一 實施例中,矽層的厚度小於約700埃。舉例而言,矽層 的厚度為約600埃。 可更於矽層216上形成硬罩幕層218以圖案化閘 極。硬罩幕層218包括一或更多種介電材料,且係利用 合適的方法形成,例如CVD法。於各種實施例中,硬罩 幕層包括氧化矽、氮化矽、氮氧化矽或於多層膜結構中 的其組合物。 請參考第1圖及第2圖,方法1〇〇進行至步驟104, 圖案化各種閘極材料層以形成閘極堆疊。於硬罩幕層上 形成定義一或更多個開口的圖案化光阻層。然後蚀刻移 除於圖案化光阻層的開口中的硬罩幕層,以形成圖案化 硬罩幕層。對硬罩幕層所進行的蝕刻製程可為濕蝕刻製 程或乾敍刻製程。舉例而言,可使用氫氟酸(hydrofluoric; HF)溶液蝕刻氧化矽硬罩幕層。可利用微影製成形成圖案 化光阻層。微影製程的例子可包括光阻層塗佈、軟烤(soft baking)、遮罩對準、曝光、曝光後供烤(p0st-exposure baking)、顯影(developing photoresist)及硬烤(hard baking) 的製程步驟。也可以例如無光罩微影(maskless 0503-A34234TWF/hhchiang 7 201013758 photolithography)、電子束刻寫(electron-beam writing)、 離子束刻寫(ion-beam writing)及分子轉印(m〇lecular imprint)的其他適合的方法進行或取代微影曝光製程。或 者’可不使用硬罩幕層。於此例子中,是藉由於矽層上 直接形成圖案化光阻層,然後利用圖案化光阻層作為蝕 刻罩幕以蝕刻閘極層而圖案化閘極層。 然後藉由姓刻製程(etching process)將於圖案化硬罩 幕層的開口中的各種閘極材料層姓刻移除。於一實施例 中’蝕刻製程使用乾蝕刻製程。於一實施例中,乾蝕刻 製程使用含氟電漿移除矽層。於其他實施例中,乾蝕刻 製程使用含氟電漿移除矽層、金屬層及高介電常數介電 材料層。於例子的進化中,蝕刻氣體包括CF4。或者,蝕 刻製程可包括多個蝕刻步驟以蝕刻各種閘極材料層。於 一實施例中,閘極堆疊具有小於50 nm的閘極寬度。 請參考第1圖及第3圖,方法100可進行至步驟106, 於閘極堆疊的侧壁上形成介電層220。於閘極堆疊的側壁 上設置介電層220使後續形成的源極/>及極元件自閘極堆 疊偏移而增進元件效能《此外或或者,使用介電層封住 高介電常數介電材料層及金屬以保護這些閘極材料。介 電層220包括乳化石夕、氮化石夕或其他適合的介電材料。 可以CVD、PVD或其他合適的方法形成介電層220。 請參考第1圖及第4圖,方法100進行至步驟108, 於基底210上形成各種源極及汲極元件。於一實施例中, 係藉由利用閘極堆疊作為佈值罩幕進行離子植入步驟而 形成輕摻雜〉及極(lightly doped drain; LDD)區域。輕摻雜 0503-A34234TWF/hhchiang 8 201013758 * 汲極區域大體對準於閘極堆疊的邊緣。若介電層存在, 輕摻雜及極區域藉由介電層自閘極堆疊的邊緣偏移。此 外,可進行口袋離子植入步驟以消除短通道效應。 然後藉由習知技術於閘極堆疊的側壁(或介電層的 側壁,若存在時)上形成間隙壁222。舉例而言,間隙壁 包括氮化矽且係以化學氣相沉積以及然後乾蝕刻製程形 成。間隙壁222可具有多層結構。 然後藉由另一離子植入製程於基底中形成源極與汲 • 極。因此所形成的源極與汲極係藉由間隙壁222更偏移 自閘極堆疊。輕掺雜没極區域及源極/¾極於第4圖中皆 標示為224。此後對基底進行退火製程以活化源極/汲極 元件224。可利用適合的方法進行退火製程,例如快速熱 退火(rapid thermal annealing)或雷射退火(laser annealing) 法。 藉由蝕刻製程將硬罩幕218自閘極堆疊移除。舉例 而言,若硬罩幕係由氮化矽所構成,使用熱磷酸 (phosphoric acid; H3P〇4)溶液作為蝕刻劑以選擇性的移 除硬罩幕層。於一實施例中,可在形成源極與汲極的離 子植入步驟後移除硬罩幕。於其他實施例中,係在間隙 壁222形成後,用以形成源極與汲極的第二離子植入步 驟前,移除硬罩幕層。 請參考第1圖及第5圖,方法100進行至步驟110, 於閘極堆疊上形成完全石夕化層(fully silicide layer)228。石夕 層216係使用自對準石夕化技術(self-aligned silicide technique)完全變成矽化層228。於一形成完全矽化層的 0503-A34234TWF/hhchiang 9 201013758 程序的實施例中,是首先於基底上沉積第二金屬層。第 , 二金屬層直接接觸於閘極區中的矽層。接著以適當的溫 度對半導體裝置200進行退火製程以使第二金屬層與矽 層反應形成碎化物。進行退火製程直到>5夕層完全轉變成 矽化層。在退火製程後將未反應的金屬自基底移除。 於各種實施例中用以形成矽化物的金屬材料包括鈦 (titanium)、鎳(nickel)、銘(cobalt)、鉑(platinum)、把 (palladium)、鶴(tungsten)、组(tantalum)或銷:(erbium)。所 形成的梦化物可為任何適當的組成(composition)及相 _ (phase),其取決於各種參數,包括退火溫度與第二金屬 層的厚度。於一實施例中,矽化層228具有梯度結構 (graded structure),使得碎化物組成/相可垂直的改變。於 其他實施例中,矽化層228具有例如MSi、MSi2、M2Si、 M2Si3、M3Si2或M3Si的相/組成,例如其中的“Μ”表示第 二金屬。 用以於第二金屬層與矽層之間反應的退火溫度範圍 係介於約200°C及500°C之間。在於第一退火製程中形成❹ 矽化物後,可對半導體裝置進行溫度較高的第二退火步 驟以將矽化物轉變成某種相態以降低電阻及/或調整功函 數。 當石夕層轉變成矽化層時,金屬層混合矽層,且在閘 極堆疊中造成應力。硬力更傳至位於閘極堆疊下方的基 底以形成應變通道區域(strained channel reSion)。是利用 矽化體積膨脹作用(silicide volume expansion effect)產生 且調變通道應力以增進裝置效能°再者’功函數是個別 0503-A34234TWF/hhchiang 10 201013758 的由金屬層214所決定。因此應力與功函數可分開設計 並適當的調整。也消除了一般方法中由應力對高介電常 數介電材料與基底所造成的損壞。 當於閘極堆疊上形成矽化物時,源極及汲極的頂部 也被石夕化’因此形成源極/汲極石夕化物226。然而,間隙 壁與隔離元件由於其介電特性而未被碎化。碎化物只形 成於閘極堆疊及源極與汲極上,且自對準於這些元件。 因此’上述製程是稱作自對準梦化(self-aligned silicide (salicide))技術。由於梦基底是結晶態(cryStalline state), 石夕層是多晶態或非結晶態’因此源極/沒極的石夕化率 (silicidation rate)不同於石夕層的發化率。梦層的碎化率可 實質上大於源極/汲極的矽化率。矽化率的比例可藉由與 形成矽化物相關的第一退火溫度決定及調整。因此,可 以選擇退火步驟以使矽層完全矽化成矽化電極228且源 極/汲極矽化物226具有適當的厚度。 由於如此所形成的閘極將留在最終裝置中,因此上 述方法稱作先閘極(gate-first)法。然後一般製程流程可接 著形成半導體裝置200的其他元件。舉例而言,形成内 連線結構(interconnection structure)以適當的連接半導體 裝置200的各種元件。於其他個例子中,於基底上形成 層間介電層(inter_level dielectric (ILD) layer),然後對基 底進行化學機械研磨(chemical mechanical polishing; CMP),以及然後在層間介電層中形成連接至源極/汲極與 閘極的接觸窗(conatact)。 第6圖係於另一實施例中根據本發明概念所構成之 0503-A34234TWF/hhchiang 11 201013758 製造具有金屬閘極結構的半導體裝置的方法230。方法 230可應用於後閘極(gate-last)製程,其中部分的閘極堆 疊於之後移除’並重建成適當的功函數以調整為nMOS 電晶體或pMOS電晶體。第7圖至第10圖為於各種實施 例中根據本發明概念所構成之具有金屬閘極結構的半導 體裝置250的剖面圖。以下共同說明半導體裝置250及 其製造方法230。半導體裝置250相似於第2圖至第5圖 的半導體裝置200。因此’為了簡潔,以相同的號碼標示 相似的元件。 請參考第6圖及第7圖,方法230起始於步驟232, 於半導體基底210上形成各種閘極材料層,相似於方法 100的步驟102。半導體基底210包括矽。基底更包括各 種隔離元件,例如淺溝槽隔離(shallow trench isolation; S ΤΙ),以及各種摻雜元件,例如藉由習知的各種離子佈值 或擴散技術所形成的η型井或ρ型井。基底210可額外 的包括鍺(germanium)、矽錄(silicon germanium)或其他合 適的半導體材料。 於此步驟,高介電常數介電材料層212形成於基底 上。藉由例如原子層沉積法的適合步驟形成高介電常數 介電材料層212。其他形成高介電常數介電材料層的方法 包括金屬有機化學氣相沉積法(metal organic chemical vapor deposition; MOCVD)、物理氣相沉積法(physical vapor deposition; PVD)、紫外線-臭氧氧化(υν-Ozone Oxidation)法以及分子束遙晶法(molecular beam epitaxy; mbe)。於一實施例中,高介電常數介電材料包括氧化铪 0503-A34234TWF/hhchiang 12 201013758 ’ (Hf〇2)。或者,高介電常數介電材料層包括金屬氮化物 (metal nitride)、金屬石夕化物(metai silicates)或其他金屬氧 化物(metal oxide)。 可額外的於基底上形成界面層(未顯示),且界面 層係插介於半導體基底210與高介電常數介電材料層212 之間。於一例子中,界面層包括薄氧化矽層。薄氧化矽 係在形成高介電常數介電材料層前形成於基底21〇上。 薄氧化矽層可以原子層沉積法或熱氧化法形成。 ^ 金屬層214形成於高介電常數介電材料層上。金屬 層214係以PVD或其他合適的方法形成。於一實施例 中’金屬層包括氮化欽(titanium nitride)。於其他實施例 中’金属閘極層可包括氮化组(tantalum nitride)、氮化銷 (molybdenum nitride)、氮化鎢(tungsten nitride)、鎢 (tungsten)、碳化經(tantalum carbide)、氣石发化組(tantalum carbide nitride)、氮化鈦鋁(titanium aluminum nitride)或 $ 其組合。所選擇的金屬層具有適當的功函數。於一實施 例中,金屬層214的厚度小於約50埃(angstrom)。於其 他實施例中,金屬層可具有設計成具有適當功函數的多 層膜結構(multi-film structure)。 可設置蓋層(未顯示)於高介電常數介電材料層上。 蓋層插介於高介電常數介電材料層與金屬層之間。於一 實施例中,蓋層包括氧化鑭(lanthanum oxide; LaO)。或者 蓋層可包括其他合適的材料,例如氧化铭(aluminum oxide; AI2O3)。蓋層可以合適的方法形成,例如PVD或 ALD 法。 0503-A34234TWF/hhchiang 13 201013758 石夕層216更形成於金屬層214上。於一實施例中, 矽層包括多晶矽。於其他實施例中,矽層包括非晶矽。 可以化學氣相沉積(CVD)製程形成矽層。可於CVD製程 中使用矽烷(silane; SiH4)作為化學氣體以形成矽層。於一 實施例中,矽層的厚度小於約700埃。舉例而言,矽層 的厚度為約600埃。 可更於矽層216上形成硬罩幕層218以圖案化閘 極。硬罩幕層218包括一或更多種介電材料,且係利用 合適的方法形成,例如CVD法。於各種實施例中,硬罩 幕層包括氧化矽、氮化矽、氮氧化矽或於多層膜結構中 的其組合物。 請參考第6圖及第7圖,方法230進行至步驟234, 圖案化各種閘極材料層以形成閘極堆疊。於硬罩幕層上 形成定義一或更多個開口的圖案化光阻層。然後蝕刻移 除於圖案化光阻層的開口中的硬罩幕層,以形成圖案化 硬罩幕層。對硬罩幕層所進行的蝕刻製程可為濕蝕刻製 程或乾#刻製程。舉例而言,可使用氫氟酸(hydrofluoric; HF)溶液蝕刻氧化矽硬罩幕層。可利用微影製程形成圖案 化光阻層。或者,可不使用硬罩幕層。於此例子中,是 藉由於矽層上直接形成圖案化光阻層,然後利用圖案化 光阻層作為姓刻罩幕姓刻閘極層而圖案化閘極層。 然後藉由餘刻製程(etching process)將於圖案化硬罩 幕層的開口中的各種閘極材料層蝕刻移除。於一實施例 中,蝕刻製程利用乾蝕刻製程。於一例子中,乾蝕刻製 程使用含氟電漿移除矽層。於其他實施例中,乾蝕刻製 0503-A34234TWF/hhchiang 14 201013758 程使用含氟電漿移除矽層、金屬層及高介電常數介電材 料層。於例子的進化中,钱刻氣體包括cf4。或者,姓刻 製程可包括多個蝕刻步驟以蝕刻各種閘極材料層。於一 實施例中,閘極堆疊具有小於50 nm的閘極寬度。 請參考第6圖及第8圖,方法230可進行至步驟236, 於閘極堆疊的側壁上形成介電層220。於閘極堆疊的側壁 上設置介電層220以使後續形成的源極/汲極元件自閘極 堆疊偏移而增進元件效能。此外或或者,使用介電層封 住高介電常數介電材料層及金屬以保護這些閘極材料。 介電層220包括氧化矽、氮化矽或其他適合的材料。可 以CVD、PVD或其他合適的方法形成介電層220。 請參考第6圖及第9圖,方法230進行至步驟238, 於基底210上形成各種源極及汲極元件。於一實施例中, 係藉由利用閘極堆疊作為佈值罩幕進行離子植入製程而 形成輕摻雜汲極(lightly doped drain; LDD)區域。輕摻雜 汲極區域大體對準於閘極堆疊的邊緣。若介電層存在, 輕摻雜汲極區域藉由介電層自閘極堆疊的邊緣偏移。此 外,可進行口袋離子植入製程以消除短通道效應。 然後藉由習知技術於閘極堆疊的側壁(或介電層的 側壁,若存在時)上形成間隙壁222。舉例而言,間隙壁 包括氮化矽且係以化學氣相沉積以及然後乾蝕刻製程所 形成。間隙壁可具有多層結構。 然後藉由另一離子植入製程於基底中形成源極與汲 極。因此所形成的源極與汲極藉由間隙壁222更偏移自 閘極堆疊。輕摻雜汲極區及源極/汲極於第9圖中皆標示 0503-A34234TWF/hhchiang 15 201013758 為224。此後可對基底進行退火製程以活化源極/汲極元 件224。可利用適合的方法進行退火製程,例如快速熱退 火(rapid thermal annealing)或雷射退火(laser annealing) 法。 可於此步驟藉由矽化技術於源極及汲極上形成矽化 元件,上述石夕化技術包括沉積金屬、退火以及姓刻以移 除未與矽基底反應的多餘金屬。由於硬罩幕位於閘極堆 疊的頂部上,因此此次矽化物將不會形成於閘極堆疊上。 請參考第6圖及第10圖,方法230可進行至步驟 240,利用合適的製程,例如CVD或旋塗式玻璃法(spin-on glass; SOG),於基底上形成層間介電層229。層間介電層 包括介電材料,例如氧化矽、低介電常數介電材料或其 他合適的介電材料。舉例而言,層間介電層係以高密度 電漿CVD法形成。層間介電層設置於多個閘極堆疊之間 的基底上以及閘極堆疊上。 然後對基底進行化學機械研磨製程以研磨至閘極堆 疊露出,或當硬罩幕層存在時,研磨至硬罩幕層露出。 於此例子中,硬罩幕層也作用為餘刻停止層。進行額外 的濕蝕刻製程以選擇性的移除硬罩幕。舉例而言,若硬 罩幕係由氮化石夕所構成,使用熱鱗酸(phosphoric acid; H3P04)溶液作為蝕刻劑以選擇性的移除硬罩幕層。於一 實施例中,係在形成源極與汲極的離子植入步驟後移除 硬罩幕。於其他實施例中,係在間隙壁222形成後,用 以形成源極與汲極的第二離子植入步驟前,移除硬罩幕 層。或者,也可繼續CMP製程以移除硬罩幕層。還於其 0503-A34234TWF/hhchiang 16 201013758 他實施例中,可移除矽層216與金屬層214以使另一金 屬層與矽層沉積以作為金屬閘極電極。可藉由CMP製程 移除多餘的矽層直到露出層間介電層。 請參考第6圖及第10圖,方法230進行至步驟242, 於閘極堆疊上形成完全矽化層228。相似的,矽層216係 使用自對準石夕化技術(self-aligned silicide technique)完全 變成矽化層228。於一形成完全矽化層的程序的實施例 中,是首先於基底上沉積第二金屬層。第二金屬層直接 接觸於閘極溝槽中的矽層。接著以適當的溫度對半導體 裝置250進行退火製程以使第二金屬層與矽層反應形成 矽化物。進行退火步驟直到矽層完全轉變成矽化層。在 退火步驟後將未反應的金屬自基底移除。 於各種實施例中用以形成矽化物的金屬材料包括鈦 (titanium)、鎳(nickel)、姑(cobalt)、始(platinum)、纪 (palladium)、鶴(tungsten)、la (tantalum)或辟(erbium)。所 形成的珍化物可為任何適當的組成(composition)及相 (phase),其取決於各種參數,包括退火溫度與第二金屬 層的厚度。於一實施例中,矽化層228具有例如MSi、 MSi2、M2Si、M2Si3、M3Si2 或 M3Si 的相 /組成,其中 “Μ” 表示第二金屬。 用以於第二金屬層與矽層之間反應的退火溫度範圍 係介於約200°C及500°C之間。在於第一退火製程中形成 矽化物後,可對半導體裝置進行溫度較高的第二退火步 驟以將矽化物轉變成某種相態以降低電阻及/或調整功函 數。 0503-A34234TWF/hhchiang 17 201013758
a、於此例子中,完全矽化閘極電極及源極/汲極矽化物 是分開形成。因此,可個別控制源極/汲極矽化物的厚产。 當碎層變成魏層時,金屬層混合㈣,且在閘極堆疊 中造成應力。硬力更傳至位於閘極堆疊下方的基底以形 成應變通道區域。利用矽化體積膨脹作用(silicide v〇lume expansion effect)產生且調變通道應力以增進裝置效能。 再者’功函數是獨立的由金屬層214所決定。因此應力 與功函數可分開設計並適當的調整。也消除了—般方法 中由應力對高介電常數介電材料與基底所造成的損壞。 於例子中,所形成的完全矽化閘極電極是用於一 種MOS閘極,例如_ M〇s閘極,同時另一種的廳 如p型職電極是被圖案化光阻層或圖案化硬 罩幕覆盖。㈣,藉由-或更多個關步驟將於p型则 區域中㈣層216與金屬層214自閘轉疊移除而形成 閘極溝槽。也可在進行各種肋移除硬罩幕層、石夕層及 金屬層的蝕刻步驟時同時移除部份或全部的介電層22〇。
然後於p型雜溝槽中沉積p型金屬層以得到適當 的功函數。於金;|層上形成例如紹或鎢的額外導 料。’然後進行化學機械研磨製程以移除多餘的導電材料 並平坦化基底表面以利後續的製程步驟。 雖然未顯示,本發明實施例亦可包含其他製程 以形成各種摻雜區域,例如n型井及p型井 : 如多層内連線(multilayer interc〇nnecti〇n; Mu)的^ 1 ::實施射’更形成多層内連線。多層内連線包括; 連線,例如—般的介層窗㈣或接觸窗“tact)_ 0503-A34234TWF/hhchiang 201013758 並包括水平的内連線,例如金屬線(metal lines)。可使用 包括銅、鶴及石夕化物(silicide)的各種導電材料形成各種内 連線元件。於一實施例中,係利用鑲嵌(damascene)製程 形成銅相關的多層内連線結構。於其他實施例中,係利 用鎢於接觸洞内形成鎢插塞(plug)。 於其他實施例中,於基底中的隔離元件可包括淺溝 槽隔離(shallow trench isolation; STI)元件。STI 的形成步 驟可包括於基底内蝕刻出溝槽,以及以例如氧化矽、氮 化矽或氮氧化矽的絕緣材料填充溝槽。所填充的溝槽可 具有多層結構,例如具有熱氧化襯層並以氮化矽填充溝 槽。於一實施例中,STI結構可利用一連續製程形成,例 如1成長塾氧化物(pad oxide),以低壓化學氣相沉積法 (LPCVD)形成氮化層,利用光阻及罩幕圖案化STI開口, 於基底内餘刻出溝槽,選擇性的成長熱氧化溝槽襯墊 (thermal oxide trench liner)以增進溝槽界面(trench interface)特性,以CVD氧化物填充溝槽、利用化學機械 研磨法回敍刻,以及利用氮化物剝離法(nitride stripping) 法留下STI結構。 於其他實施例中,具有個別調整的功函數的η型 MOS電晶體與ρ型m〇S電晶體的金屬層不相同。於其 他實施例中,閘極間隙壁可具有多層結構,且可包括氧 化石夕、氮化矽、氮氧化矽、或其他介電材料。用以形成 相關的摻雜區域的Ν型摻雜質可包括磷、砷及/或其他 材料。Ρ型摻雜質可包括硼、銦及/或其他材料。 本發明並非限應用於包括MOS電晶體的半導體結 0503-A34234TWF/hhchiang 19 201013758 構,而更可延伸至其他具有金屬閘極堆疊的積體電路。 舉例而言,半導體裝置可包括動態隨機存取記憶體 (dynamic random access memory; DRAM)單元、單電子電 晶體(single electron transistor; SET)、及 / 或其他微電子 元件(microelectronic device)(於此統稱為微電子元件)。 於其他實施例中,半導體裝置200包括鰭式場效電晶體 (FinFET transistor)。當然,本發明的概念亦可應用於及/ 或可取得的其他類型的電晶體,包括單閘極電晶體 (single-gate transistor)、雙閘極電晶體(double-gate ❺ transistor)及其他多閘極電晶體(multiple-gate transistor) ’且可使用於不同的應用中,包括感測單元 (sensor cell)、記憶體單元(memory cell)、邏輯單元(logic cell)及其他的應用。 雖然本發明的實施例揭露如上,然其並非用以限定 本發明,任何熟悉此項技藝者,在不脫離本發明之精神 和範圍内,當可做些許更動與潤飾。於一實施例中,半 導體基底可包括磊晶層。舉例而言,基底可具有覆蓋塊 ® 半導體(bulk semiconductor)的蟲晶層。於其他實施例中, 基底包括為了應力效果而藉由選擇性蠢晶成長(selective epitaxial growth (SEG))製程於源極與汲極中戶斤形成的石夕 鍺(silicon germanium)。應力係藉由利用所述完全石夕化閘 極的方法形成於通道區域中。再者,基底可包括例如埋 藏介電層的絕緣層上覆半導體 (semiconductor-on-insulator; SOI)結構。或者是,基底可 包括例如埋藏氧化層(buried oxide; BOX)的埋藏介電 0503-A34234TWF/hhchiang 20 201013758 層’其可藉由被稱為埋藏氧化層氧植入隔離(separati〇nby implantation of oxygen; SIMOX)的方法、晶圓接合法 (wafer bonding)、選擇性磊晶成長法(selective epitaxial growth; SEG)或其他合適的方法所形成。 再者,可藉由混合的方法形成各種閘極堆疊,其中 堆®中的其中一種係藉由先閘極(gate-JQrst)法形成,其他 種的閘極堆疊係藉由後閘極法形成。於此例子中,先間 極或後閘極法中的至少一個係使用上述利用完全碎化閘 極電極的方法以增強應力。於一實施例中,n型金屬沉積 於ρ型電晶體區域以及η型電晶體區域兩者上,然後藉 由先閘極法形成η型電晶體的金屬閘極堆叠。然後藉由 後閘極法形成Ρ型電晶體的閘極堆疊,其中係藉由方法 230形成完全矽化閘極。再者,ρ型電晶體的源極及汲極 可包括藉由SEG製程所形成的石夕錯(siiic〇I1 germanjum^ 源極/沒極元件。這樣的整合製程可增強ρ型電晶體的應 變通道(strained channel)以得到更好的元件效能。 於其他實施例中,;ρ型MOS係藉由使用相似於方法 230的完全石夕化閘極電極製程的後閘極製程形成以強化 應力。當對P型MOS電晶體進行完全閘極矽化製程時, 以圖案化光阻層覆蓋η型MOS電晶體。或者,在對p型 MOS電晶體進行完全矽化製程前,藉由微影製程移除ρ 型MOS區域中的硬罩幕層並留下η型MOS區域中的硬 罩幕層。之後,藉由後閘極製程置換η型MOS電晶體的 閘極堆疊,上述製程包括移除η型MOS閘極堆疊的至少 一部分,然後再填充η型金屬層以形成具有藉由η型金 0503-A34234TWF/hhchiang 21 201013758 屬層適當的調整功函數的nS M〇s閘極堆疊。可藉 法1〇〇以及方法230的其他組合方法形成具有調整的功 函數的η型MOS電晶體以及p型M〇s電晶體。 因此,本發明提供一種製造具有金屬閘極 體電路的方法。上述方法包括於铸體基底上形成j 電常數介電材料層;於上述高介電常數介電材料 成第-金屬層;於上述第-金屬層上形切層^案化 上述矽層、第一金屬層及高介電常數介 ^ :=疊;以及進行魏製程以將上物完: 呀化潜。 方法中’上述進行魏製程可包括於上述石夕層 形成第-金屬層,進行退火步驟使上述石夕層與 屬層反應以形成石夕化層;以及移除未反應的:述: 屬層。上述形成第一金屬層的步驟可包 鈦 (tnanium nitride; TiN)層。上述形成第_金 牛鈦 =括形成多層膜結構。上述形成第一金屬層的步驟可: 括於上述高介電常數介電材料層上形成蓋層膜; =述蓋層膜上形成金屬層膜。上述形切層的步驟可包 更包括在上述圖案化上述㈣的第上!:屬層二= :介電材料層的步频後,於上述閘極堆“::: & 麦,述"電層的侧壁上形成間隙壁;以及夕 後,形成源極及汲極。上述方法可更包 ^ 述高介電常數介電材料層的步驟 在上述形成上 艾驟之則,於上述半導體基 〇503-A34234TWF/hhchiang 22 201013758 底上形成界面層。 於其他實施财,i述方法更包括於上料導體基 成層間介電材料層;以及對上述半導體基底進行 化子機械研磨製程。於實施例的進化中,上述方法更包 括於上㈣層上形成硬罩幕層;以及之後,在上述圖案 化步驟前圖案化上述硬罩幕層。上述方法更包括在上述 進仃矽化製程的步驟前移除上述硬罩幕層。
本發明也提供製造具有金屬閘極堆疊的 的方法的其他實施例。上述方法包括於半導體基底上形 成閘極堆疊;於上述半導體基底巾形成源極及汲極;於 C源極及及極上形成第一梦化層;於上述半導體基底 上形成層間介電材料層;對上述半導體基底進行化學機 械研磨製程,以及之後,於上述閘極堆疊上形成第二矽 於此方法中,上述形成第二矽化層的步驟可包括於 •上述閘極堆疊上沉積金屬層;對上述基底進行退火步驟 以使上述金屬層與上述閘極堆疊的矽層反應;以及自上 述基底移除上述未反應的金屬層。上述方法可更包括移 除另一閘極堆疊的一部分以形成閘極溝槽;以金屬層填 充上述閘極溝槽’ ·以及對上述基底進行化學機械研磨製 程。 . 、本發明也提供一種半導體裝置。上述裝置包括源極 及汲極,位於半導體基底中;閘極堆疊,設置於上述半 導體基底中且插介於上述源極及汲極之間。上述閘極堆 疊更包括高介電常數介電層,設置於上述半導體基底 〇503-A34234TWF/hhchiang 23 201013758 上;金屬層’設置於上述高介電常數介電層上;以及砍 化閘極層,直接設置於上述金屬層上,上述矽化閘極層 具有第一厚度。上述裝置也包括矽化元件,形成於上述 源極及汲極上。上述矽化元件具有實質上小於上述第一 厚度的第二厚度。 所揭露的裝置可更包括介電層,設置於上述閘極堆 疊的側壁上;以及間隙層,設置於上述介電層上。上述 矽化閘極層可包括金屬,其組成不同於上述矽化元件中 的金屬。上述矽化閘極層可包括金屬,其擇自由鈦、鎳、 銘、細聽所構奴輕。上粒制可包括多層膜 ,·口構上述裝置可更包括第二閑極推叠,其呈有設置於 ΐ 電常數介電層上的第二金屬層,上述第二金屬 層的組成不同於上述第一金屬層。 雖然本發明已以較佳實施例揭露如上,# 以限定本發明,杯输热企 、亚并用 之精神和^· ^…、,u此項技藝者,在不脫離本發明 \ ,當可做些許更動與潤飾,因此本發明 之保心时視後附之申請專利範圍所界定者為準。 〇503-A34234TWF/hhchiang ^ 24 201013758 【圖式簡單說明】 第1圖係根據本發明概念所構成之製造具有金屬閘 極結構的半導體裝置的方法流程圖。 第2圖至第5圖係於各種實施例中根據本發明概念 所構成之具有金屬閘極結構的半導體裝置的剖面圖。 第6圖係根據本發明概念所構成之製造具有金屬閘 極結構的半導體裝置的方法流程圖。 第7圖至第10圖係於各種實施例中根據本發明概念 所構成之具有金屬閘極結構的半導體裝置的剖面圖。 【主要元件符號說明】 210〜半導體基底; 212〜高介電常數介電材料層; 214〜金屬層; 216〜碎層; 218〜硬罩幕層; 220〜介電層; 222〜間隙壁; 224〜源極/汲極; 226〜源極/汲極石夕化物; 228〜矽化層; 229〜層間介電層。 0503-A34234TWF/hhchiang 25

Claims (1)

  1. 201013758 七 申明專利範圍·· 法,包括 種製造具有金屬閑極堆疊的半導體裝置的方 體基底上形成-高介電常數介電材料層; 於該第-金屬層上形成一‘成第-金屬層; 圖案化該石夕層、第一金屬 層以形成具有-閘極長麼、於sn ^歎,I電材枓 進行料/ m的閘極堆疊;以及 2 nt㈣㈣完全轉變成—魏電極。 堆疊的半導二專述之製造具有金屬閘極 驟包括:、勺方法’/、中該進行該石夕化製程的步 於該矽層上形成一第二金屬層; 成火步驟使财層與”二金屬層反應以形 成一矽化層;以及 移除未反應的該第二金屬層。 雄暴l如r青專利範圍第1項所述之製造具有金屬閘極 步驟勺ί裝置的方法’其+該形成該第—金屬層的 ’匕形成一氮化鈦(titanium nitride; TiN)層。 ^如申請專利_第丨項所述之製造具有金屬問極 =導體裝置的方法’其中該形成該第一金屬層的 步驟包括形成一多層膜結構。 掩聂认^申5月專利範圍第1項所述之製造具有金屬閉極 牛骚勺、導體裂置的方法’其中該形成該第一金屬層的 步驟包括: 〇503-A34234TWF/hhchiang 26 201013758 形成-蓋層模、 ^所述之製造具有金屬_ -中該形成該矽層的步騍包 埃的該石夕層。 更項包所括述之製造具有金屬閑極 6·如申請專利範圍第1 堆疊的半導體裝置的方法, 括形成具有厚度小於約700 7.如申請專利範圍第1 堆疊的半導體裝置的方法, 在該圖案化該麥層
    層及咼介電常數介電 材枓層的步驟後,於該閘極堆疊的㈣上形成—介電層; 之後於該半一體基底中形成一輕摻雜沒極元件; 之後,於該介電層的侧壁上形成一間隙壁;以及, 之後,形成一源極及沒極。 8.如申*專利範圍第丨項所述之製造具有金屬閑極 堆疊的半導體裝置的方法,更包括在該形成該高介電常 數介電材料層的步驟之前,於該半導縣底上形成一界 面層。 9,如申請專利範圍第1項所述之製造具有金屬閘極 堆疊的半導體裝置的方法,更包括: 於該半導體基底上形成一層間介電層材料層;以及 對該半導體基底進行一化學機械研磨製程。 10.如申請專利範圍第1項所述之製造具有金屬閘 極堆疊的半導體裝置的方法,更包括: 於該矽層上形成一硬罩幕層;以及 之後’在該圖案化以形成該閘極堆疊的步驟前圖案 化該硬罩幕層。 0503-A34234TWF/hhchiang 27 201013758 項所述之製造具有金屬閘 更包括在該進行該矽化製 Η.如申請專利範圍第10 極堆疊的半導體裝置的方法, 程的步驟前移除該硬罩幕層。 12'種製造具有金屬閘極堆疊的半導體裝置的方 法,包括: 於一半導體基底上形成一閘極堆疊; 於該半導體基底中形成一源極及汲極; 於該源極及汲極上形成一第一石夕化層; 於該半導體基底上形成一層間介電材料層; 對該半導體基底進行一化學機械研磨製程;以及 之後’於該閘極堆疊上形成一第二梦化層。 13. 如申請專利範圍第12項所述之製造具有金屬閘 極堆疊的半導體裝置的方法,其中該形成該第二矽化層 的步驟包括: 於該閘極堆疊上沉積一金屬層; 對該基底進行退火步驟以使該金屬層與該閘極堆疊 的一矽層反應;以及 自該基底移除未反應的該金屬層。 14. 如申請專利範圍第12項所述之製造具有金屬閘 極堆疊的半導體裝置的方法,更包括: 移除另一閘極堆疊的一部分’以形成一閘極溝槽; 以一金屬層填充該閘極溝槽;以及 對該基底進行化學機械研磨製程。 15. —種半導體裝置,包括: 一源極及汲極,位於一半導體基底中; 〇503-A34234TWF/hhchiang 28 201013758 一第-閘極堆疊,設置於該半導體基底中且插介於 該源極及該汲極之間,其中該第1極堆疊具有小於50 nm的閘極長度且包括: 二高介電常數介電層,設置於該半導體基底上; 一第一金屬層,設置於該高介電常數介電層上;以 及 一矽化閘極層,直接設置於該第一金屬層上,該矽 鲁化閘極層具有一第一厚度;以及 矽化7L件,形成於該源極及該汲極上,該些矽化元 件具有實質上小於該第一厚度的一第二厚度。 16. 如申請專利範圍第15項所述之半導體裝置,更 包括: 、 "電層,设置於該第一閘極堆疊的側壁上;以及 一間隙壁,設置於該介電層上。 17. 如申請專利範圍第15項所述之 φ中該魏開極層包括—金屬,其組成不同於财化j 中的一金屬。 18. 如申請專利範圍第15項所述之半導體裝置,其 中該矽化閘極層包括-金屬’擇自由鈦、鎳、鈷、鉑以 及纪所構成之群組。 19. 如申請專利範圍第15項所述之半導體裝置,其 中該第一金屬層包括—多層膜結構。 2〇.如申請專利範圍第15項所述之半導體裝置,更 包括:第二閘極堆疊,具有設置於該高介電常數介電層 上的一第二金屬層’該第二金屬層的組成不同於該第I 〇503-A34234TWF/hhchiang ® 勹Π 201013758 金屬層。
    0503-A34234TWF/hhchiang 30
TW098126334A 2008-09-26 2009-08-05 半導體裝置及製造具有金屬閘極堆疊的半導體裝置的方法 TWI424480B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10059208P 2008-09-26 2008-09-26
US12/437,696 US8012817B2 (en) 2008-09-26 2009-05-08 Transistor performance improving method with metal gate

Publications (2)

Publication Number Publication Date
TW201013758A true TW201013758A (en) 2010-04-01
TWI424480B TWI424480B (zh) 2014-01-21

Family

ID=42056472

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098126334A TWI424480B (zh) 2008-09-26 2009-08-05 半導體裝置及製造具有金屬閘極堆疊的半導體裝置的方法

Country Status (3)

Country Link
US (3) US8012817B2 (zh)
CN (1) CN101685780A (zh)
TW (1) TWI424480B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI490945B (zh) * 2010-04-26 2015-07-01 Applied Materials Inc 處理基板的方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US8278179B2 (en) * 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
CN102280375B (zh) * 2010-06-08 2013-10-16 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
US20120319198A1 (en) * 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8728930B2 (en) * 2011-06-30 2014-05-20 Micron Technology, Inc. Methods of forming metal silicide-comprising material and methods of forming metal silicide-comprising contacts
US8404530B2 (en) * 2011-07-07 2013-03-26 International Business Machines Corporation Replacement metal gate with a conductive metal oxynitride layer
CN102956456B (zh) * 2011-08-19 2015-12-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103077969B (zh) * 2011-10-26 2016-03-30 中国科学院微电子研究所 一种mos器件及其制造方法
US8790973B2 (en) * 2012-04-12 2014-07-29 Globalfoundries Inc. Workfunction metal stacks for a final metal gate
KR101658483B1 (ko) 2012-08-21 2016-09-22 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
CN103855016A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
CN103137497A (zh) * 2013-02-26 2013-06-05 深圳市华星光电技术有限公司 低温多晶硅晶体管的制作方法
US20150093914A1 (en) * 2013-10-02 2015-04-02 Intermolecular Methods for depositing an aluminum oxide layer over germanium susbtrates in the fabrication of integrated circuits
US9455201B2 (en) 2014-02-25 2016-09-27 Globalfoundries Inc. Integration method for fabrication of metal gate based multiple threshold voltage devices and circuits
US9362180B2 (en) 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US9401362B2 (en) 2014-04-04 2016-07-26 Globalfoundries Inc. Multiple threshold voltage semiconductor device
US9214518B1 (en) * 2014-07-18 2015-12-15 Taiwan Semiconductor Manufacturing Company Limited and National Taiwan University Using molecular beam epitaxy in a semiconductor structure with a high K/GaSb interface
US20160035891A1 (en) * 2014-07-31 2016-02-04 Qualcomm Incorporated Stress in n-channel field effect transistors
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
KR102333699B1 (ko) * 2014-12-19 2021-12-02 에스케이하이닉스 주식회사 고유전 금속 게이트스택의 에칭 방법
US9780193B2 (en) * 2015-10-27 2017-10-03 United Microelectronics Corporation Device with reinforced metal gate spacer and method of fabricating
US9882023B2 (en) * 2016-02-29 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacers for self-aligned contacts
US9627258B1 (en) * 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10008456B1 (en) * 2017-03-27 2018-06-26 Globalfoundries Inc. Laminated spacers for field-effect transistors
US9853028B1 (en) * 2017-04-17 2017-12-26 International Business Machines Corporation Vertical FET with reduced parasitic capacitance
CN107644876B (zh) * 2017-08-28 2019-01-01 长江存储科技有限责任公司 台阶结构及其形成方法
US11133226B2 (en) * 2018-10-22 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. FUSI gated device formation
CN111435658B (zh) * 2019-01-14 2023-05-23 联华电子股份有限公司 形成存储器堆叠结构的方法
CN114068418A (zh) * 2020-08-05 2022-02-18 长鑫存储技术有限公司 半导体结构及其制作方法
US20220044940A1 (en) * 2020-08-05 2022-02-10 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6599831B1 (en) * 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US7109077B2 (en) * 2002-11-21 2006-09-19 Texas Instruments Incorporated Dual work function gate electrodes using doped polysilicon and a metal silicon germanium compound
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
WO2005057663A2 (en) * 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7015126B2 (en) * 2004-06-03 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
WO2006001271A1 (ja) 2004-06-23 2006-01-05 Nec Corporation 半導体装置及びその製造方法
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
JP2006108355A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7291526B2 (en) * 2004-12-06 2007-11-06 Infineon Technologies Ag Semiconductor device and method of manufacture thereof
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
US7777291B2 (en) * 2005-08-26 2010-08-17 Smoltek Ab Integrated circuits having interconnects and heat dissipators based on nanostructures
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
US20070200179A1 (en) * 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
US20070228480A1 (en) * 2006-04-03 2007-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device having PMOS and NMOS transistors with different gate structures
US7297618B1 (en) * 2006-07-28 2007-11-20 International Business Machines Corporation Fully silicided gate electrodes and method of making the same
JP2008053283A (ja) * 2006-08-22 2008-03-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7737015B2 (en) * 2007-02-27 2010-06-15 Texas Instruments Incorporated Formation of fully silicided gate with oxide barrier on the source/drain silicide regions
JP5117740B2 (ja) * 2007-03-01 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US20090159976A1 (en) * 2007-12-20 2009-06-25 Matthias Goldbach Integrated circuit and method for making an integrated circuit
US8685811B2 (en) * 2008-01-14 2014-04-01 United Microelectronics Corp. Method for manufacturing a CMOS device having dual metal gate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI490945B (zh) * 2010-04-26 2015-07-01 Applied Materials Inc 處理基板的方法

Also Published As

Publication number Publication date
CN101685780A (zh) 2010-03-31
US8754487B2 (en) 2014-06-17
US20100078733A1 (en) 2010-04-01
US8357581B2 (en) 2013-01-22
US8012817B2 (en) 2011-09-06
TWI424480B (zh) 2014-01-21
US20130119485A1 (en) 2013-05-16
US20110303991A1 (en) 2011-12-15

Similar Documents

Publication Publication Date Title
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
TW201013758A (en) Semiconductor device and method for making semiconductor device having metal gate stack
KR101785864B1 (ko) 하이 K 금속 게이트를 갖는 nFET에 대한 구조 및 방법
KR101027107B1 (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
US7611938B2 (en) Semiconductor device having high drive current and method of manufacture therefor
TWI478222B (zh) 半導體裝置
US7381649B2 (en) Structure for a multiple-gate FET device and a method for its fabrication
TWI579925B (zh) 半導體結構及其製造方法
US8373199B2 (en) Semiconductor device having a SiGe feature and a metal gate stack
US6806534B2 (en) Damascene method for improved MOS transistor
US7582934B2 (en) Isolation spacer for thin SOI devices
TW201738943A (zh) 半導體結構及其製作方法
TW201015625A (en) Method of fabricating semiconductor device
WO2012100463A1 (zh) 一种形成半导体结构的方法
TW201009905A (en) Method for making metal gate stack of semiconductor device
US7755145B2 (en) Semiconductor device and manufacturing method thereof
WO2013170477A1 (zh) 半导体器件及其制造方法
US12021082B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US20230361114A1 (en) Semiconductor structure and methods of forming the same
US20240113164A1 (en) Film modification for gate cut process
TW202418584A (zh) 具有由埋入內間隔物隔開之雙隔離區的奈米片
WO2014059728A1 (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees