TW200805547A - Substrate supporting table, substrate transferring apparatus, and substrate processing system using the same - Google Patents

Substrate supporting table, substrate transferring apparatus, and substrate processing system using the same Download PDF

Info

Publication number
TW200805547A
TW200805547A TW096115955A TW96115955A TW200805547A TW 200805547 A TW200805547 A TW 200805547A TW 096115955 A TW096115955 A TW 096115955A TW 96115955 A TW96115955 A TW 96115955A TW 200805547 A TW200805547 A TW 200805547A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
processing chamber
transfer device
substrates
Prior art date
Application number
TW096115955A
Other languages
Chinese (zh)
Other versions
TWI431708B (en
Inventor
Soon-Im Wi
Original Assignee
New Power Plasma Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by New Power Plasma Co Ltd filed Critical New Power Plasma Co Ltd
Publication of TW200805547A publication Critical patent/TW200805547A/en
Application granted granted Critical
Publication of TWI431708B publication Critical patent/TWI431708B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces

Abstract

There are provided a substrate supporting table, a substrate transferring apparatus, and a substrate processing system using the same. The system includes a process chamber including at least one substrate supporting table, and a first substrate transferring device to transfer substrates between a first substrate entrance formed in the process chamber and the substrate supporting table within the process chamber. According to the substrate processing system, since a plurality of substrates before and after being processed are rapidly exchanged simultaneously and continuously, processing rate of the system can be increased and overall productivity of substrates can be also increased.

Description

200805547 (1) 九、發明說明 【發明所屬之技術領域】 本發明關於一種基板支撐台、基板傳 設備的基板處理系統,特別是關於一種基 將複數基板連續裝載在處理室內/從處理 輸設備,以減少傳輸基板所花的時間,並 本發明特別是關於一種使用該設備的基板 【先前技術】 近來,能同時處理複數基板的叢集( 通常使用在用於製造液晶顯示器、電漿面 導體裝置的基板處理系統中。 叢集系統通常指多腔室型基板處理系 統包括有傳輸機械手(或處理器)和設在 的複數基板處理模組。 9 叢集系統包括傳輸室和設在傳輸室內 輸機械手。用於執行處理基板之製程的處 輸室的旁邊。此一叢集系統同時處理複數 各種製程/以增加處理基板的量。爲了增 ,在單一處理室內同時處理複數基板,以 處理基板的量。 雖然在單一處理室內同時(或連續) 但是處理前和處理後之基板在處理室內未 造成時間的損失。 輸設備、使用該 板支撐台和用於 室卸載的基板傳 改善生產力。且 處理系統。 cluster)系統, 板顯示器、和半 統,且該處理系 傳輸機械手周圍 可自由轉動的傳 理室,安裝在傳 基板或連續執行 加處理基板的量 增加每單位時間 處理複數基板, 有效率地交換, -4- 200805547 (2) 再者,當習知的叢集系統包括六邊形傳輸室(基本上 包括四個處理室和二個裝載鎖定室(load lock chamber) ),由於傳輸室所佔據的面積,所以對叢集系統的面積和 叢集系統在生產線的配置很重要之整個叢集系統的寬度增 加了,比維持傳輸室在真空狀態所需之真空系統期望寬度 和尺寸還大,導致增加設備成本和安置成本。此外,當設 置之處理室數目增加時,傳輸室的面積增加更多。 φ 因此,需要能夠同時(或連續)處理複數基板、且能 夠在處理室內有效率地交換處理前和處理後基板以處理複 數基板的基板處理系統。 【發明內容】 因此本發明導向提供一種基板支撐台、基板傳輸設備 和使用該設備的基板處理系統,該設備具有效率地在其內 處理基板的構造。 • 本發明也提供一種基板支撐台、基板傳輸設備和使用 該設備的基板處理系統,該設備可減少傳輸基板所花的時 間並改善生產力。 本發明也提供一種基板支撐台、基板傳輸設備和使用 該設備的基板處理系統,該設備具有小的系統面積。 本發明也提供一種基板支撐台、基板傳輸設備和使用 該設備的基板處理系統,該設備具有可減少花在其內之處 理時間的構造。 本發明也提供一種基板支撑台、基板傳輸設備和使用 -5- 200805547 (3) 該設備的基板處理系統,該設備具有在其內可改善處理室 之利用性的構造。 本發明也提供一種基板支撐台、基板傳輸設備和使用 該設備的基板處理系統,該設備具有顯著減少的系統面積 和系統寬度。 本發明也提供一種基板支撐台、基板傳輸設備和使用 該設備的基板處理系統,該設備減少了不需要的體積面積 Φ ,以將設備成本和設置成本減至最低。 本發明一方面提供一種一種處理室的基板傳輸設備, 該處理室包含至少一基板支撐台。該設備包含一第一基板 傳輸裝置,其在該處理室內傳輸基板,且該裝置包括至少 一末端效應器,以傳輸該等基板。 在本發明的例示實施例中,該第一基板傳輸裝置可包 含:至少一迴轉板臂,其具有該末端效應器;一驅動單元 ,其供給驅動力,以迴轉、上升、和下降該迴轉板臂;和 • 至少一主軸,其連接至該驅動單元,該至少一迴轉板臂安 裝至該主軸。 在本發明的例示實施例中,該第一基板傳輸裝置的該 末端效應器可包含:一馬蹄形邊緣;一支撐部,其形成在 該邊緣內,以支撐該基板;和一進入路徑,其形成用於防 止經由形成於該處理室內之基板入口執行和外部交換該等 基板之物體的末端效應器被干擾。 在本發明的例示實施例中,該第一基板傳輸裝置可傳 輸從該處理室之該外部傳輸至該基板支撐台的該基板,且 -6- 200805547 (4) 當該末端效應器插入該基板支撐台時,可將 該基板支撐台上。 在本發明的例示實施例中,當該等基板 處理時,該第一基板傳輸裝置可讓該末端效 基板支撐台內,且當完成該等基板的處理和 從該基板支撐台退出時,可從該基板支撐台 以傳輸。 φ 在本發明的例示實施例中,該第一基板 含:至少二不同的主軸,以獨立地旋轉;和 迴轉板臂,分別安裝至該等不同的主軸。 -在本發明的例示實施例中,該第一基板 至少一驅動單元,以供給驅動力至該二不同 在本發明的例示實施例中,基板傳輸設 二基板傳輸裝置,以經由形成在處理室內的 該處理室外部的該第一基板傳輸裝置交換該 • 在本發明的例示實施例中,該第二基板 含:至少一線性板臂,其具有至少一末端效 復運動;和至少一線性驅動單元,以使該至 線性地往復運動。 在本發明的例示實施例中,該第二基板 含:一驅動單元,以供給旋轉力;至少一主 驅動單元;和至少一迴轉板臂,其包括至少 安裝至該主軸以迴轉、具有至少一末端效應 基板傳輸裝置交換該等基板。 該等基板放在 在該處理室內 應器保持插在 該末端效應器 舉升該等基板 傳輸裝置可包 至少二不同的 傳輸裝置包含 的主軸。 備更包含一第 基板入口和在 等基板。 傳輸裝置可包 應器和線性往 少一線性板臂 傳輸裝置可包 軸,連接至該 一迴轉板臂是 器、和該第一 200805547 (5) 本發明的另一方提供一種基板處理系統,該系統包含 :一處理室,包括至少一基板支撐台;和一第一基板傳輸 裝置,以在形成於該處理室內的第一基板入口和在該處理 室內的該基板支撐台之間傳輸基板。 在本發明的例示實施例中,該基板處理系統更包含: 一傳輸室,其連接至第一基板入口,且具有第二基板入口 ;和一第二基板傳輸裝置,其設置在該傳輸室內,以在該 φ 第二基板入口和該第一基板入口之間傳輸該等基板。 在本發明的例示實施例中,該基板處理系統更包含連 接至該第二基板入口的裝載鎖定室,和包括大氣壓力傳輸 機械手,以在分度盤和該傳輸室之間傳輸該等基板。 在本發明的例示實施例中,該基板處理系統更包含冷 卻室,以冷卻由該第二基板入口排出之已處理過的基板, 且該大氣壓力傳輸機械手,將由該第二基板入口排出之該 等已處理過的基板’經由該冷卻室傳輸至該分度盤。 Φ 本發明的另一方提供一種基板支撐台,其設置在處理 室內以支撐基板。該基板支撐台包含:一本體;和至少一 凹槽’形成在該本體的外圓周’以在基板傳輸設備的末® 效應器插入該基板支撐台時’防止該末端效應器的基板支 撐部被鎖在該基板支撐台。 【實施方式】 但是本發明可以不同的形式具體化’且不應解釋爲受 限於本文所記載的實施例。反而’這些實施例提供做爲較 -8- 200805547 (6) 是本發明的範例。在圖式中,爲了清晰起見,誇大了各層 和區域的厚度。會使本發明主題混淆之熟知功能和構造的 詳細描述將省略。 下文將參考附圖詳細地描述依據本發明之基板支撐台 、基板傳輸設備和使用該設備的基板處理系統。再者,相 同的數字代表執行相同功能的相同組件。 基本上,本發明使基板處理系統更有效率地交換基板 φ ,該系統能處理複數基板,以改善生產力。 圖1是例示本發明實施例之基板處理系統整體構造的 視圖,圖2是例示圖1基板處理系統的剖面平面圖。參考圖 1,本發明實施例的基板處理系統包括處理室500和傳輸室 400。電漿緣700設在處理室500內的後側,傳輸室400設在 處理室500的前面。分度盤100設置在基板處理系統前面, 該分度盤內安裝有複數載具110。裝載鎖定室200設在 分度盤100和傳輸室400之間。 • 分度盤1〇〇稱爲設備前端模組(下文稱爲EFEM ), 且在某些場合係指包括裝載鎖定室。如果需要的話,傳輸 室400可包括冷卻室3 00,用以冷卻已處理過的基板。否則 ,如果傳輸室1〇〇用於冷卻已處理過的基板,則可省略冷 卻室3 00。如果需要預熱待傳輸至處理室的基板,則可設 有預熱室。當冷卻室300或傳輸室400用做預熱用途,則可 省略預熱室。 第一基板入口 5 1 0形成在傳輸室4 0 0和第一處理室5 0 0 之間,且第二基板入口 410形成在傳輸室400和裝載鎖定室 200805547 (7) 200之間。第一和第二基板入口 5 1 0、4 1 0由間縫閥(3山 valve,未示)打開或關閉。 裝載鎖定室2 0 0包括在大氣壓力下作業的大氣壓力傳 輸機械手210。大氣壓力傳輸機械手210在傳輸室400和分 度盤1〇〇之間傳輸基板,且在傳輸室400和冷卻室之間傳輸 基板。該大氣壓力傳輸機械手210可旋轉、上升、和下降 ,且可從載具110至傳輸室400—次傳輸四個基板W。 φ 大氣壓力傳輸機械手210可被單臂式機械手執行,其 具有四個末端效應器212。如圖13所示的另一實施例,大 氣壓力傳輸機械手210可被雙臂式機械手210a執行,其分 別具有總共八個末端效應器2 1 2 a、2 1 2 b,所以可同時交換 四個未處理(處理前)的基板和四個已處理過的基板。一 般半導體製造製程和本發明之本實施例的單臂型或雙臂型 機械手涉及製程所使用的各種機械手,可用做大氣壓力傳 輸機械手210或210a。 ® 四個基板支撐台520呈矩形地配置在處理室500內,其 中第一基板傳輸設備800設在處理室500的中央。第一基板 傳輸設備8 00包括四個迴轉板臂,且四個基板支撐台52〇設 在迴轉板臂迴轉的路徑上。處理室5 〇 0包括做爲真空室的 電漿源7 0 0,以執行預定的電漿處理製程。可建構處理室 5 0 0,以執行各種基板處理作業。例如處理室5 〇 〇可爲用於 使用電漿移除光阻劑的灰化室、用於沉積絕緣層的化學氣 相沉積(CVD )室、用於在絕緣層蝕刻孔或開口以形成互 連構造的飩刻室、用於沉積阻障層的物理氣相沉積(p V d -10- 200805547 (8) )室、或用於沉積金屬層的物理氣相沉積室。 由本發明之本實施例的基板處理系統所處理的基板w ,通常是用於製造半導體電路的晶圓基板、或用於製造液 晶顯示器的玻璃基板。爲了執行完整製造積體電路或晶片 所需的全部製程,可需要複數處理系統’不只本發明之本 實施例的基板處理系統而已。但是,爲了使本發明清晰’ 所以省略了熟悉該項技藝者所瞭解的普通構造。 φ 圖3是例示設置在傳輸室內之第一基板傳輸設備800的 透視圖。參考圖3,基板傳輸設備8 00包括至少一個迴轉板 臂8 1 0 (在此實施例中,四個迴轉板臂),該迴轉板臂具 有末端效應器8 1 2。但是因爲迴轉板臂8 1 0的數目等於設在 處理室500之基板支撐台520的數目,所以至少設有一個迴 轉板臂810。迴轉板臂810安裝至單一主軸830,以迴轉、 上升、下降。主軸83 0連接至驅動單元820。驅動單元820 供給驅動例,以迴轉、上升、下降迴轉板臂8 1 0。雖然未 # 顯示在圖式中,但是驅動單元820包括用以產生驅動力的 電動馬達和用以傳輸所產生之驅動力至主軸83 0的齒輪組 合體,使複數迴轉板臂810執行所欲的作業。 圖4是例示迴轉板臂之末端效應器構造的視圖。複數 迴轉板臂810包括連接至主軸830的板臂81 1和設在板臂末 端的末端效應器8 1 2。末端效應器8 1 2呈馬蹄形且包括用於 支撐基板的支撐部9 1 3。設置支撐部8 1 3的配置和數目,以 穩固地支撐基板W。 圖5是例示插入基板支撐台內之迴轉板臂的視圖,圖6 -11 - 200805547 (9) 是例示基板支撐台之凹槽和插入凹槽內之末端效應器的視 圖。參考圖式,第一基板傳輸設備的四支迴轉板臂8 1 0, 將基板從處理室500的外部傳輸至基板支撐台520,且當末 端效應器812插入基板支撐台520內時,將基板放在基板支 撐台520上。爲了防止末端效應器的突出支撐部813被基板 支撑台520鎖住’所以每一基板支撑台520具有至少一凹槽 515,該凹槽515形成在基板支撐台52 0本體的外圓周。凹 φ 槽5 1 5的數目可適當地設置。 當基板再處理室500內處理時,第一基板傳輸設備800 讓末端效應器812保持在插入基板支撐台520的狀態。在此 情況中,第一基板傳輸設備8 0 0的位置比放在基板支撐台 5 2 0上側的基板W還低,以防止在處理基板的期間,不當 地影響基板。當完成基板的處理時,末端效應器8 1 2從基 板支撐台520退出,且將基板從基板支撐台520舉升,以傳 輸基板W。 ® 圖7是例示具有上驅動單元和下驅動單元之第一基板 傳輸設備的視圖,圖8是例示具有被分成側單元之驅動單 元的第一基板傳輸設備的視圖。如圖7和圖8所例示,第一 基板傳輸設備800可包括二主軸830a、830b,該二主軸分 別設在上側和下側、或分別設在右側和左側。二主軸8 3 0 a 、83 0b可分別包括二迴轉板臂810。爲了驅動主軸8 3 0a、 830b,可設置二驅動單兀820a、820b。在另一實施例中, 可使用適當的齒輪組合體和變速箱,以構成單一的驅動單 元。此外,主軸和驅動單元的數目及配置,可做各種變化 -12- 200805547 (10) 圖9是例示經由傳輸室將基板傳輸至處理室的視圖, 圖1 〇是例示設置在傳輸室內之第二基板傳輸設備的透視圖 。參考圖式,傳輸室400更包括第二基板傳輸設備600,以 經由第一基板入口 510,和處理室500外部的第一基板傳輸 設備800交換基板。 第二基板傳輸設備600包括往復運動的至少一線性板 臂6 10。在此實施例中,線性板臂610包括設在上側的裝載 臂610a和設在下側的卸載臂610b。線性板臂610連接至線 性驅動單元620,以線性地往復運動。線性驅動單元620可 包括裝載驅動單元6 2 0 a和卸載驅動單元6 2 0 b。線性驅動 單元620設在傳輸室400的外側,且經由形成在傳輸室400 之側壁內的溝槽420連接至線性板臂610。 藉由第一和第二基板傳輸設備800、600,執行未處理 和已處理過之基板在傳輸室4 00和處理室500之間的交換。 在此情況中,傳輸室400轉換至和處理室5 00在第二基板入 口 410關閉時的相同真空狀態,然後在第一基板入口 510打 開時交換基板。如圖9所例示,在交換基板之前,第一基 板傳輸設備800從基板支撐台520取得基板,且配置在第一 基板入口 5 1 0。 第二基板傳輸設備600的卸載臂61 Ob接收已處理過的 基板,且當線性地往復運動時,卸載臂6 1 Ob從第一基板 傳輸設備800退出。其次,第一基板傳輸設備800的主軸 83 0上升並位在裝載位置。第二基板傳輸設備600的裝載臂 -13- 200805547 (11) 6 1 0 a線性地往復運動,並傳輸未處理的基板。當完成基 板的交換時,關閉第一基板入口,且如上所述,第一基板 傳輸設備800將基板傳輸至基板支撐台520。 當完成傳輸室400和處理室500之間的基板交換以後’ 執行傳輸室400和裝載鎖定室200之間的基板交換。傳輸室 4 00從真空狀態轉換至大氣壓力狀態,且當第二基板入口 410打開時,裝載鎖定室200內的大氣壓力傳輸機械手210 Φ 執行未處理基板和已處理基板的交換。雖然在本發明的實 施例中使用單臂型大氣壓力傳輸機械手210,但是如圖13 所示,當使用雙臂型大氣壓力傳輸機械手210時,可更快 速地執行基板的交換。 圖11是例示本發明另一實施例之第二基板傳輸設備的 平面剖視圖,該設備設置在傳輸室內。圖1 2是例示在大氣 壓力傳輸機械手和圖1 1之第二基板傳輸設備之間,傳輸基 板之機構的視圖。參考圖式,設置在傳輸室400內之本發 • 明另一實施例的第二基板傳輸設備900,包括第一和第二 基板傳輸單元900a、900b,其對稱地設置在第二基板入口 5 1 0 內。 第一和第二基板傳輸單元900a、900b中的每一者, 包括供給旋轉力的驅動單元920、連接至驅動單元920的主 軸93 0、和連接至主軸93 0的迴轉板臂910。迴轉板臂分別 包括至少一個末端效應器9 1 2 (本實施例有有四個末端效 應器)。四個末端效應器912安裝至板臂91 1的末端,且板 臂911的另一端連接至主軸93 0。四個末端效應器912包括 -14- 200805547 (12) 具有段差的進入路徑914,所以大氣壓力傳輸機械手210的 末端效應器2 1 2可無干涉地進入。 爲了更順暢地接收和傳輸基板,四個末端效應器9 1 2 可設在板臂911的末端。雖然本實施例藉由第一和第二基 板傳輸單元900a、900b執行基板的裝載/卸載,但是也可 能只由第一和第二基板傳輸單元900a、900b其中任一者 ,連續地執行基板的裝載/卸載。此外,也可能有八個末 φ 端效應器安裝至單一板臂的修飾例。 擴張至處理室以處理四個或更多個基板 圖14是例示複數基板支撐台呈輻射狀結構配置在處理 室內的視圖,且第一基板傳輸設備包括複數迴轉板臂。如 圖14所例示,設置在處理室5 00內之基板支撐台520的數目 增加至9個,且第一基板傳輸設備800之迴轉板臂810的數 目也增加至9個,以對應基板支撐台520的數目。 0 如上所述,本發明之基板處理系統連續且同時地快速 交換複數未處理和已處理過的基板,所以可增加系統的處 理速率,且增加基板的整體生產力。因爲提供了能同時執 行裝載和卸載基板的基板傳輸設備,所以非常容易實施用 於處理複數基板的處理室。本發明用於傳輸基板的時間減 少了,所以增加了生產力。再者,本發明顯著地減少基板 處理系統的系統面積和系統寬度,所以可將設備成本和安 置成本最小化。 本發明已使用較佳的例示實施例做說明,但是應瞭解 -15- 200805547 (13) ’本發明的範圍並不限於所揭露的實施例。相反地,本發 明的範圍意欲包括在熟悉該項技藝人士之能力內,使用現 在已知的技術或未來的技術和其均等技術,對本發明之基 板傳輸設備和基板處理系統所做的各種修飾和取代性的配 置。因此,請求項的範圍應做最廣範圍的解釋,以含蓋全 部此等修飾和類似的配置。 φ 【圖式簡單說明】 藉由參考附圖詳細描述本發明的較佳實施例,該項技 藝中具有普通技術者,可更瞭解本發明之上述和其他特徵 及優點。附圖如下: 圖1是例示本發明實施例之基板處理系統整體構造的 視圖; 圖2是例示圖1基板處理系統的剖面平面圖; 圖3是例示設置在處理室內之第一基板傳輸設備的透 籲 視圖; 圖4是例示第一基板傳輸設備之迴轉板臂的構造和基 板的傳輸之視圖,; 圖5是例示插入基板支撐台內之迴轉板臂的視圖; 圖6是例示基板支撐台之凹槽和插入凹槽內之末端效 應器的視圖; 圖7是例示具有上驅動單元和下驅動單元之第一基板 傳輸設備的視圖; 圖8是例示具有被分成側單元之驅動單元的第一基板 -16 - 200805547 (14) 傳輸設備的視圖; 圖9是例不經由傳輸室將基板傳輸至處理室的視圖; 圖10是例不設置在傳輸室內之弟一基板傳輸設備的透 視圖; 圖11是例示本發明另一實施例之第二基板傳輸設備的 平面剖視圖,該設備設置在傳輸室內; 圖1 2是例示在大氣壓力傳輸機械手和圖i i之第二基板 • 傳輸設備之間,傳輸基板之機構的視圖; 圖1 3是例示雙臂型大氣壓力傳輸機械手的視圖; 圖14是例示複數基板支撐台呈輻射狀結構配置在處理 室內的視圖,且第一基板傳輸設備包括複數迴轉板臂。 【主要元件符號說明】 100 :分度盤 1 10 :載具 200 :裝載鎖定室 210:大氣壓力傳輸機械手 2 10a :雙臂式機械手 2 1 2 a :末端效應器 212b :末端效應器 3 0 0 :冷卻室 400 :傳輸室 410:第二基板入口 4 2 0 :溝槽 -17- 200805547 (15) 5 00 :處理室 5 1 0 :第一基板入口 5 1 5 :凹槽 520:基板支撐台 600 :第二基板傳輸設備 6 1 0 :線性板臂 6 10a :裝載臂 φ 610b :卸載臂 6 1 1 :末端效應器 620 :線性驅動單元 620a :裝載驅動單元 620b :卸載驅動單元 7 0 0 :電漿源 8 00 :第一基板傳輸設備 8 1 0 :迴轉板臂 參 8 1 1 :板臂 8 1 2 :末端效應器 8 1 3 :支撐部 8 2 0 :驅動單元 8 2 0 a :驅動單元 8 2 0b :驅動單元 830 :主軸 830a :主軸 830b :主軸 200805547 (16) 900 :第二基板傳輸設備 900a :第一基板傳輸單元 900b :第二基板傳輸單元 9 1 〇 :迴轉板臂 9 1 1 :板臂 9 1 2 :末端效應器 9 1 4 :進入路徑 _ 920:驅動單元 93 0 :主軸 W :基板200805547 (1) IX. Description of the Invention [Technical Field] The present invention relates to a substrate support table and a substrate processing system for a substrate transfer device, and more particularly to a substrate for continuously loading a plurality of substrates in a processing chamber/processing device In order to reduce the time taken to transport the substrate, and in particular to a substrate using the device [Prior Art] Recently, a cluster of a plurality of substrates can be simultaneously processed (usually used in the manufacture of liquid crystal displays, plasma face conductor devices). In a substrate processing system, a cluster system generally refers to a multi-chamber type substrate processing system including a transfer robot (or processor) and a plurality of substrate processing modules disposed therein. 9 The cluster system includes a transfer chamber and a transfer robot disposed in the transfer chamber Next to the transfer chamber for performing the process of processing the substrate. This cluster system simultaneously processes a plurality of processes/to increase the amount of substrate processed. To increase, a plurality of substrates are simultaneously processed in a single process chamber to process the amount of substrate. Although simultaneous (or continuous) in a single processing chamber, but before and after treatment The substrate does not cause time loss in the processing chamber. The transfer device, the use of the plate support table and the substrate for chamber unloading improve productivity, and the processing system, the system, the board display, and the semiconductor system, and the processing system The freely rotatable processing chamber around the hand, mounted on the transfer substrate or continuously performing the processing of the substrate, increases the amount of substrate processed per unit time, and efficiently exchanges, -4- 200805547 (2) Furthermore, when the conventional cluster The system consists of a hexagonal transfer chamber (basically consisting of four processing chambers and two load lock chambers). Due to the area occupied by the transfer chamber, the area of the cluster system and the configuration of the cluster system in the production line are very Importantly, the width of the entire cluster system is increased, which is greater than the desired width and size of the vacuum system required to maintain the transfer chamber in a vacuum state, resulting in increased equipment costs and placement costs. In addition, as the number of processing chambers set increases, the area of the transfer chamber increases more. φ Therefore, there is a need for a substrate processing system capable of simultaneously (or continuously) processing a plurality of substrates and capable of efficiently exchanging pre-process and post-process substrates in a processing chamber to process a plurality of substrates. SUMMARY OF THE INVENTION The present invention therefore provides a substrate support table, a substrate transfer apparatus, and a substrate processing system using the same, which apparatus has a configuration in which a substrate is efficiently processed. • The present invention also provides a substrate support table, a substrate transfer apparatus, and a substrate processing system using the same, which can reduce the time taken to transport the substrate and improve productivity. The present invention also provides a substrate support table, a substrate transfer device, and a substrate processing system using the same, which device has a small system area. The present invention also provides a substrate supporting table, a substrate transfer apparatus, and a substrate processing system using the same, which has a configuration capable of reducing the time spent in the process. The present invention also provides a substrate supporting table, a substrate transfer apparatus, and a substrate processing system using the same, which has a configuration in which the utilization of the processing chamber can be improved. The present invention also provides a substrate support table, a substrate transfer apparatus, and a substrate processing system using the same, which has significantly reduced system area and system width. The present invention also provides a substrate support table, a substrate transfer apparatus, and a substrate processing system using the same, which reduces the unnecessary volume area Φ to minimize equipment cost and installation cost. One aspect of the present invention provides a substrate transfer apparatus for a processing chamber, the processing chamber including at least one substrate support table. The apparatus includes a first substrate transport device that transports the substrate within the processing chamber, and the device includes at least one end effector to transport the substrates. In an exemplary embodiment of the present invention, the first substrate transfer device may include: at least one rotary plate arm having the end effector; and a driving unit that supplies a driving force to rotate, raise, and lower the rotary plate An arm; and • at least one spindle coupled to the drive unit to which the at least one swivel arm is mounted. In an exemplary embodiment of the present invention, the end effector of the first substrate transfer device may include: a horseshoe-shaped edge; a support portion formed in the edge to support the substrate; and an entry path formed End effectors for preventing objects that perform and externally exchange such substrates via substrate inlets formed within the processing chamber are disturbed. In an exemplary embodiment of the present invention, the first substrate transfer device can transmit the substrate transferred from the outside of the processing chamber to the substrate support table, and -6-200805547 (4) when the end effector is inserted into the substrate When the support table is supported, the substrate can be supported on the stage. In an exemplary embodiment of the present invention, when the substrates are processed, the first substrate transfer device allows the end effect substrate to be supported in the stage, and when the processing of the substrates is completed and exited from the substrate support table, From the substrate support table for transport. φ In an exemplary embodiment of the invention, the first substrate comprises: at least two different main shafts for independent rotation; and a swivel plate arm, respectively mounted to the different main shafts. In an exemplary embodiment of the present invention, the first substrate is at least one driving unit to supply a driving force to the two different ones. In an exemplary embodiment of the present invention, the substrate is transported with two substrate transfer devices to be formed in the processing chamber. The first substrate transfer device outside the processing chamber exchanges the first substrate in the exemplary embodiment of the present invention, the second substrate includes: at least one linear plate arm having at least one end effect movement; and at least one linear drive The unit is such that it reciprocates linearly. In an exemplary embodiment of the present invention, the second substrate includes: a driving unit for supplying a rotational force; at least one main driving unit; and at least one rotating plate arm including at least one mounted to the main shaft for rotation, having at least one The end effect substrate transfer device exchanges the substrates. The substrates are placed in the processing chamber and the device remains inserted in the end effector. The substrate transfer device can include at least two different transmission devices including the spindle. The device further includes a substrate inlet and a substrate. a transport device loadable device and a linear linear arm arm transport device can be wrapped, coupled to the rotary plate arm, and the first 200805547 (5). Another aspect of the present invention provides a substrate processing system, The system includes: a processing chamber including at least one substrate support table; and a first substrate transfer device to transport the substrate between the first substrate inlet formed in the processing chamber and the substrate support table within the processing chamber. In an exemplary embodiment of the present invention, the substrate processing system further includes: a transfer chamber connected to the first substrate inlet and having a second substrate inlet; and a second substrate transfer device disposed in the transfer chamber The substrates are transferred between the φ second substrate inlet and the first substrate inlet. In an exemplary embodiment of the invention, the substrate processing system further includes a load lock chamber coupled to the second substrate inlet, and includes an atmospheric pressure transfer robot to transfer the substrates between the index disk and the transfer chamber . In an exemplary embodiment of the present invention, the substrate processing system further includes a cooling chamber to cool the processed substrate discharged from the second substrate inlet, and the atmospheric pressure transmitting robot is discharged from the second substrate inlet. The processed substrates 'transported to the indexing disk via the cooling chamber. Φ Another aspect of the present invention provides a substrate support table disposed in a processing chamber to support a substrate. The substrate support table includes: a body; and at least one groove 'formed on an outer circumference of the body' to prevent the substrate support portion of the end effector from being inserted when the substrate of the substrate transfer device is inserted into the substrate support table Locked on the substrate support table. [Embodiment] The present invention may be embodied in various forms and should not be construed as being limited to the embodiments described herein. Instead, these embodiments are provided as an example of the present invention as compared to -8-200805547 (6). In the drawings, the thickness of layers and regions are exaggerated for clarity. A detailed description of well-known functions and constructions which obscure the subject matter of the present invention will be omitted. A substrate supporting table, a substrate transfer apparatus, and a substrate processing system using the same according to the present invention will hereinafter be described in detail with reference to the accompanying drawings. Again, the same numbers represent the same components that perform the same function. Basically, the present invention enables the substrate processing system to exchange substrates φ more efficiently, which can process a plurality of substrates to improve productivity. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a view showing an overall configuration of a substrate processing system according to an embodiment of the present invention, and Fig. 2 is a cross-sectional plan view showing the substrate processing system of Fig. 1. Referring to Figure 1, a substrate processing system in accordance with an embodiment of the present invention includes a processing chamber 500 and a transfer chamber 400. The plasma edge 700 is disposed on the rear side of the processing chamber 500, and the transfer chamber 400 is disposed in front of the processing chamber 500. The indexing plate 100 is disposed in front of the substrate processing system, and the plurality of carriers 110 are mounted in the indexing plate. The load lock chamber 200 is provided between the indexing plate 100 and the transfer chamber 400. • The indexing plate 1 is referred to as the device front end module (hereinafter referred to as EFEM), and in some cases is meant to include a load lock chamber. If desired, transfer chamber 400 can include a cooling chamber 300 for cooling the processed substrate. Otherwise, if the transfer chamber 1 is used to cool the processed substrate, the cooling chamber 300 can be omitted. If it is desired to preheat the substrate to be transferred to the processing chamber, a preheating chamber may be provided. When the cooling chamber 300 or the transfer chamber 400 is used for preheating purposes, the preheating chamber can be omitted. The first substrate inlet 5 10 is formed between the transfer chamber 400 and the first process chamber 500, and the second substrate inlet 410 is formed between the transfer chamber 400 and the load lock chamber 200805547 (7) 200. The first and second substrate inlets 5 1 0, 4 1 0 are opened or closed by a gap valve (not shown). The load lock chamber 200 includes an atmospheric pressure transfer robot 210 that operates at atmospheric pressure. The atmospheric pressure transmitting robot 210 transports the substrate between the transfer chamber 400 and the indexing disk 1 and transfers the substrate between the transfer chamber 400 and the cooling chamber. The atmospheric pressure transmitting robot 210 is rotatable, ascending, and descending, and four substrates W can be transferred from the carrier 110 to the transfer chamber 400. The φ atmospheric pressure transfer robot 210 can be executed by a one-arm robot having four end effectors 212. As another embodiment shown in FIG. 13, the atmospheric pressure transmission robot 210 can be executed by the two-arm robot 210a, which has a total of eight end effectors 2 1 2 a, 2 1 2 b, respectively, so that they can be exchanged simultaneously Four untreated (before processing) substrates and four processed substrates. The general semiconductor manufacturing process and the one-arm type or double-arm type robot of the present embodiment of the present invention relate to various robots used in the process, and can be used as the atmospheric pressure transmitting robot 210 or 210a. The four substrate support tables 520 are rectangularly disposed in the process chamber 500, wherein the first substrate transfer apparatus 800 is disposed in the center of the process chamber 500. The first substrate transfer device 800 includes four swivel plate arms, and four substrate support tables 52 are disposed on the path in which the swivel plate arms are swung. The processing chamber 5 〇 0 includes a plasma source 700 as a vacuum chamber to perform a predetermined plasma processing process. The processing chamber 500 can be constructed to perform various substrate processing operations. For example, the processing chamber 5 can be an ashing chamber for removing photoresist using a plasma, a chemical vapor deposition (CVD) chamber for depositing an insulating layer, for etching holes or openings in the insulating layer to form mutual A structured etching chamber, a physical vapor deposition (p V d -10- 200805547 (8) ) chamber for depositing a barrier layer, or a physical vapor deposition chamber for depositing a metal layer. The substrate w processed by the substrate processing system of the present embodiment of the present invention is usually a wafer substrate for manufacturing a semiconductor circuit or a glass substrate for manufacturing a liquid crystal display. In order to perform all the processes required to completely fabricate an integrated circuit or wafer, a complex processing system can be required, not only the substrate processing system of the present embodiment of the present invention. However, in order to clarify the invention, the ordinary constructions known to those skilled in the art are omitted. φ Figure 3 is a perspective view illustrating the first substrate transfer apparatus 800 disposed in the transfer chamber. Referring to Fig. 3, the substrate transfer apparatus 800 includes at least one swivel plate arm 810 (in this embodiment, four swivel plate arms) having an end effector 820. However, since the number of the rotary plate arms 81 is equal to the number of the substrate support tables 520 provided in the process chamber 500, at least one swing plate arm 810 is provided. The swivel plate arm 810 is mounted to a single main shaft 830 for rotation, ascending, and descending. The main shaft 83 0 is connected to the drive unit 820. The drive unit 820 supplies a drive example to rotate, raise, and lower the swing arm 8 1 0. Although not shown in the drawings, the driving unit 820 includes an electric motor for generating a driving force and a gear combination for transmitting the generated driving force to the main shaft 83 0, so that the plurality of rotating plate arms 810 perform desired. operation. Fig. 4 is a view illustrating the configuration of an end effector of a swivel plate arm. The plurality of swivel plate arms 810 include a plate arm 81 1 connected to the main shaft 830 and an end effector 8 1 2 provided at the end of the plate arm. The end effector 820 has a horseshoe shape and includes a support portion 913 for supporting the substrate. The arrangement and number of the support portions 81 1 are set to stably support the substrate W. Fig. 5 is a view exemplifying a rotary plate arm inserted into a substrate support table, and Figs. 6-11 - 200805547 (9) are views exemplifying the groove of the substrate support table and the end effector inserted into the groove. Referring to the drawings, the four rotating plate arms 81 of the first substrate transfer device transport the substrate from the outside of the processing chamber 500 to the substrate supporting table 520, and when the end effector 812 is inserted into the substrate supporting table 520, the substrate is It is placed on the substrate support table 520. In order to prevent the protruding support portion 813 of the end effector from being locked by the substrate supporting table 520', each substrate supporting table 520 has at least one groove 515 formed on the outer circumference of the body of the substrate supporting table 52 0 . The number of concave φ grooves 5 1 5 can be appropriately set. When processed in the substrate reprocessing chamber 500, the first substrate transfer device 800 keeps the end effector 812 in a state of being inserted into the substrate supporting table 520. In this case, the position of the first substrate transfer device 800 is lower than the substrate W placed on the upper side of the substrate support table 520 to prevent the substrate from being improperly affected during the process of processing the substrate. When the processing of the substrate is completed, the end effector 820 is withdrawn from the substrate supporting table 520, and the substrate is lifted from the substrate supporting table 520 to transfer the substrate W. ® Fig. 7 is a view illustrating a first substrate transfer apparatus having an upper drive unit and a lower drive unit, and Fig. 8 is a view illustrating a first substrate transfer apparatus having a drive unit divided into side units. As illustrated in Figures 7 and 8, the first substrate transfer apparatus 800 can include two spindles 830a, 830b disposed on the upper and lower sides, respectively, or on the right and left sides, respectively. The two spindles 8 3 0 a and 83 0b may respectively include two rotary plate arms 810. In order to drive the spindles 8 3 0a, 830b, two drive units 820a, 820b may be provided. In another embodiment, a suitable gear combination and gearbox can be used to form a single drive unit. In addition, the number and configuration of the main shaft and the drive unit can be variously changed. -12-200805547 (10) FIG. 9 is a view illustrating the transfer of the substrate to the processing chamber via the transfer chamber, and FIG. 1 is a view illustrating the second set in the transfer chamber. A perspective view of a substrate transfer device. Referring to the drawings, the transfer chamber 400 further includes a second substrate transfer device 600 for exchanging substrates with the first substrate transfer device 800 outside the process chamber 500 via the first substrate inlet 510. The second substrate transfer apparatus 600 includes at least one linear plate arm 6 10 that reciprocates. In this embodiment, the linear plate arm 610 includes a loading arm 610a provided on the upper side and an unloading arm 610b provided on the lower side. The linear plate arm 610 is coupled to the linear drive unit 620 to linearly reciprocate. The linear drive unit 620 can include a load drive unit 6 2 0 a and an unload drive unit 6 2 0 b. The linear drive unit 620 is disposed outside the transfer chamber 400 and is coupled to the linear plate arm 610 via a groove 420 formed in a sidewall of the transfer chamber 400. The exchange of the unprocessed and processed substrates between the transfer chamber 400 and the process chamber 500 is performed by the first and second substrate transfer devices 800, 600. In this case, the transfer chamber 400 is switched to the same vacuum state as the process chamber 500 when the second substrate inlet 410 is closed, and then the substrate is exchanged when the first substrate inlet 510 is opened. As illustrated in Fig. 9, before the substrate is exchanged, the first substrate transfer apparatus 800 takes the substrate from the substrate support table 520 and is disposed at the first substrate inlet 5 10 . The unloading arm 61 Ob of the second substrate transfer apparatus 600 receives the processed substrate, and when linearly reciprocating, the unloading arm 61b Ob is withdrawn from the first substrate transfer apparatus 800. Next, the main shaft 83 0 of the first substrate transfer apparatus 800 rises and is positioned at the loading position. The loading arm of the second substrate transfer apparatus 600 -13 - 200805547 (11) 6 1 0 a linearly reciprocates and transports the unprocessed substrate. When the exchange of the substrates is completed, the first substrate inlet is closed, and as described above, the first substrate transfer device 800 transfers the substrates to the substrate support table 520. The substrate exchange between the transfer chamber 400 and the load lock chamber 200 is performed after the substrate exchange between the transfer chamber 400 and the process chamber 500 is completed. The transfer chamber 400 is switched from the vacuum state to the atmospheric pressure state, and when the second substrate inlet 410 is opened, the atmospheric pressure transfer robot 210 in the load lock chamber 200 performs the exchange of the unprocessed substrate and the processed substrate. Although the one-arm type atmospheric pressure transmitting robot 210 is used in the embodiment of the present invention, as shown in Fig. 13, when the dual-arm type atmospheric pressure transmitting robot 210 is used, the exchange of the substrates can be performed faster. Figure 11 is a plan sectional view showing a second substrate transfer apparatus according to another embodiment of the present invention, the apparatus being disposed in a transfer chamber. Fig. 12 is a view illustrating a mechanism for transporting a substrate between the atmospheric pressure transmitting robot and the second substrate transporting device of Fig. 11. Referring to the drawings, a second substrate transfer apparatus 900 of another embodiment disposed in the transfer chamber 400 includes first and second substrate transfer units 900a, 900b symmetrically disposed at the second substrate inlet 5 Within 1 0. Each of the first and second substrate transfer units 900a, 900b includes a drive unit 920 that supplies a rotational force, a main shaft 93 0 that is coupled to the drive unit 920, and a swivel plate arm 910 that is coupled to the main shaft 93 0 . The swivel plate arms each include at least one end effector 9 1 2 (there are four end effectors in this embodiment). Four end effectors 912 are mounted to the ends of the plate arms 91 1 and the other end of the plate arms 911 is coupled to the main shaft 93 0 . The four end effectors 912 include -14-200805547 (12) an entry path 914 having a step difference so that the end effector 2 1 2 of the atmospheric pressure transmitting robot 210 can enter without interference. In order to receive and transport the substrate more smoothly, four end effectors 91 may be provided at the ends of the plate arms 911. Although the present embodiment performs loading/unloading of the substrate by the first and second substrate transfer units 900a, 900b, it is also possible to continuously perform the substrate by only one of the first and second substrate transfer units 900a, 900b. Load/unload. In addition, there may be modifications to the installation of eight end φ end effectors to a single plate arm. Expanding to the Process Chamber to Process Four or More Substrates Figure 14 is a view illustrating a plurality of substrate support stages disposed in a processing chamber in a radial configuration, and the first substrate transfer apparatus includes a plurality of swivel plate arms. As illustrated in FIG. 14, the number of substrate support tables 520 disposed in the process chamber 500 is increased to nine, and the number of the rotary plate arms 810 of the first substrate transfer device 800 is also increased to nine to correspond to the substrate support table. The number of 520. As described above, the substrate processing system of the present invention rapidly and continuously exchanges a plurality of unprocessed and processed substrates, so that the processing rate of the system can be increased and the overall productivity of the substrate can be increased. Since a substrate transfer apparatus capable of simultaneously loading and unloading substrates is provided, it is very easy to implement a processing chamber for processing a plurality of substrates. The time for transferring the substrate of the present invention is reduced, so productivity is increased. Moreover, the present invention significantly reduces the system area and system width of the substrate processing system, thereby minimizing equipment cost and installation cost. The present invention has been described in terms of a preferred exemplary embodiment, but it should be understood that the scope of the invention is not limited to the disclosed embodiments. Rather, the scope of the present invention is intended to include various modifications and modifications to the substrate transfer device and substrate processing system of the present invention, within the capabilities of those skilled in the art, using the presently known or future technologies and their equivalents. Alternative configuration. Therefore, the scope of the request should be interpreted in its broadest scope to cover all such modifications and similar configurations. BRIEF DESCRIPTION OF THE DRAWINGS The above and other features and advantages of the present invention will become more apparent from the <RTIgt; 1 is a view showing an overall configuration of a substrate processing system according to an embodiment of the present invention; FIG. 2 is a cross-sectional plan view showing the substrate processing system of FIG. 1. FIG. 3 is a view showing a first substrate transfer device disposed in a processing chamber. FIG. 4 is a view illustrating a configuration of a rotary plate arm of the first substrate transfer apparatus and a transfer of the substrate; FIG. 5 is a view illustrating a rotary plate arm inserted into the substrate support table; FIG. 6 is a view illustrating the substrate support table. a view of the groove and the end effector inserted into the groove; FIG. 7 is a view illustrating a first substrate transfer device having an upper drive unit and a lower drive unit; FIG. 8 is a view illustrating the first drive unit having the divided unit Substrate-16 - 200805547 (14) View of the transmission device; FIG. 9 is a view for transmitting the substrate to the processing chamber without passing through the transfer chamber; FIG. 10 is a perspective view of a substrate transfer device not disposed in the transfer chamber; 11 is a plan sectional view showing a second substrate transfer apparatus according to another embodiment of the present invention, the apparatus being disposed in a transfer chamber; FIG. 12 is an illustration of an atmospheric pressure transfer machine And a view of a mechanism for transferring a substrate between the second substrate and the transfer device of FIG. ii; FIG. 13 is a view illustrating a dual-arm type atmospheric pressure transmission robot; FIG. 14 is a view showing a plurality of substrate support tables arranged in a radial structure The view of the interior of the chamber is processed, and the first substrate transfer device includes a plurality of swivel plate arms. [Main component symbol description] 100: Indexing plate 1 10: Vehicle 200: Load lock chamber 210: Atmospheric pressure transmission robot 2 10a: Two-arm robot 2 1 2 a : End effector 212b: End effector 3 0 0 : cooling chamber 400 : transfer chamber 410 : second substrate inlet 4 2 0 : trench 17 - 200805547 (15) 5 00 : processing chamber 5 1 0 : first substrate inlet 5 1 5 : recess 520: substrate Support table 600: second substrate transfer device 6 1 0 : linear plate arm 6 10a : load arm φ 610b : unloading arm 6 1 1 : end effector 620 : linear drive unit 620a : load drive unit 620b : unload drive unit 7 0 0: plasma source 8 00: first substrate transfer device 8 1 0 : swivel plate arm 8 1 1 : plate arm 8 1 2 : end effector 8 1 3 : support portion 8 2 0 : drive unit 8 2 0 a : drive unit 8 2 0b : drive unit 830 : spindle 830a : spindle 830b : spindle 200805547 (16) 900 : second substrate transfer device 900a : first substrate transfer unit 900b : second substrate transfer unit 9 1 〇: swivel plate arm 9 1 1 : Plate arm 9 1 2 : End effector 9 1 4 : Entry path _ 920: Drive unit 93 0 : Spindle W: Substrate

Claims (1)

200805547 (1) 十、申請專利範圍 1. 一種處理室的基板傳輸設備,該處理室包含至少一 基板支撐台,該設備包含: 一第一基板傳輸裝置,其在該處理室內傳輸基板,且 該裝置包括至少一末端效應器,以傳輸該等基板。 2 .如申請專利範圍第1項所述處理室的基板傳輸設備 ,其中該第一基板傳輸裝置包含: • 至少一迴轉板臂,其具有該末端效應器; 一驅動單元,其供給驅動力,以迴轉、上升、和下降 該迴轉板臂;和 至少一主軸,其連接至該驅動單元,該至少一迴轉板 臂安裝至該主軸。 3 .如申請專利範圍第1項所述處理室的基板傳輸設備 ,其中該第一基板傳輸裝置的該末端效應器包含: 一馬蹄形邊緣; • 一支撐部,其形成在該邊緣內,以支撐該基板;和 一進入路徑,其形成用於當經由形成於該處理室內之 基板入口而在該處理室和外部之間執行交換該等基板時, 防止干擾在該外部執行交換該等基板之物體的末端效應器 〇 4.如申請專利範圍第3項所述處理室的基板傳輸設備 ,其中該第一基板傳輸裝置傳輸從該處理室之該外部傳輸 至該基板支撐台的該基板,且當該末端效應器插入該基板 支撐台時,將該等基板放在該基板支撐台上。 -20- 200805547 (2) 5 .如申請專利範圍第4項所述處理室的基板傳輸設備 ,其中當該等基板在該處理室內處理時,該第一基板傳輸 裝置讓該末端效應器保持插在基板支撐台內,且當完成該 等基板的處理和該末端效應器從該基板支撐台退出時,從 該基板支撐台舉升該等基板以傳輸。 6.如申請專利範圍第2項所述處理室的基板傳輸設備 ,其中該第一基板傳輸裝置包含: • 至少二不同的主軸,以獨立地旋轉;和 至少二不同的迴轉板臂,分別安裝至該等不同的主軸 〇 7 .如申請專利範圍第6項所述處理室的基板傳輸設備 ,其中該第一基板傳輸裝置包含至少一驅動單元,以供給 驅動力至該二不同的主軸。 8 .如申請專利範圍第1項所述處理室的基板傳輸設備 ,更包含一第二基板傳輸裝置,以經由形成在處理室內的 • 基板入口和在該處理室外部的該第一基板傳輸裝置交換該 等基板。 9. 如申請專利範圍第8項所述處理室的基板傳輸設備 ,其中該第二基板傳輸裝置包含: 至少一線性板臂,其具有至少一末端效應器和線性往 復運動;和 至少一線性驅動單元,以使該至少一線性板臂線性地 往復運動。 10. 如申請專利範圍第8項所述處理室的基板傳輸設備 -21 - 200805547 (3) ’其中該第二基板傳輸裝置包含: 一驅動單元,以供給旋轉力; 至少一主軸,連接至該驅動單元;和 至少一迴轉板臂,其包括至少一迴轉板臂是安裝至該 主軸以迴轉、具有至少一末端效應器、和該第一基板傳輸 裝置交換該等基板。 1 1 · 一種基板處理系統,包含: • 一處理室,包括至少一基板支撐台;和 一第一基板傳輸裝置,以在形成於該處理室內的第一 基板入口和在該處理室內的該基板支撐台之間傳輸基板。 1 2 ·如申請專利範圍第i j項所述基板處理系統,更包 含: 一傳輸室,其連接至第一基板入口,且具有第二基板 入口;和 一第二基板傳輸裝置,其設置在該傳輸室內,以在該 • 第二基板入口和該第一基板入口之間傳輸該等基板。 1 3 .如申請專利範圍第1 2項所述基板處理系統,更包 含連接至該第二基板入口的裝載鎖定室,和包括大氣壓力 傳輸機械手,以在分度盤和該傳輸室之間傳輸該等基板。 14.如申請專利範圍第12項所述基板處理系統,更包 含冷卻室,以冷卻由該第二基板入口排出之已處理過的基 板, 其中該大氣壓力傳輸機械手,將由該第二基板入口排 出之該等已處理過的基板,經由該冷卻室傳輸至該分度盤 -22- 200805547 (4) 15.—種基板支撐台,其設置在處理室內以支撐基板 ,該基板支撐台包含: 一本體;和 至少一凹槽,形成在該本體的外圓周,以在基板傳輸 設備的末端效應器插入該基板支撐台時,防止該末端效應 器的基板支撐部被該基板支撐台鎖住。200805547 (1) X. Patent application scope 1. A substrate transfer device for a processing chamber, the process chamber comprising at least one substrate support table, the device comprising: a first substrate transfer device, wherein the substrate is transported in the processing chamber, and the device The device includes at least one end effector to transport the substrates. 2. The substrate transfer apparatus of the processing chamber of claim 1, wherein the first substrate transfer device comprises: • at least one rotary plate arm having the end effector; and a drive unit that supplies a driving force, To rotate, raise, and lower the swivel arm; and at least one main shaft coupled to the drive unit, the at least one swivel arm being mounted to the main shaft. 3. The substrate transfer apparatus of the processing chamber of claim 1, wherein the end effector of the first substrate transfer device comprises: a horseshoe-shaped edge; • a support portion formed in the edge to support The substrate; and an entry path formed to prevent interference with the externally performing the exchange of the substrates when the substrates are exchanged between the processing chamber and the outside via the substrate inlet formed in the processing chamber The substrate transfer device of the processing chamber of claim 3, wherein the first substrate transfer device transports the substrate transferred from the outside of the processing chamber to the substrate support table, and When the end effectors are inserted into the substrate support table, the substrates are placed on the substrate support table. The substrate transfer apparatus of the processing chamber of claim 4, wherein the first substrate transfer device allows the end effector to be inserted when the substrates are processed in the processing chamber Within the substrate support table, and when the processing of the substrates is completed and the end effector exits from the substrate support, the substrates are lifted from the substrate support for transport. 6. The substrate transfer apparatus of the processing chamber of claim 2, wherein the first substrate transfer device comprises: • at least two different main shafts for independent rotation; and at least two different rotary plate arms, respectively mounted The substrate transfer device of the process chamber of claim 6, wherein the first substrate transfer device includes at least one drive unit to supply a driving force to the two different main axes. 8. The substrate transfer apparatus of the processing chamber of claim 1, further comprising a second substrate transfer device for passing through the substrate inlet formed in the processing chamber and the first substrate transfer device outside the processing chamber Exchange the substrates. 9. The substrate transfer apparatus of the processing chamber of claim 8, wherein the second substrate transfer device comprises: at least one linear plate arm having at least one end effector and linear reciprocating motion; and at least one linear drive a unit to linearly reciprocate the at least one linear plate arm. 10. The substrate transfer apparatus of the processing chamber of claim 8 - 200805547 (3) 'where the second substrate transfer device comprises: a drive unit for supplying a rotational force; at least one spindle coupled to the And a drive unit; and at least one swivel plate arm including at least one swivel arm mounted to the main shaft for rotation, having at least one end effector, and the first substrate transfer device exchanging the substrates. 1 1 · A substrate processing system comprising: • a processing chamber including at least one substrate support table; and a first substrate transfer device for the first substrate inlet formed in the processing chamber and the substrate within the processing chamber Transfer the substrate between the support tables. The substrate processing system of claim ij, further comprising: a transfer chamber connected to the first substrate inlet and having a second substrate inlet; and a second substrate transfer device disposed at the The transfer chamber transfers the substrates between the second substrate inlet and the first substrate inlet. The substrate processing system of claim 12, further comprising a load lock chamber connected to the second substrate inlet, and including an atmospheric pressure transfer robot to be between the index plate and the transfer chamber The substrates are transferred. 14. The substrate processing system of claim 12, further comprising a cooling chamber for cooling the processed substrate discharged from the second substrate inlet, wherein the atmospheric pressure transmitting robot is to be accessed by the second substrate The processed substrates are discharged to the indexing plate through the cooling chamber-22-200805547 (4) 15. A substrate supporting table disposed in the processing chamber to support the substrate, the substrate supporting table comprising: And a body formed on the outer circumference of the body to prevent the substrate support portion of the end effector from being locked by the substrate support table when the end effector of the substrate transfer device is inserted into the substrate support table. -23--twenty three-
TW096115955A 2006-05-04 2007-05-04 Substrate supporting table, substrate transferring apparatus, and substrate processing system using the same TWI431708B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060040698A KR100818044B1 (en) 2006-05-04 2006-05-04 Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same

Publications (2)

Publication Number Publication Date
TW200805547A true TW200805547A (en) 2008-01-16
TWI431708B TWI431708B (en) 2014-03-21

Family

ID=38667915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096115955A TWI431708B (en) 2006-05-04 2007-05-04 Substrate supporting table, substrate transferring apparatus, and substrate processing system using the same

Country Status (3)

Country Link
KR (1) KR100818044B1 (en)
TW (1) TWI431708B (en)
WO (1) WO2007129838A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI550759B (en) * 2011-05-12 2016-09-21 聯達科技控股有限公司 A system and method using multiple component pane handlers configured to handle and transfer component panes

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100919828B1 (en) * 2008-01-09 2009-10-01 주식회사 뉴파워 프라즈마 Multi substrate processing system and substrate transfer equipment therefor
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
KR101486243B1 (en) 2008-06-10 2015-01-27 주식회사 뉴파워 프라즈마 Substrate transfer apparatus
KR101014746B1 (en) * 2008-09-19 2011-02-15 주식회사 에스에프에이 Loading and unloading apparatus for wafer of solar battery
CN102356459B (en) * 2009-03-18 2014-05-14 Oc欧瑞康巴尔斯公司 Vacuum treatment apparatus
WO2010106012A2 (en) * 2009-03-18 2010-09-23 Oc Oerlikon Balzers Ag Method of inline manufacturing a solar cell panel
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
JP2013165241A (en) * 2012-02-13 2013-08-22 Yaskawa Electric Corp Transporting apparatus
US9162880B2 (en) 2012-09-07 2015-10-20 LuxVue Technology Corporation Mass transfer tool
KR101446114B1 (en) * 2012-12-12 2014-10-07 (주)유알시스 Exchange devices of glass
JP6420609B2 (en) * 2013-11-21 2018-11-07 株式会社Screenホールディングス Substrate transport method and substrate processing apparatus
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3005179B2 (en) * 1995-08-21 2000-01-31 アプライド マテリアルズ インコーポレイテッド Shutter device for sputtering equipment
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7217076B2 (en) * 2001-08-31 2007-05-15 Asyst Technologies, Inc. Semiconductor material handling system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI550759B (en) * 2011-05-12 2016-09-21 聯達科技控股有限公司 A system and method using multiple component pane handlers configured to handle and transfer component panes

Also Published As

Publication number Publication date
TWI431708B (en) 2014-03-21
KR100818044B1 (en) 2008-03-31
KR20070108004A (en) 2007-11-08
WO2007129838A1 (en) 2007-11-15

Similar Documents

Publication Publication Date Title
TWI431708B (en) Substrate supporting table, substrate transferring apparatus, and substrate processing system using the same
TWI476855B (en) Substrate transferring apparatus and high speed substrate processing system using the same
JP6957667B2 (en) Mixed platform equipment, systems, and methods for substrate processing
KR100814238B1 (en) Substrate transfer equipment and substrate processing system using the same
TWI618177B (en) Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US11024531B2 (en) Optimized low energy / high productivity deposition system
TWI623055B (en) Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
TWI790458B (en) Robot for simultaneous substrate transfer
US11355367B2 (en) Robot for simultaneous substrate transfer
TW200811985A (en) Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
TW200826222A (en) Apparatus for manufacturing semiconductor device
TWI753655B (en) Dual load lock chamber and processing system including the dual load lock chamber
TWI474430B (en) Apparatuses, systems and methods for treating substrate
US11358809B1 (en) Vacuum robot apparatus for variable pitch access
TWI721937B (en) Cobalt substrate processing systems, apparatus, and methods
US20200384636A1 (en) Dual pitch end effector robot apparatus, dual pitch load locks, systems, and methods
KR101413762B1 (en) Substrate processing system
KR101383248B1 (en) High speed substrate processing system
KR20100135626A (en) Substrate transfering apparatus and substrate processing system having the same
KR101364583B1 (en) Substrate processing system
KR101367899B1 (en) System for substrate processing
KR101416780B1 (en) High speed substrate process system
KR101412063B1 (en) Advanced high speed substrate processing system with low cost high throughput
KR20100098086A (en) Substrate processing system
JP2003100841A (en) Substrate processing system

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees