KR101367899B1 - System for substrate processing - Google Patents

System for substrate processing Download PDF

Info

Publication number
KR101367899B1
KR101367899B1 KR1020070047923A KR20070047923A KR101367899B1 KR 101367899 B1 KR101367899 B1 KR 101367899B1 KR 1020070047923 A KR1020070047923 A KR 1020070047923A KR 20070047923 A KR20070047923 A KR 20070047923A KR 101367899 B1 KR101367899 B1 KR 101367899B1
Authority
KR
South Korea
Prior art keywords
substrate
process chamber
transfer
entrance
chamber
Prior art date
Application number
KR1020070047923A
Other languages
Korean (ko)
Other versions
KR20080101319A (en
Inventor
위순임
Original Assignee
위순임
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 위순임 filed Critical 위순임
Priority to KR1020070047923A priority Critical patent/KR101367899B1/en
Publication of KR20080101319A publication Critical patent/KR20080101319A/en
Application granted granted Critical
Publication of KR101367899B1 publication Critical patent/KR101367899B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

본 발명은 복수 매의 기판들을 연속적으로 공정 챔버로 로딩/언로딩하여 처리할 수 있는 기판 처리 시스템에 관한 것으로, 기판 처리 시스템은 제1기판 출입구를 갖는 공정 챔버와; 상기 공정 챔버의 중심을 기준으로 방사상으로 배치되는 기판 지지부들; 상기 공정 챔버에 설치되고, 상기 기판 지지부들 상으로 기판을 전달하는 제1 반송 장치를 포함한다.The present invention relates to a substrate processing system capable of continuously loading / unloading a plurality of substrates into a process chamber, the substrate processing system comprising: a process chamber having a first substrate entrance; Substrate supports disposed radially with respect to the center of the process chamber; And a first transfer device installed in the process chamber and transferring the substrate onto the substrate supports.

기판, 반송, 챔버 Substrate, transport, chamber

Description

기판 처리 시스템{SYSTEM FOR SUBSTRATE PROCESSING} Substrate Processing System {SYSTEM FOR SUBSTRATE PROCESSING}

본 발명의 상세한 설명에서 사용되는 도면을 보다 충분히 이해하기 위하여, 각 도면의 간단한 설명이 제공된다.BRIEF DESCRIPTION OF THE DRAWINGS For a more complete understanding of the drawings used in the detailed description of the present invention, a brief description of each drawing is provided.

도 1은 본 발명의 실시예에 따른 기판 처리 시스템의 전체 구성을 보여주는 도면이다. 1 is a view showing the overall configuration of a substrate processing system according to an embodiment of the present invention.

도 2는 도 1의 기판 처리 시스템에서 제1반송 장치가 펼쳐진 상태를 보여주는 도면이다. FIG. 2 is a diagram illustrating an unfolded state of a first transport apparatus in the substrate processing system of FIG. 1.

도 3은 회전 플레이트 암들이 기판 지지대에 끼워진 상태를 보여주는 도면이다.3 is a view showing a state in which the rotating plate arms are fitted to the substrate support.

도 4는 공정 챔버에 설치된 제1 반송 장치의 사시도이다.4 is a perspective view of a first conveying apparatus installed in a process chamber.

도 5 및 도 6은 기판 지지대의 홈 형성 구조와 그 곳에 엔드 이펙터가 끼워진 상태를 보여주는 도면이다.5 and 6 are views illustrating a groove forming structure of the substrate support and an end effector fitted therein.

*도면의 주요 부분에 대한 부호의 설명*Description of the Related Art [0002]

100: 인덱스 110 : 대기압 반송 로봇100: Index 110: Atmospheric pressure conveying robot

120 : 캐리어 200 : 공정 챔버120: carrier 200: process chamber

210 : 기판 지지부 300 : 트랜스퍼 챔버210: substrate supporting part 300: transfer chamber

600 : 제1 반송 장치600: first conveying device

본 발명은 기판 처리 시스템에 관한 것으로, 좀 더 구체적으로는 복수 매의 기판들을 연속적으로 공정 챔버로 로딩/언로딩하여 처리할 수 있는 기판 처리 시스템에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing system, and more particularly, to a substrate processing system capable of processing by loading / unloading a plurality of substrates into a process chamber continuously.

최근, 액정 디스플레이 장치, 플라즈마 디스플레이 장치, 반도체 장치들의 제조를 위한 기판 처리 시스템들은 복수 매의 기판을 일관해서 처리할 수 있는 클러스터 시스템이 일반적으로 채용되고 있다.2. Description of the Related Art In recent years, a substrate processing system for manufacturing liquid crystal display devices, plasma display devices, and semiconductor devices has generally employed a cluster system capable of processing a plurality of substrates in a single process.

일반적으로, 클러스터(cluster) 시스템은 반송 로봇(또는 핸들러; handler)과 그 주위에 마련된 복수의 기판 처리 모듈을 포함하는 멀티 챔버형 기판 처리 시스템을 지칭한다. Generally, a cluster system refers to a multi-chamber type substrate processing system that includes a carrier robot (or handler) and a plurality of substrate processing modules provided therearound.

클러스터 시스템은 반송실(transfer chamber)과 반송실내에 회동이 자유롭게 마련된 반송 로봇을 구비한다. 반송실의 각 변에는 기판의 처리 공정을 수행하기 위한 공정 챔버가 장착된다. 이와 같은 클러스터 시스템은 복수개의 기판을 동시에 처리하거나 또는 여러 공정을 연속해서 진행 할 수 있도록 함으로 기판 처리량을 높이고 있다. 기판 처리량을 높이기 위한 또 다른 노력으로는 하나의 공정 챔버에서 복수 매의 기판을 동시에 처리하도록 하여 시간당 기판 처리량을 높이도록 하고 있다.The cluster system includes a transfer chamber and a transfer robot provided freely rotatable in the transfer chamber. At each side of the transport chamber, a process chamber for carrying out the processing process of the substrate is mounted. Such a cluster system increases the throughput of a substrate by simultaneously processing a plurality of substrates or allowing various processes to proceed in succession. Another effort to increase the substrate throughput is to process a plurality of substrates simultaneously in one process chamber to increase the substrate throughput per hour.

그런데, 공정 챔버가 복수 매의 기판을 동시(또는 연속적으로)에 처리하더라 도 공정 챔버에 처리 전후의 기판들이 효율적으로 교환되지 못하는 경우 시간적 손실이 발생하게 된다.However, even when the process chamber processes a plurality of substrates simultaneously (or continuously), time loss occurs when the substrates before and after the process are not efficiently exchanged in the process chamber.

또한, 통상적인 클러스터 시스템은 6각형의 반송실을 구성하는 데 있어서(기본적으로 4개의 공정 챔버와 2개의 로드 락 챔버로 구성되는 경우), 반송실이 차지하는 면적 때문에 시스템전체의 면적은 물론, 제조 라인 내의 시스템배치에 있어서 중시되는 시스템 폭이 필요이상으로 증가되고, 반송실을 진공상태로 유지시키는 데 필요한 진공시스템의 규모가 증가되어 장치비 및 설치비가 증가하게 된다. 또한, 이러한 반송실의 면적은, 설치되는 공정챔버의 개수가 증가함에 따라서 더욱 가중된다. In addition, since a typical cluster system constitutes a hexagonal transport chamber (basically composed of four process chambers and two load lock chambers), the area occupied by the transport chamber is not limited to the total area of the system, The system width which is important in the layout of the system in the line is increased more than necessary and the size of the vacuum system required to keep the transport chamber in a vacuum is increased, resulting in an increase in equipment cost and installation cost. Further, the area of the transport chamber is further increased as the number of process chambers to be installed increases.

그럼으로 복수 매의 기판을 처리하는 공정 챔버에서 복수 매의 기판을 동시(또는 연속적으로)에 처리하는 것과 더불어 처리 전후의 기판들을 보다 효율적으로 교환할 수 있는 기판 처리 시스템이 요구되고 있다.Thus, there is a need for a substrate processing system that can simultaneously (or continuously) treat a plurality of substrates in a process chamber that processes a plurality of substrates, and more efficiently exchange substrates before and after the process.

본 발명은 동일 개수의 프로세스 챔버를 구비하면서도 반도체 처리 장치가 평면상에 차지하는 면적을 획기적으로 감소시킬 수 있는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.An object of the present invention is to provide a substrate processing system and a substrate processing method thereof which can dramatically reduce an area occupied by a semiconductor processing apparatus on a plane while having the same number of process chambers.

또한, 본 발명은 반도체 제조 공장 내의 공간상 레이아웃을 효율적으로 이용할 수 있는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is another object of the present invention to provide a substrate processing system and a substrate processing method thereof that can efficiently utilize a spatial layout in a semiconductor manufacturing factory.

또한, 본 발명은 불필요한 진공면적을 축소함으로써 장치비 및 설치비를 최 소화할 수 있는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.In addition, an object of the present invention is to provide a substrate processing system and a substrate processing method capable of minimizing an apparatus cost and an installation cost by reducing an unnecessary vacuum area.

또한, 본 발명은 하나의 트랜스퍼 로봇에 대응하는 처리모듈의 개수를 줄여 스루풋을 향상시킬 수 있는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is another object of the present invention to provide a substrate processing system and a substrate processing method that can improve the throughput by reducing the number of processing modules corresponding to one transfer robot.

또한, 본 발명은 효율적으로 기판을 처리할 수 있는 구조를 가지는 기판 반송 장치를 갖는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is another object of the present invention to provide a substrate processing system having a substrate transfer apparatus having a structure capable of efficiently processing a substrate and a substrate processing method thereof.

또한, 본 발명은 기판의 반송 시간을 감소시켜 생산성을 향상시킬 수 있는 기판 반송 장치를 갖는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is another object of the present invention to provide a substrate processing system having a substrate transfer apparatus capable of reducing the transfer time of a substrate and improving productivity, and a substrate processing method thereof.

또한, 본 발명은 작은 구동 면적을 가지는 기판 반송 장치를 갖는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is still another object of the present invention to provide a substrate processing system having a substrate transfer apparatus having a small driving area and a substrate processing method thereof.

또한, 본 발명은 공정에 소요되는 시간을 단축할 수 있는 구조를 가지는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다. It is another object of the present invention to provide a substrate processing system having a structure capable of shortening the time required for a process and a substrate processing method thereof.

또한, 본 발명은 공정 챔버의 가동률을 향상시킬 수 있는 구조를 가지는 기판 처리 시스템 및 그 기판 처리 방법을 제공하는 것을 목적으로 한다.It is another object of the present invention to provide a substrate processing system having a structure capable of improving the operation rate of a process chamber and a substrate processing method thereof.

상기한 기술적 과제를 달성하기 위한 본 발명의 기판 처리 시스템은 제1기판 출입구를 갖는 공정 챔버와; 상기 공정 챔버에 설치되는 기판 지지부들; 상기 공정 챔버에 설치되고, 상기 기판 지지부들 상으로 기판을 전달하는 제1 반송 장치를 포함한다.The substrate processing system of the present invention for achieving the above technical problem is a process chamber having a first substrate entrance and exit; Substrate supports installed in the process chamber; And a first transfer device installed in the process chamber and transferring the substrate onto the substrate supports.

일 실시예에 있어서, 상기 기판 지지부들은 상기 공정 챔버의 중심을 기준으로 방사상으로 배치된다.In one embodiment, the substrate supports are disposed radially about the center of the process chamber.

일 실시예에 있어서, 상기 제1 반송 장치는 상기 제1 기판 출입구를 통하여 외부로부터/로 처리 전후의 기판을 인수 인계하되, 인수된 처리 전 기판을 상기 기판 지지부들 상으로 반송하고, 상기 기판 지지부들 상에서 처리된 처리 후 기판들을 상기 제1 기판 출입구를 통하여 외부로 인계한다.In one embodiment, the first transfer device is to take over the substrate before and after the processing from the outside / through the first substrate entrance, the transfer the substrate before the processing onto the substrate support, the substrate support After processing on the substrates, the substrates are turned over to the outside through the first substrate entrance.

일 실시예에 있어서, 상기 제1 반송 장치는 엔드 이펙터를 갖고 상기 기판 지지부들 상으로 각각 회동되는 회전 플레이트 암들; 상기 회전 플레이트 암들의 회전과 승/하강을 위한 구동력을 제공하는 구동부; 및 상기 구동부에 연결되며 상기 회전 플레이트 암들이 장착되는 하나 이상의 스핀들을 포함한다.In one embodiment, the first conveying device comprises: rotating plate arms having end effectors and pivoted onto the substrate supports, respectively; A driving unit providing a driving force for rotating and lifting / lowering the rotating plate arms; And one or more spindles connected to the drive unit and on which the rotating plate arms are mounted.

일 실시예에 있어서, 상기 엔드 이펙터는 말편자 형상의 테두리; 기판을 지지하기 위하여 테두리에 형성된 지지부; 및 상기 공정 챔버의 제1 기판 출입구를 통하여 외부와 기판 교환시 외부에서 기판 교환을 수행하는 대상물의 엔드 이펙터와 간섭이 발생되지 않도록 형성된 진입 통로를 포함한다.In one embodiment, the end effector comprises a horseshoe shaped border; A support portion formed at an edge to support the substrate; And an entry passage formed to prevent interference with an end effector of an object performing substrate exchange from the outside during substrate exchange with the outside through the first substrate entrance and exit of the process chamber.

일 실시예에 있어서, 기판 지지부는 외주면에 형성되어 상기 제1 반송 장치의 엔드 이펙터가 끼워질 때 상기 엔드 이펙터의 기판 지지부가 걸리지 않도록 형성된 하나 이상의 홈을 포함한다.In one embodiment, the substrate support includes one or more grooves formed on an outer circumferential surface so that the substrate support of the end effector is not caught when the end effector of the first conveying device is fitted.

일 실시예에 있어서, 상기 제1 반송 장치는 상기 공정 챔버의 외부에서 전달 된 기판들을 상기 기판 지지부들 상으로 반송하고; 상기 기판 지지부들 각각에 상기 엔드 이펙터가 끼워지는 과정에서 기판을 상기 기판 지지부들에 내려놓는다.In one embodiment, the first conveying apparatus conveys the substrates transferred from the outside of the process chamber onto the substrate supports; The substrate is lowered to the substrate supports while the end effector is fitted to each of the substrate supports.

일 실시예에 있어서, 상기 제1 반송 장치는 상기 공정 챔버에서 기판 처리가 진행되는 동안 상기 엔드 이펙터가 상기 기판 지지부에 끼워진 상태를 유지하고; 기판 처리가 완료되면 상기 기판 지지부로부터 상기 엔드 이펙터가 빠져 나오는 과정에서 상기 기판 지지부로부터 기판을 들어 올려 반송시킨다.In one embodiment, the first conveying apparatus maintains the end effector fitted to the substrate support while substrate processing is performed in the process chamber; When the substrate processing is completed, the substrate is lifted from the substrate support while being conveyed by the end effector from the substrate support.

일 실시예에 있어서, 상기 기판 처리 시스템은 상기 공정 챔버의 외부에서 상기 공정 챔버의 제1 기판 출입구를 통하여 상기 제1 반송 장치와 기판을 교환하는 제2 반송 장치를 더 포함한다.In one embodiment, the substrate processing system further includes a second conveying device for exchanging a substrate with the first conveying device through a first substrate entrance and exit of the process chamber outside of the process chamber.

일 실시예에 있어서, 상기 기판 처리 시스템은 상기 제1 기판 출입구에 연결되고, 제2 기판 출입구가 개설된 트랜스퍼 챔버; 및 상기 트랜스퍼 챔버에 설치되어 상기 제2 기판 출입구와 상기 제1 기판 출입구 사이에서 기판을 전달하는 제2 반송 장치를 더 포함한다.In one embodiment, the substrate processing system further includes: a transfer chamber connected to the first substrate entry port and having a second substrate entry port; And a second transfer device installed in the transfer chamber to transfer a substrate between the second substrate entrance and the first substrate entrance and exit.

일 실시예에 있어서, 상기 제2 반송 장치는 상기 제2 기판 출입구를 사이에 두고 양편으로 대칭되게 설치되는 제1 및 제2 반송부를 포함한다.In one embodiment, the second transport apparatus includes first and second transport sections symmetrically disposed on opposite sides of the second substrate entry / exit port.

일 실시예에 있어서, 상기 기판 처리 시스템은 상기 제2 기판 출입구에 연결되고, 인덱스와 상기 트랜스퍼 챔버 사이에서 기판 반송을 담당하는 대기압 반송 로봇을 갖는 로드락 챔버를 더 포함한다.In one embodiment, the substrate processing system further comprises a load lock chamber coupled to the second substrate entrance and having an atmospheric transfer robot responsible for substrate transfer between the index and the transfer chamber.

예컨대, 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되어 지는 것으로 해석되어져서는 안 된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공 되어지는 것이다. 따라서, 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이다. 각 도면을 이해함에 있어서, 동일한 부재는 가능한 한 동일한 참조부호로 도시하고자 함에 유의하여야 한다. 그리고 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 공지 기능 및 구성에 대한 상세한 기술은 생략된다.For example, embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited by the embodiments described below. The present embodiments are provided to enable those skilled in the art to more fully understand the present invention. Therefore, the shapes and the like of the elements in the drawings are exaggerated in order to emphasize a clearer explanation. It should be noted that, in understanding each of the figures, the same elements are represented by the same reference numerals whenever possible. And detailed descriptions of known functions and configurations that may unnecessarily obscure the gist of the present invention are omitted.

(실시예)(Example)

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 설명함으로써, 본 발명의 기판 처리 시스템을 상세히 설명한다. 또, 상기 도면들에서 동일한 기능을 수행하는 구성 요소에 대해서는 동일한 참조 번호를 병기한다. Hereinafter, the substrate processing system of the present invention will be described in detail with reference to the preferred embodiments of the present invention with reference to the accompanying drawings. In the drawings, the same reference numerals are used to denote elements performing the same function.

본 발명의 기본적인 의도는 바닥면적이 작은 기판 처리 시스템을 제공한다. 또한, 복수매의 기판 처리 능력을 구비한 기판 처리 시스템을 제공한다. 또한, 효율적인 기판 교환 방식에 기반하여 보다 많은 매수의 기판을 동시에 처리할 수 있는 기판 처리 시스템을 제공한다.  The basic intention of the present invention is to provide a substrate processing system with a small footprint. Also provided is a substrate processing system having a plurality of substrate processing capabilities. In addition, the present invention provides a substrate processing system capable of simultaneously processing a larger number of substrates based on an efficient substrate exchange method.

도 1은 본 발명의 실시예에 따른 기판 처리 시스템의 전체 구성을 보여주는 도면이다. 도 2는 도 1의 기판 처리 시스템에서 제1반송 장치가 펼쳐진 상태를 보여주는 도면이다. 1 is a view showing the overall configuration of a substrate processing system according to an embodiment of the present invention. FIG. 2 is a diagram illustrating an unfolded state of a first transport apparatus in the substrate processing system of FIG. 1.

도면들을 참조하여, 본 발명의 실시예에 따른 기판 처리 시스템(10)은 후방에 복수의 기판 지지부(210)가 설치된 공정 챔버(200)가 구비되고, 그 앞단으로 트 랜스퍼 챔버(300)가 설치된다. 기판 처리 시스템(10)의 전방에는 캐리어(120)가 장착되는 인덱스(100)가 구비되며, 인덱스(100)와 트랜스퍼 챔버(300) 사이에는 로드 락 챔버(130)가 구비된다.Referring to the drawings, the substrate processing system 10 according to the embodiment of the present invention is provided with a process chamber 200 in which a plurality of substrate supports 210 are installed at the rear, and the transfer chamber 300 is provided at the front end thereof. Is installed. An index 100 on which the carrier 120 is mounted is provided in front of the substrate processing system 10 and a load lock chamber 130 is provided between the index 100 and the transfer chamber 300.

인덱스(100)는 설비 전방 단부 모듈(equipment front end module, 이하 EFEM)이라고도 하며 때로는 로드 락 챔버를 포괄하여 명칭 된다. 로드락 챔버(130) 또는 트랜스퍼 챔버(300)에는 필요에 따라 처리 후 기판을 냉각하기 위한 냉각 챔버(미도시됨)가 구비될 수 있다. 또는 트랜스퍼 챔버(300)가 냉각 처리 기능을 수행하도록 한다면, 별도의 냉각 챔버는 생략될 수도 있을 것이다. 공정 챔버(200)로 진행하는 기판에 대한 예열이 필요한 경우에도 별도의 예열 챔버를 구비하도록 할 수 있으며, 냉각 챔버 또는 트랜스퍼 챔버(300)가 예열 기능을 수행하도록 한다면 별도로 구비치 않을 수도 있다.Index 100 is sometimes referred to as a facility front end module (EFEM) and sometimes a load lock chamber. The load lock chamber 130 or the transfer chamber 300 may be provided with a cooling chamber (not shown) for cooling the post-processing substrate, if necessary. Or the transfer chamber 300 to perform the cooling processing function, the separate cooling chamber may be omitted. A separate preheating chamber may be provided even if preheating of the substrate to the process chamber 200 is required and it may not be separately provided if the cooling chamber or transfer chamber 300 is to perform the preheating function.

트랜스퍼 챔버(300)와 공정 챔버(200) 사이로 제1 기판 출입구(202)가 개설되어 있으며, 트랜스퍼 챔버(300)와 로드락 챔버(130) 사이에는 제2 기판 출입구(302)가 개설되어 있다. 제1 및 제2 기판 출입구(202, 302)는 각각 슬릿 밸브(미도시)에 의해 개폐 작동된다.A first substrate inlet port 202 is formed between the transfer chamber 300 and the process chamber 200 and a second substrate port 302 is formed between the transfer chamber 300 and the load lock chamber 130. The first and second substrate entrances 202 and 302 are opened and closed by slit valves (not shown), respectively.

로드 락 챔버(130)는 대기압에서 동작되는 대기압 반송 로봇(110)이 구비된다. 대기압 반송 로봇(110)은 트랜스퍼 챔버(300)와 캐리어(120) 사이에서 기판 이송을 담당하며 회동, 승강 및 하강이 가능하다. 대기압 반송 로봇(110)은 캐리어(120)로부터 일회 동작에 5장의 기판(W)을 반출하여 트랜스퍼 챔버(300)로 반입할 수 있다.The load lock chamber 130 is equipped with an atmospheric pressure conveying robot 110 operated at atmospheric pressure. The atmospheric pressure conveying robot 110 is capable of pivoting, elevating and lowering the substrate transferring between the transfer chamber 300 and the carrier 120. The atmospheric pressure conveying robot 110 can take five substrates W out of the carrier 120 in a single operation and carry them into the transfer chamber 300.

이를 위하여 대기압 반송 로봇(110)은 동시에 5장의 처리 전 기판과 5장의 처리 후 기판을 동시에 교환할 수 있도록 총 10개의 앤드 이펙터를 각각 구비한 더블 암 구조를 갖는 로봇으로 구성될 수 있다. 또는, 적어도 다섯 개의 엔드 이펙터(end effector)를 구비한 싱글 암 구조의 로봇을 사용할 수도 있다. 이와 같이 대기압 반송 로봇(110)은 본 실시예에서 보여주는 더블 암 또는 싱글 암 구조의 방식 이외에도 통상적인 반도체 제조 공정에서 사용되는 다양한 로봇들이 사용될 수 있다.For this purpose, the atmospheric pressure conveying robot 110 may be configured as a robot having a double arm structure having a total of 10 end effectors so that five substrates can be exchanged at the same time and five substrates after processing can be simultaneously exchanged. Alternatively, a robot having a single arm structure having at least five end effectors may be used. As described above, the atmospheric pressure conveying robot 110 can use various robots used in a conventional semiconductor manufacturing process in addition to the double arm or single arm structure shown in the present embodiment.

공정 챔버(200)에는 5개의 기판 지지부(210)가 방사형으로 배치되고 그 중심부에 제1 반송 장치(600)가 배치된다. 제1 반송 장치(600)는 5개의 회전 플레이트 암(610)을 갖으며, 다섯 개의 기판 지지부(210)는 회전 플레이트 암(610)들이 회전하는 경로 상에 배치된다. 공정 챔버(200)는 소정의 플라즈마 처리 공정을 수행하기 위한 진공 챔버로서, 플라즈마 소스(미도시됨)가 구비될 수 있다. 공정 챔버(200)는 다양한 기판 프로세싱 작동들을 수행하도록 구성될 수 있다. 예를 들어, 포토 레지스트를 제거하기 위해서 플라즈마를 이용하여 포토 레지스트를 제거하는 애싱(ashing) 챔버일 수 있고, 절연막을 증착시키도록 구성된 CVD(Chemical Vapor Deposition) 챔버일 수 있고, 인터커넥트 구조들을 형성하기 위해 절연막에 애퍼쳐(aperture)들이나 개구들을 에치하도록 구성된 에치 챔버일 수 있다. 또는 장벽(barrier) 막을 증착시키도록 구성된 PVD 챔버일 수 있으며, 금속막을 증착시키도록 구성된 PVD 챔버일 수 있다.In the process chamber 200, five substrate supporting portions 210 are arranged in a radial manner, and a first transfer device 600 is disposed at the center. The first conveying device 600 has five rotating plate arms 610, and five substrate supports 210 are disposed on a path through which the rotating plate arms 610 rotate. The process chamber 200 is a vacuum chamber for performing a predetermined plasma processing process, and may be provided with a plasma source (not shown). The process chamber 200 may be configured to perform various substrate processing operations. For example, it can be an ashing chamber that removes the photoresist using plasma to remove the photoresist, a CVD (Chemical Vapor Deposition) chamber configured to deposit an insulating film, and form interconnect structures. And an etch chamber configured to etch apertures or openings in the insulating film. Or a PVD chamber configured to deposit a barrier film, and may be a PVD chamber configured to deposit a metal film.

본 기판 처리 시스템에서 처리되는 피 처리 기판(W)은 대표적으로 반도체 회 로를 제조하기 위한 웨이퍼 기판이거나 액정 디스플레이를 제조하기 위한 유리 기판이다. 본 기판 처리 시스템의 도시된 구성 외에도 집적 회로 또는 칩의 완전한 제조에 요구되는 모든 프로세스를 수행하기 위해 다수의 프로세싱 시스템들이 요구될 수 있다. 그러나 본 발명의 명확한 설명을 위하여 통상적인 구성이나 당업자 수준에서 이해될 수 있는 구성들은 생략하였다.The substrate W to be processed in the substrate processing system is typically a wafer substrate for producing a semiconductor circuit or a glass substrate for producing a liquid crystal display. In addition to the illustrated configuration of the present substrate processing system, multiple processing systems may be required to perform all of the processes required for the complete fabrication of an integrated circuit or chip. However, for the sake of clarity, the conventional structures or configurations that can be understood by those skilled in the art are omitted.

도 3은 회전 플레이트 암들이 기판 지지부에 끼워진 상태를 보여주는 도면이고, 도 4는 공정 챔버에 설치된 제1 반송 장치의 사시도이다.3 is a view showing a state in which the rotating plate arms are fitted to the substrate support, Figure 4 is a perspective view of the first conveying device installed in the process chamber.

도 3 및 도 4에 도시된 바와 같이, 공정 챔버(200)에 설치된 제1 반송 장치(600)는 엔드 이펙터(611)를 갖고 회동되는 5개의 회전 플레이트 암(610)을 구비한다. 예컨대, 제1 반송 장치(600)는 복수의 회전 플레이트 암(610)이 개별적으로 회전될 수 있도록 복수의 구동부와 각각의 구동부에 연결되는 복수의 스핀들을 가질 수도 있다. 이 실시예에서는 5개의 회전 플레이트 암(610)을 구비한다. 그러나, 회전 플레이트 암(610)의 개수는 공정 챔버(200)에 구비되는 기판 지지부(210)의 개수와 동일하게 구비될 수 있다. 회전 플레이트 암(610)은 하나의 스핀들(620)에 장착되어 회동 및 승하강 된다. 스핀들(620)은 구동부(630)에 연결된다. 구동부(630)는 회전 플레이트 암(610)의 회전과 승/하강을 위한 구동력을 제공한다. 도면에는 구체적으로 도시하지 않았으나, 구동부(630)는 구동력을 발생하는 전기 모터와 발생된 구동력을 스핀들(620)로 전달하여 다수의 회전 플레이트 암(610)들이 원하는 동작을 수행하도록 하는 기어 어셈블리가 포함된다.As shown in FIGS. 3 and 4, the first conveying device 600 installed in the process chamber 200 has five rotating plate arms 610 that are rotated with end effectors 611. For example, the first conveying device 600 may have a plurality of drives and a plurality of spindles connected to the respective drives so that the plurality of rotating plate arms 610 can be rotated individually. In this embodiment, five rotating plate arms 610 are provided. However, the number of rotating plate arms 610 may be the same as the number of substrate support portions 210 provided in the process chamber 200. The rotating plate arm 610 is mounted on one spindle 620 and is pivoted and moved up and down. The spindle 620 is connected to the driver 630. The driving unit 630 provides a driving force for rotating and raising / lowering the rotating plate arm 610. Although not shown specifically, the driving unit 630 includes an electric motor for generating a driving force and a gear assembly for transmitting a generated driving force to the spindle 620 so that a plurality of rotating plate arms 610 perform desired operations do.

다시 도 1을 참조하면, 5개의 회전 플레이트 암(610)은 12시,10시 그리고 8 시 방향에 위치하는 기판 지지부(210) 각각으로 기판을 로딩/언로딩하기 위해 좌측 방향으로 펼쳐지는 3개의 회전 플레이트 암과, 2시 그리고 4시 방향에 위치하는 기판 지지부(210) 각각으로 기판을 로딩/언로딩하기 위해 우측 방향방향으로 펼쳐지는 2개의 회전 플레이트 암을 포함한다. 5개의 회전 플레이트 암(610)은 도 2에서 도시된 바와 같이, 2,4,8,10,12 방향(부채꼴 형상)으로 펼쳐지도록 동작하며 회전 및 승강과 하강이 가능하다. Referring again to FIG. 1, the five rotating plate arms 610 are spread out to the left to load / unload the substrate into substrate support portions 210 positioned at 12, 10 and 8 o'clock, respectively. And a rotating plate arm and two rotating plate arms deployed in the right direction for loading / unloading the substrate into each of the substrate supports 210 positioned at the 2 o'clock and 4 o'clock directions. As shown in FIG. 2, the five rotating plate arms 610 operate to unfold in 2, 4, 8, 10, and 12 directions (a fan-shaped shape) and are capable of rotating, lifting and lowering.

도면에는 구체적으로 도시하지 않았으나, 구동부(630)는 회전력을 발생하는 전기 모터와 발생된 회전력을 스핀들(620)로 전달하여 다수의 회전 플레이트 암(610)들이 원하는 동작을 수행하도록 하는 기어 어셈블리가 포함된다. 그럼으로 다수의 회전 플레이트 암(610)들은 스핀들(620)에 장착되어, 도 2에 도시된 바와 같이, 서로 다른 회전 반경을 갖고 공정 챔버(200)의 제1출입구(202)를 마주보는 대기 위치(6시 방향)에서 좌우 방향으로 펼쳐지고 접혀지는 동작을 수행한다. Although not shown in detail in the figure, the driving unit 630 includes an electric motor for generating a rotational force and a gear assembly for transmitting the generated rotational force to the spindle 620 to perform a desired operation of the plurality of rotational plate arms 610 do. As such, a number of rotating plate arms 610 are mounted to the spindle 620 and, as shown in FIG. 2, have a different turning radius and face the first entrance 202 of the process chamber 200. (6 o'clock) performs the operation of unfolding and folding in the left and right directions.

도 5 및 도 6은 기판 지지부의 홈 형성 구조와 그 곳에 엔드 이펙터가 끼워진 상태를 보여주는 도면이다. 회전 플레이트 암(610)은 그 끝단에 엔드 이펙터(611)가 구성된다. 엔드 이펙터(611)는 말편자 형상을 갖고, 기판 지지를 위한 지지부(613)를 갖는다. 지지부(613)는 기판(W)을 안전하게 지지할 수 있는 배치 구조와 개수로 구비된다.5 and 6 are views illustrating a groove forming structure of the substrate support and a state in which the end effector is inserted therein. Rotating plate arm 610 has an end effector 611 at its end. The end effector 611 has a horseshoe shape and has a support 613 for supporting a substrate. The support part 613 is provided in an arrangement structure and a number that can safely support the substrate (W).

도면을 참조하여, 제1 반송 장치(600)의 5개의 회전 플레이트 암(610)은 공정 챔버(200)의 외부에서 전달된 기판을 5개의 기판 지지부(210)로 각각 반송하고, 기판 지지부(210)에 엔드 이펙터(611)가 끼워지는 과정에서 기판을 기판 지지 부(210)에 내려놓는다. 이 과정에서 엔드 이펙터(611)의 돌출된 지지부(613)가 기판 지지부(210)에 걸리지 않도록 하기 위하여 기판 지지부(210)는 기판 지지부 몸체의 외주면에 적절한 개수와 구조로 형성된 하나 이상의 홈(212)을 갖는다.Referring to the drawings, the five rotating plate arms 610 of the first conveying apparatus 600 convey the substrates transferred from the outside of the process chamber 200 to the five substrate supporting portions 210, respectively, and the substrate supporting portion 210. In the process of inserting the end effector 611 into the substrate), the substrate is lowered to the substrate support part 210. In order to prevent the protruding support portion 613 of the end effector 611 from being caught by the substrate support portion 210, the substrate support portion 210 has one or more grooves 212 formed in an appropriate number and structure on the outer circumferential surface of the substrate support body. Has

제1 반송 장치(600)는 공정 챔버(200)에서 기판 처리가 진행되는 동안 엔드 이펙터(611)가 기판 지지부(210)에 끼워진 상태를 유지한다. 이때, 제1 반송 장치(600)는 전체적으로 기판 지지부(210)의 상부 표면에 놓여진 기판(W) 보다 낮게 위치됨으로 기판 처리 과정에서 기판에 부적절한 영향을 미치지 못한다. 기판 처리가 완료되면 기판 지지부(210)로부터 엔드 이펙터(611)가 빠져 나오는 과정에서 다시 기판 지지부(210)로부터 기판(W)을 들어 올려 반송시킨다. The first transfer device 600 maintains the end effector 611 fitted to the substrate support 210 while substrate processing is performed in the process chamber 200. In this case, the first conveying device 600 is located lower than the substrate W placed on the upper surface of the substrate support part 210 as a whole and does not improperly affect the substrate during the substrate processing. When the substrate processing is completed, the substrate W is lifted and transported from the substrate support 210 again in the process of exiting the end effector 611 from the substrate support 210.

도 1 및 도 2에 도시된 바와 같이, 트랜스퍼 챔버(300)에 설치된 제2 반송 장치(700)는 제2 기판 출입구(302)를 사이에 두고 양편으로 대칭되게 설치되는 제1 및 제2 반송부(700a, 700b)를 구비한다. 제1 반송부(700a)와 제2 반송부(700b)는 공정 챔버(100)로의 로딩과 언로딩을 각각 담당한다. 제1 및 제2 반송부(700a, 700b)는 각기 회전력을 제공하는 구동부, 구동부에 연결되는 스핀들, 그리고 스핀들에 연결되는 회전 플레이트 암(712)을 갖는다. 회전 플레이트 암(712)은 복수개의 엔드 이펙터를 갖는데 이 실시예에서는 5개가 구비된다. 5개의 엔드 이펙터는 플레이트 암(712)의 끝단에 장착되고, 회전 플레이트 암(712)의 다른 끝단은 스핀들에 연결된다. 5개의 엔드 이펙터는 대기압 반송 로봇(110)의 엔드 이펙터가 간섭되지 않고 진입할 수 있도록 턱지게 진입 통로가 마련될 수 있다.As shown in FIG. 1 and FIG. 2, the second conveying apparatus 700 installed in the transfer chamber 300 includes first and second conveying portions that are symmetrically installed on both sides with a second substrate entrance and exit 302 interposed therebetween. 700a and 700b are provided. The 1st conveyance part 700a and the 2nd conveyance part 700b are responsible for loading and unloading into the process chamber 100, respectively. The first and second conveying parts 700a and 700b each have a driving part for providing rotational force, a spindle connected to the driving part, and a rotating plate arm 712 connected to the spindle. Rotating plate arm 712 has a plurality of end effectors, five of which are provided in this embodiment. Five end effectors are mounted at the ends of the plate arm 712 and the other end of the rotating plate arm 712 is connected to the spindle. The five end effectors can be provided with a chin-entry path so that the end effector of the atmospheric pressure conveying robot 110 can enter without interference.

좀 더 유연한 기판의 인수인계를 위하여 회전 플레이트 암(712)의 끝단에서 5개의 엔드 이펙터가 회동 가능한 구조를 갖도록 할 수도 있다. 이 실시예에서 두 개의 제1 및 제2 반송부(700a, 700b)를 사용하여 로딩/언로딩을 분할하여 담당하도록 하고 있으나 어느 하나만을 사용하여 순차적으로 로딩과 언로딩 동작을 수행하도록 담당하도록 할 수도 있다. 또는 10개의 엔드 이펙터를 하나의 플레이트 암에 장착하는 구조로의 변형도 가능하다.Five end effectors at the end of the rotating plate arm 712 may have a rotatable structure for more flexible substrate takeover. In this embodiment, although the first and second transport units 700a and 700b are used to divide the loading / unloading unit, the loading / unloading unit may take charge of sequential loading and unloading operations It is possible. Or a structure in which ten end effectors are mounted on one plate arm.

트랜스퍼 챔버(300)와 공정 챔버(200) 사이에서 처리 후 기판과 처리 전 기판의 교환은 제1 및 제2 반송 장치에 의해서 수행된다. 이때, 트랜스퍼 챔버(300)는 제2 기판 출입구(302)가 폐쇄된 상태에서 공정 챔버(200)와 동일한 진공 상태로 전환되고, 이어 제1 기판 출입구(202)가 열리고 기판 교환이 진행된다. 기판 교환 과정에 앞서서, 제1 반송 장치(600)는 기판 지지부(210)로부터 기판을 걷어와 제1 기판 출입구(202) 앞에 대기한다.The exchange of the substrate after the treatment and the substrate before the treatment between the transfer chamber 300 and the process chamber 200 is performed by the first and second conveying apparatus. At this time, the transfer chamber 300 is switched to the same vacuum state as the process chamber 200 in a state in which the second substrate entrance 302 is closed, and then the first substrate entrance 202 is opened and the substrate exchange is performed. Prior to the substrate exchange process, the first transfer device 600 pulls the substrate from the substrate support 210 and waits in front of the first substrate entrance 202.

제2 반송 장치(700)의 제2반송부(700b)는 회전 왕복 운동을 하면서 제1 반송 장치(600)로부터 처리된 5장의 기판을 인계 받아 나온다. 이어 제1 반송 장치(600)의 스핀들(620)이 승강하여 로딩 위치에 정렬된다. 제2 반송 장치(700)의 제1반송부(700a)가 화전 왕복 운동을 하면서 처리 전 기판들을 제1 반송 장치(600)로 인계한다. 기판 교환이 완료되면, 제1 기판 출입구(202)가 폐쇄되고, 상술한 바와 같이 제1 반송 장치(600)는 기판을 기판 지지부(210)들로 반송한다.The 2nd conveyance part 700b of the 2nd conveyance apparatus 700 takes over the five board | substrates processed from the 1st conveyance apparatus 600, carrying out a rotary reciprocating motion. The spindle 620 of the first conveying device 600 is then lifted and aligned in the loading position. The first conveying unit 700a of the second conveying apparatus 700 takes over the substrates before the process to the first conveying apparatus 600 while reciprocating the fire. When the substrate exchange is completed, the first substrate entrance 202 is closed, and as described above, the first transfer device 600 transfers the substrate to the substrate support portions 210.

트랜스퍼 챔버(300)와 공정 챔버(200) 사이의 기판 교환이 완료된 후, 트랜스퍼 챔버(300)와 로드락 챔버(130) 사이에서 기판 교환이 진행된다. 트랜스퍼 챔버(300)는 진공 기압에서 대기압으로 전환되며, 제2 기판 출입구(302)가 열린 상태 에서 로드락 챔버(130)에 위치한 대기압 반송 로봇(110)이 처리 후 기판과 처리 전 기판을 교환한다. After the substrate exchange between the transfer chamber 300 and the process chamber 200 is completed, the substrate exchange is performed between the transfer chamber 300 and the load lock chamber 130. The transfer chamber 300 is converted from vacuum pressure to atmospheric pressure, and the atmospheric transfer robot 110 located in the load lock chamber 130 exchanges the substrate after processing with the substrate after the second substrate entrance 302 is open. .

본 발명에 따른 기판 처리 시스템은 다양하게 변형될 수 있고 여러 가지 형태를 취할 수 있다. 하지만, 본 발명은 상기의 상세한 설명에서 언급되는 특별한 형태로 한정되는 것이 아닌 것으로 이해되어야 하며, 오히려 첨부된 청구범위에 의해 정의되는 본 발명의 정신과 범위 내에 있는 모든 변형물과 균등물 및 대체물을 포함하는 것으로 이해되어야 한다.The substrate processing system according to the present invention can be variously modified and take various forms. It is to be understood, however, that the invention is not to be limited to the specific forms thereof, which come within the scope of the appended claims, and all such modifications and equivalents are intended to be included within the spirit and scope of the invention as defined by the appended claims. .

상술한 바와 같이, 본 발명은 복수 매의 기판을 동시에 또는 연속적으로 처리하는 기판 처리 시스템에서 처리 전/후의 기판 교환을 신속히 수행할 수 있어서 시스템의 처리율을 높여서 전체적인 기판의 생산성을 높일 수 있다. 또한, 기판의 로딩과 언로딩을 동시에 수행하는 기판 반송 장치가 제공됨으로서 복수 매의 기판 처리를 위한 공정 챔버의 구현이 매우 용이하다. 또한, 본 발명은 기판의 반송 시간을 감소시켜 생산성을 향상시킬 수 있다. 또한 본 발명은 시스템의 면적 및 시스템 폭을 획기적으로 축소함으로써 장치비 및 설치비를 최소화할 수 있다.As described above, the present invention can quickly perform substrate exchange before and after the treatment in a substrate processing system that simultaneously or continuously processes a plurality of substrates, thereby increasing the throughput of the system to increase the overall substrate productivity. In addition, since a substrate transfer apparatus that simultaneously performs loading and unloading of a substrate is provided, it is very easy to implement a process chamber for processing a plurality of substrates. Further, the present invention can improve the productivity by reducing the substrate transportation time. Further, the present invention can drastically reduce the area and system width of the system, thereby minimizing the equipment cost and the installation cost.

Claims (12)

제1기판 출입구를 갖는 공정 챔버와;A process chamber having a first substrate entrance; 상기 공정 챔버에 설치되는 기판 지지부들;Substrate supports installed in the process chamber; 상기 공정 챔버에 설치되고, 상기 기판 지지부들 상으로 기판을 전달하되, Is installed in the process chamber, to transfer the substrate onto the substrate support, 엔드 이펙터를 갖고 상기 기판 지지부들 상으로 각각 회동되는 회전 플레이트 암들, 상기 회전 플레이트 암들의 회전과 승강 및 하강을 위한 구동력을 제공하는 구동부, 및 상기 구동부에 연결되며 상기 회전 플레이트 암들이 장착되는 하나 이상의 스핀들로 이루어진 제1 반송 장치를 포함하는 것을 특징으로 하는 기판 처리 시스템에 있어서,Rotating plate arms each having an end effector that is pivoted onto the substrate supports, a drive unit providing driving force for rotation, lifting and lowering of the rotating plate arms, and one or more connected to the drive unit and mounted with the rotating plate arms. A substrate processing system comprising a first conveying device made of a spindle, 상기 제1 반송 장치는The first conveying device 상기 공정 챔버에서 기판 처리가 진행되는 동안 상기 엔드 이펙터가 상기 기판 지지부에 끼워진 상태를 유지하고;The end effector remains fitted to the substrate support while substrate processing is in progress in the process chamber; 기판 처리가 완료되면 상기 기판 지지부로부터 상기 엔드 이펙터가 빠져 나오는 과정에서 상기 기판 지지부로부터 기판을 들어 올려 반송시키는 것을 특징으로 하는 기판 처리 시스템.And after the substrate processing is completed, lifting the substrate from the substrate support while conveying the end effector from the substrate support. 제1항에 있어서,The method of claim 1, 상기 기판 지지부들은 상기 공정 챔버의 중심을 기준으로 방사상으로 배치되는 것을 특징으로 하는 기판 처리 시스템.And the substrate supports are disposed radially with respect to the center of the process chamber. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 제1 반송 장치는 상기 제1 기판 출입구를 통하여 외부로부터 처리 전후의 기판을 인수인계하되,The first conveying device takes over the substrate before and after the process from the outside through the first substrate entrance, 인수된 처리 전 기판을 상기 기판 지지부들 상으로 반송하고, 상기 기판 지지부들 상에서 처리된 처리 후 기판들을 상기 제1 기판 출입구를 통하여 외부로 인계하는 기판 처리 시스템.A substrate processing system for conveying a pre-processed substrate onto the substrate supports, and taking over substrates after processing processed on the substrate supports to the outside through the first substrate entrance. 삭제delete 제1항에 있어서, The method of claim 1, 상기 엔드 이펙터는 The end effector 말편자 형상의 테두리;Horseshoe-shaped border; 기판을 지지하기 위하여 테두리에 형성된 지지부; 및A support portion formed at an edge to support the substrate; And 상기 공정 챔버의 제1 기판 출입구를 통하여 외부와 기판 교환시 외부에서 기판 교환을 수행하는 대상물의 엔드 이펙터와 간섭이 발생되지 않도록 형성된 진입 통로를 포함하는 것을 특징으로 하는 기판 처리 시스템.And an entry passage formed to prevent interference with an end effector of an object performing substrate exchange from the outside during substrate exchange with the outside through the first substrate entrance and exit of the process chamber. 제5항에 있어서, The method of claim 5, 기판 지지부는Board support 외주면에 형성되어 상기 제1 반송 장치의 엔드 이펙터가 끼워질 때 상기 엔드 이펙터의 기판 지지부가 걸리지 않도록 형성된 하나 이상의 홈을 포함하는 것을 특징으로 하는 기판 처리 시스템.And at least one groove formed on an outer circumferential surface and formed so that the substrate support of the end effector is not caught when the end effector of the first conveying device is fitted. 제1항에 있어서, The method of claim 1, 상기 제1 반송 장치는The first conveying device 상기 공정 챔버의 외부에서 전달된 기판들을 상기 기판 지지부들 상으로 반송하고; 상기 기판 지지부들 각각에 상기 엔드 이펙터가 끼워지는 과정에서 기판을 상기 기판 지지부들에 내려놓는 것을 특징으로 하는 기판 처리 시스템.Conveying substrates transferred from the outside of the process chamber onto the substrate supports; And lowering the substrate onto the substrate supports while the end effector is fitted to each of the substrate supports. 삭제delete 제1항에 있어서, The method of claim 1, 상기 기판 처리 시스템은The substrate processing system 상기 공정 챔버의 외부에서 상기 공정 챔버의 제1 기판 출입구를 통하여 상기 제1 반송 장치와 기판을 교환하는 제2 반송 장치를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.And a second transfer device for exchanging the substrate with the first transfer device through a first substrate entrance and exit of the process chamber outside of the process chamber. 제1항에 있어서, The method of claim 1, 상기 기판 처리 시스템은The substrate processing system 상기 제1 기판 출입구에 연결되고, 제2 기판 출입구가 개설된 트랜스퍼 챔버; 및A transfer chamber connected to the first substrate entrance and having a second substrate entrance; And 상기 트랜스퍼 챔버에 설치되어 상기 제2 기판 출입구와 상기 제1 기판 출입구 사이에서 기판을 전달하는 제2 반송 장치를 더 포함하는 것을 특징으로 하는 기판 처리 시스템. And a second transfer device installed in the transfer chamber to transfer a substrate between the second substrate entrance and the first substrate entrance and exit. 제10항에 있어서,The method of claim 10, 상기 제2 반송 장치는 상기 제2 기판 출입구를 사이에 두고 양편으로 대칭되게 설치되는 제1 및 제2 반송부를 포함하는 것을 특징으로 하는 기판 처리 시스템.And the second conveying apparatus includes first and second conveying portions that are symmetrically installed at both sides with the second substrate entrance and exit therebetween. 제10항에 있어서, The method of claim 10, 상기 기판 처리 시스템은The substrate processing system 상기 제2 기판 출입구에 연결되고, 인덱스와 상기 트랜스퍼 챔버 사이에서 기판 반송을 담당하는 대기압 반송 로봇을 갖는 로드락 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.And a load lock chamber coupled to said second substrate entrance and having an atmospheric transfer robot responsible for substrate transfer between an index and said transfer chamber.
KR1020070047923A 2007-05-17 2007-05-17 System for substrate processing KR101367899B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070047923A KR101367899B1 (en) 2007-05-17 2007-05-17 System for substrate processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070047923A KR101367899B1 (en) 2007-05-17 2007-05-17 System for substrate processing

Publications (2)

Publication Number Publication Date
KR20080101319A KR20080101319A (en) 2008-11-21
KR101367899B1 true KR101367899B1 (en) 2014-02-26

Family

ID=40287590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070047923A KR101367899B1 (en) 2007-05-17 2007-05-17 System for substrate processing

Country Status (1)

Country Link
KR (1) KR101367899B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0963959A (en) * 1995-08-21 1997-03-07 Applied Materials Inc Cleaning method of target
JP2005038947A (en) * 2003-07-16 2005-02-10 Seiko Epson Corp Film depositing apparatus, film depositing method, and method of manufacturing semiconductor device
KR100517083B1 (en) * 2002-06-18 2005-09-26 주식회사 엘티케이 Apparatus for fabricating semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0963959A (en) * 1995-08-21 1997-03-07 Applied Materials Inc Cleaning method of target
KR100517083B1 (en) * 2002-06-18 2005-09-26 주식회사 엘티케이 Apparatus for fabricating semiconductor device
JP2005038947A (en) * 2003-07-16 2005-02-10 Seiko Epson Corp Film depositing apparatus, film depositing method, and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20080101319A (en) 2008-11-21

Similar Documents

Publication Publication Date Title
KR100814238B1 (en) Substrate transfer equipment and substrate processing system using the same
KR100818044B1 (en) Substrate pedestal and substrate transfer equipment and substrate processing system and method using the same
US7322787B2 (en) Devices and methods for reversing, transporting, and processing substrates
JP5467221B2 (en) Substrate transfer apparatus and high-speed substrate processing system using the same
US20050220576A1 (en) Substrate manufacturing apparatus and substrate transfer module used therein
JP7090469B2 (en) Board processing equipment
KR100578134B1 (en) Multi chamber system
JP2008277725A (en) Substrate returning unit, substrate transferring method, substrate treating equipment comprising the unit, and substrate treating method utilizing the unit
KR100781816B1 (en) Substrate transfer equipment and substrate processing system using the same
US20160293459A1 (en) Apparatus for processing sustrate and semiconductor fabrication line including the same
KR20090124118A (en) Substrate processing system
KR100978236B1 (en) Robot for transferring wafer
KR101413762B1 (en) Substrate processing system
KR101383248B1 (en) High speed substrate processing system
JP2004087675A (en) Substrate treating device
KR101367899B1 (en) System for substrate processing
KR20100135626A (en) Substrate transfering apparatus and substrate processing system having the same
KR100845919B1 (en) Substrate transfer equipment and substrate processing system and method using the same
KR101416780B1 (en) High speed substrate process system
KR101364583B1 (en) Substrate processing system
KR101486243B1 (en) Substrate transfer apparatus
KR100919828B1 (en) Multi substrate processing system and substrate transfer equipment therefor
KR100781083B1 (en) Substrate transfer equipment and substrate processing system using the same
KR101412063B1 (en) Advanced high speed substrate processing system with low cost high throughput
KR100859784B1 (en) Apparatus and method of treating a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170220

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180220

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200211

Year of fee payment: 7