KR100517083B1 - Apparatus for fabricating semiconductor device - Google Patents

Apparatus for fabricating semiconductor device Download PDF

Info

Publication number
KR100517083B1
KR100517083B1 KR10-2002-0034126A KR20020034126A KR100517083B1 KR 100517083 B1 KR100517083 B1 KR 100517083B1 KR 20020034126 A KR20020034126 A KR 20020034126A KR 100517083 B1 KR100517083 B1 KR 100517083B1
Authority
KR
South Korea
Prior art keywords
wafer
stage
load lock
chamber
process chamber
Prior art date
Application number
KR10-2002-0034126A
Other languages
Korean (ko)
Other versions
KR20030097005A (en
Inventor
남창길
정상길
민병희
김동조
박영균
Original Assignee
주식회사 엘티케이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 엘티케이 filed Critical 주식회사 엘티케이
Priority to KR10-2002-0034126A priority Critical patent/KR100517083B1/en
Publication of KR20030097005A publication Critical patent/KR20030097005A/en
Application granted granted Critical
Publication of KR100517083B1 publication Critical patent/KR100517083B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 제조용 장치에 관한 것으로서, 다수개의 웨이퍼들이 적재되는 웨이퍼 대기부; 상기 웨이퍼 대기부에 적재된 웨이퍼를 진공 흡착하여 한 장씩 이송하는 이송 장치; 각각 웨이퍼가 장착되는 적어도 세 개의 히터 스테이지들과, 상기 각각의 히터 스테이지 위에 설치되며 플라즈마를 발생하여 상기 히터 스테이지들 위에 놓인 웨이퍼들에 침전시키는 플라즈마발생기들, 및 상기 각각의 히터 스테이지의 하부에 설치되며 상기 플라즈마가 상기 웨이퍼들 위에 침전되는 과정에서 발생된 부산물을 외부로 배출시키는 펌핑라인들을 포함하는 공정 챔버; 상기 공정 챔버에 인접하여 설치되며, 내부를 대기압으로 만든 상태에서 상기 이송 장치에 의해 이송되는 웨이퍼를 내부에 설치된 로드락 스테이지 위에 받으며, 내부를 진공상태로 만든 다음 상기 로드락 스테이지 위의 웨이퍼가 상기 공정 챔버로 이송되는 로드락 챔버; 및 상기 공정 챔버와 상기 로드락 챔버에 인접하여 설치되며, 내부에 냉각수가 순환되는 다수개의 통로들이 형성된 냉각 스테이지를 구비하며, 상기 공정 챔버 내에서 반도체 공정이 완료된 웨이퍼를 상기 냉각 스테이지 위에 받아서 냉각시키며, 내부를 대기압으로 만든 상태에서 상기 냉각 스테이지 위에서 냉각된 웨이퍼가 상기 이송장치에 의해 상기 웨이퍼 대기부로 이송되는 언로드락 챔버를 구비함으로써 웨이퍼의 애싱 공정 처리량이 증가한다.The present invention relates to an apparatus for manufacturing a semiconductor, comprising: a wafer wait portion on which a plurality of wafers are loaded; A transfer device for vacuum-sucking the wafers loaded in the wafer standby part and transferring them one by one; At least three heater stages each having a wafer mounted thereon, plasma generators installed on each heater stage to generate plasma and depositing plasma on the wafers placed on the heater stages, and a lower portion of each heater stage. A process chamber including pumping lines for discharging the byproduct generated in the process of depositing the plasma on the wafers; It is installed adjacent to the process chamber, while receiving the wafer transferred by the transfer device in a state of making the inside at atmospheric pressure on the load lock stage installed therein, vacuuming the inside and then the wafer on the load lock stage is A load lock chamber transferred to the process chamber; And a cooling stage installed adjacent to the process chamber and the load lock chamber, the cooling stage having a plurality of passages through which cooling water is circulated, and receiving and cooling a wafer on which the semiconductor process is completed in the processing chamber on the cooling stage. The ashing process throughput of the wafer is increased by having an unload lock chamber in which the wafer cooled on the cooling stage while the inside is made at atmospheric pressure is transferred to the wafer atmosphere by the transfer device.

Description

반도체 제조용 장치{Apparatus for fabricating semiconductor device}Apparatus for fabricating semiconductor device

본 발명은 반도체 제조용 장치에 관한 것으로서, 특히 반도체 공정 중 애싱(ashing) 공정에 이용되는 반도체 제조 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor manufacturing apparatus, and more particularly, to a semiconductor manufacturing apparatus used for an ashing process in a semiconductor process.

종래의 반도체 제조용 장치는 50장의 웨이퍼를 로딩할 수 있는 로드락 챔버, 카세트에서 25매의 웨이퍼를 이동시킬 수 있는 25개의 엔드 이팩터(End effector)를 가진 대기압 로봇과, 애싱 공정을 진행하는 공정 챔버(Process chamber)로 구성되어 있으며, 공정 챔버에서 애싱처리된 웨이퍼와 애싱처리 되지않은 웨이퍼를 공정 챔버와 로드락 챔버 사이에 있는 셔틀 블레이드(shuttle blade)를 이용하여 이동시키며 히터스테이지(Heater stage)로 웨이퍼를 회전 이동시키는 7개의 핀, 병렬로 배열된 플라즈마 발생기, 6개의 히터스테이지로 이루어져 있다.Conventional semiconductor manufacturing apparatus includes a load lock chamber capable of loading 50 wafers, an atmospheric pressure robot having 25 end effectors capable of moving 25 wafers from a cassette, and a process chamber for an ashing process. It consists of a process chamber, which moves ashed and unashed wafers from the process chamber to the heater stage by using a shuttle blade between the process chamber and the load lock chamber. It consists of seven pins for rotating the wafer, a plasma generator arranged in parallel, and six heater stages.

이러한 종래의 반도체 제조용 장치의 문제점은 다음과 같다. 먼저, 웨이퍼가 300mm로 대형화되면서 대기압 로봇이 25매의 웨이퍼를 동시에 운송하기 때문에 1장의 웨이퍼에 문제가 발생시에는 고가의 웨이퍼 25장이 전부 영향을 받게 된다. 그리고 공정 챔버에 펌핑 라인(Pumping line)이 하나 구성되어 있으므로 애싱공정 중에 발생된 부산물의 배출이 신속히 이루어지지 않으므로 파티클(particle) 발생 빈도가 높다. 셔틀 블레이드가 공정 챔버와 로드락 챔버 사이로 웨이퍼를 이송하기 위해서는 축을 따라 셔틀 블레이드가 이동시 파티클(particle)이 발생할 우려가 높다. 두개의 플라즈마 발생기에 하나의 전원을 사용하기 때문에 대형화된 웨이퍼의 애싱공정 처리속도가 느리며, 하나의 로드락 챔버를 사용하기 때문에 로드락 챔버가 이상 발생시에는 장비 전체를 사용할 수 없게 된다. 그리고 공정처리 후에 웨이퍼의 온도를 감소시키는 데 어려움이 있다. 또한 웨이퍼가 공정하기 위한 최적의 온도까지 가기 위해 히터 스테이지에서 공정하지 않고 열을 가하는 추가적인 시간이 필요하며, 웨이퍼가 대형화로 가면서 웨이퍼와 히터스테이지 사이의 온도 차이에 의해 웨이퍼의 휘어짐이 발생하는 등의 문제점을 가지고 있다.Problems of such a conventional semiconductor manufacturing apparatus are as follows. First, since the wafer is enlarged to 300 mm and the atmospheric robot carries 25 wafers at the same time, when a problem occurs in one wafer, all 25 expensive wafers are affected. In addition, since one pumping line is configured in the process chamber, by-products generated during the ashing process are not discharged quickly, and thus particles are frequently generated. In order for the shuttle blade to transfer the wafer between the process chamber and the load lock chamber, there is a high possibility that particles are generated when the shuttle blade moves along the axis. As one plasma is used for two plasma generators, the ashing process of a large-sized wafer is slow, and since one load lock chamber is used, the entire equipment cannot be used when a load lock chamber occurs. And it is difficult to reduce the temperature of the wafer after processing. In addition, it takes additional time to apply heat without processing in the heater stage in order to reach the optimum temperature for the wafer to process, and as the wafer grows in size, the warpage of the wafer occurs due to the temperature difference between the wafer and the heater stage. I have a problem.

본 발명이 이루고자하는 기술적 과제는 웨이퍼의 파손을 최소화시키고, 로드락 챔버의 크기를 최소하여 펌핑 및 대기압 도달 시간을 감소시키며, 웨이퍼 처리량을 증가시키고, 로드락 챔버와 공정 챔버 사이의 웨이퍼 이동시 부품의 마찰을 제거하여 파티클을 제거하며, 웨이퍼에 반도체 공정을 진행하기전 웨이퍼를 예열시켜서 반도체 공정 진행 속도와 균일도를 향상시키는 반도체 제조용 장치를 제공하는 것이다. The technical problem to be achieved by the present invention is to minimize the breakage of the wafer, to minimize the size of the load lock chamber, to reduce the pumping and atmospheric pressure arrival time, to increase the wafer throughput, and to increase the amount of components during wafer movement between the load lock chamber and the process chamber. The present invention provides a device for manufacturing a semiconductor that removes friction to remove particles and preheats the wafer before the semiconductor process proceeds to the wafer to improve the speed and uniformity of the semiconductor process.

상기 기술적 과제를 이루기 위하여 본 발명은,The present invention to achieve the above technical problem,

다수개의 웨이퍼들이 적재되는 웨이퍼 대기부; 상기 웨이퍼 대기부에 적재된 웨이퍼를 진공 흡착하여 한 장씩 이송하는 이송 장치; 각각 웨이퍼가 장착되는 적어도 세 개의 히터 스테이지들과, 상기 각각의 히터 스테이지 위에 설치되며 플라즈마를 발생하여 상기 히터 스테이지들 위에 놓인 웨이퍼들에 침전시키는 플라즈마발생기들, 및 상기 각각의 히터 스테이지의 하부에 설치되며 상기 플라즈마가 상기 웨이퍼들 위에 침전되는 과정에서 발생된 부산물을 외부로 배출시키는 펌핑라인들을 포함하는 공정 챔버; 상기 공정 챔버에 인접하여 설치되며, 내부를 대기압으로 만든 상태에서 상기 이송 장치에 의해 이송되는 웨이퍼를 내부에 설치된 로드락 스테이지 위에 받으며, 내부를 진공상태로 만든 다음 상기 로드락 스테이지 위의 웨이퍼가 상기 공정 챔버로 이송되는 로드락 챔버; 및 상기 공정 챔버와 상기 로드락 챔버에 인접하여 설치되며, 내부에 냉각수가 순환되는 다수개의 통로들이 형성된 냉각 스테이지를 구비하며, 상기 공정 챔버 내에서 반도체 공정이 완료된 웨이퍼를 상기 냉각 스테이지 위에 받아서 냉각시키며, 내부를 대기압으로 만든 상태에서 상기 냉각 스테이지 위에서 냉각된 웨이퍼가 상기 이송장치에 의해 상기 웨이퍼 대기부로 이송되는 언로드락 챔버를 구비하는 반도체 제조용 장치를 제공한다.A wafer waiting portion in which a plurality of wafers are loaded; A transfer device for vacuum-sucking the wafers loaded in the wafer standby part and transferring them one by one; At least three heater stages each having a wafer mounted thereon, plasma generators installed on each heater stage to generate plasma and depositing plasma on the wafers placed on the heater stages, and a lower portion of each heater stage. A process chamber including pumping lines for discharging the byproduct generated in the process of depositing the plasma on the wafers; It is installed adjacent to the process chamber, while receiving the wafer transferred by the transfer device in a state of making the inside at atmospheric pressure on the load lock stage installed therein, vacuuming the inside and then the wafer on the load lock stage is A load lock chamber transferred to the process chamber; And a cooling stage installed adjacent to the process chamber and the load lock chamber, the cooling stage having a plurality of passages through which cooling water is circulated, and receiving and cooling a wafer on which the semiconductor process is completed in the processing chamber on the cooling stage. And an unload lock chamber in which a wafer cooled on the cooling stage while the inside is made at atmospheric pressure is transferred to the wafer atmosphere by the transfer device.

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

바람직하기는, 상기 로드락 챔버는 상기 로드락 스테이지에 놓인 웨이퍼를 상기 공정 챔버로 이송할 때 상기 웨이퍼를 잡아주는 웨이퍼 그리퍼; 상기 웨이퍼 그리퍼를 지지하는 로드락 스윙암; 및 상기 로드락 스윙암을 횡으로 회전시켜서 상기 로드락 스테이지 위에 놓인 웨이퍼를 상기 공정 챔버 내로 이송시키는 회전장치를 포함하고, 상기 언로드락 챔버는 상기 반도체 공정이 완료된 웨이퍼를 상기 공정 챔버로부터 상기 언로드락 챔버로 이송할 때 상기 웨이퍼를 잡아주는 웨이퍼 그리퍼; 상기 웨이퍼 그리퍼를 지지하는 언로드락 스윙암; 및 상기 공정 챔버의 웨이퍼를 상기 언로드락 챔버로 이송시키기 위하여 상기 언로드락 스윙암을 횡으로 회전시키는 회전장치를 포함한다.Preferably, the load lock chamber includes a wafer gripper for holding the wafer when transferring the wafer placed on the load lock stage to the process chamber; A load lock swing arm supporting the wafer gripper; And a rotating device for rotating the load lock swing arm laterally to transfer a wafer placed on the load lock stage into the process chamber, wherein the unload lock chamber transfers the wafer on which the semiconductor process is completed from the process chamber. A wafer gripper for holding the wafer when transferred to the chamber; An unload lock swing arm supporting the wafer gripper; And a rotating device for rotating the unload lock swing arm laterally to transfer the wafer of the process chamber to the unload lock chamber.

삭제delete

삭제delete

상기 본 발명에 의하여 웨이퍼의 반도체 공정 처리량이 증가한다.The present invention increases the semiconductor process throughput of a wafer.

본 발명과 본 발명의 동작상의 이점 및 본 발명의 실시에 의하여 달성되는 목적을 충분히 이해하기 위해서는 본 발명의 바람직한 실시예를 예시하는 첨부 도면 및 첨부 도면에 기재된 내용을 참조하여야만 한다.In order to fully understand the present invention, the operational advantages of the present invention, and the objects achieved by the practice of the present invention, reference should be made to the accompanying drawings which illustrate preferred embodiments of the present invention and the contents described in the accompanying drawings.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 설명함으로써, 본 발명을 상세히 설명한다. 각 도면에 제시된 동일한 참조부호는 동일한 부재를 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. Like reference numerals in the drawings denote like elements.

본 발명은 높은 웨이퍼 처리량을 가진 반도체 제조용 애싱 장치 에 관한 것이다. The present invention relates to an ashing apparatus for semiconductor manufacturing with high wafer throughput.

도 1은 본 발명에 따른 반도체 제조용 장치의 측면도이고, 도 2는 도 1의 플라즈마 발생기가 생략된 반도체 제조용 장치의 평면도이다. 도 1 및 도 2를 참조하여 본 발명에 따른 반도체 제조용 장치에 대해 설명하기로 한다.1 is a side view of a semiconductor manufacturing apparatus according to the present invention, and FIG. 2 is a plan view of the semiconductor manufacturing apparatus in which the plasma generator of FIG. 1 is omitted. A device for manufacturing a semiconductor according to the present invention will be described with reference to FIGS. 1 and 2.

본 발명의 반도체 제조용 장치는 웨이퍼 대기부(111), 이송 장치(121), 로드락 챔버(131), 언로드락 챔버(141) 및 공정 챔버(151)를 구비한다.The apparatus for manufacturing a semiconductor of the present invention includes a wafer standby part 111, a transfer device 121, a load lock chamber 131, an unload lock chamber 141, and a process chamber 151.

웨이퍼 대기부(111)는 하나 이상의 카세트들(113,114)을 구비하며, 각 카세트에 다수개의 웨이퍼들이 적재된다. The wafer waiting portion 111 includes one or more cassettes 113 and 114, and a plurality of wafers are loaded in each cassette.

이송 장치(121)는 4축(X축,Y축,Z축,회전)으로 움직일 수 있는 대기압 로봇을 구비한다. 이송 장치(121)에는 복수개의 암들(123,124) 및 엔드 이펙터(End Effector)(127)가 설치되어 있다. 엔드 이팩터(127)는 웨이퍼를 한 장씩 진공 흡착하는 것으로서, 카세트에 적재된 웨이퍼를 한 장 꺼내어 고속으로 이송할 때 웨이퍼가 슬라이딩되는 것을 방지한다. 다수개의 웨이퍼들을 동시에 이송하는 경우에 있어서 만일 이송 장치(121)에 문제가 발생하여 하나의 웨이퍼가 손상되면 그 영향을 받아서 다른 웨이퍼들도 손상을 입게 되므로 대량손실이 발생할 수 있다. 따라서, 본 발명과 같이 웨이퍼를 하나씩 이송함으로써 상기 대량 손실을 방지할 수 있다. The conveying apparatus 121 includes an atmospheric pressure robot that can move in four axes (X-axis, Y-axis, Z-axis, rotation). The transfer apparatus 121 is provided with a plurality of arms 123 and 124 and an end effector 127. The end effector 127 vacuum-absorbs the wafers one by one, and prevents the wafer from sliding when the wafers loaded in the cassette are taken out and transferred at a high speed. In the case of transferring a plurality of wafers at the same time, if a problem occurs in the transfer device 121 and one wafer is damaged, the other wafers are also damaged and thus a large loss may occur. Therefore, the mass loss can be prevented by transferring the wafers one by one as in the present invention.

로드락 챔버(131)는 공정 챔버(151)에 인접하여 설치된다. 로드락 챔버(131)에는 게이트 밸브들(133,134)이 설치되며, 그 내부에는 로드락 스테이지(135), 웨이퍼 그리퍼(136), 로드락 스윙암(137) 및 회전 장치(138)가 설치된다. 로드락 스테이지(135)는 웨이퍼 그리퍼(136) 안쪽에 설치되며, 상하로 이동한다. The load lock chamber 131 is installed adjacent to the process chamber 151. Gate valves 133 and 134 are installed in the load lock chamber 131, and a load lock stage 135, a wafer gripper 136, a load lock swing arm 137, and a rotating device 138 are installed therein. The load lock stage 135 is installed inside the wafer gripper 136 and moves up and down.

이송 장치(121)가 첫 번째 웨이퍼를 이송하면 게이트 밸브(133)가 열리고, 상기 이송된 웨이퍼는 로드락 스테이지(135) 위에 놓여진다. 로드락 스테이지(135)에는 홈(135a)이 형성되어 있으며, 홈(135a)에 이송장치(121)의 엔드 이펙터(127)이 끼워지면서 엔드 이펙터(127)에 진공 흡착된 웨이퍼가 로드락 스테이지(135)로 옮겨진다. 엔드 이펙터(127)가 빠져나가고 난 다음 게이트 밸브(133)가 닫히면 진공 펌핑이 시작되어 로드락 챔버(131) 내는 진공 상태로 진행되며, 이 때에 로드락 스테이지(135)가 아래로 이동하면서 로드락 스테이지(135)에 놓인 웨이퍼는 자연스럽게 웨이퍼 그리퍼(136) 위에 안착하게 된다. 로드락 챔버(131)가 진공 상태로 되면 게이트 밸브(134)가 열리면서 회전 장치(138)에 의해 로드락 스윙암(137)이 횡으로 회전하여 웨이퍼 그리퍼(136)위에 놓인 웨이퍼를 공정 챔버(151) 내의 예열 스테이지(153) 위로 옮겨 놓는다. 첫 번째 웨이퍼가 공정 챔버(151)에 로딩된 후 로드락 스윙암(137)이 로드락 챔버(131) 내로 회전 이동하면 게이트 밸브(134)가 닫힌다. 그러면, 로드락 챔버(131) 내로 질소가스가 유입되고, 그에 따라 로드락 챔버(131)는 대기압으로 된다. 이송 장치(121)가 두 번째 웨이퍼를 이송하여 게이트 밸브(133) 앞에서 로드락 챔버(131)의 내부가 질소가스로 채워져서 대기압으로 될 때까지 기다린다. 로드락 챔버(131)가 대기압이 된 후 게이트 밸브(133)가 열리고 첫 번째 웨이퍼와 같은 순서로 웨이퍼의 이송이 진행된다.When the transfer device 121 transfers the first wafer, the gate valve 133 opens, and the transferred wafer is placed on the load lock stage 135. A groove 135a is formed in the load lock stage 135. The end effector 127 of the transfer device 121 is fitted into the groove 135a, and the wafer vacuum-adsorbed to the end effector 127 is loaded into the load lock stage ( 135). After the end effector 127 exits and the gate valve 133 is closed, vacuum pumping is started and the load lock chamber 131 proceeds to a vacuum state, at which time the load lock stage 135 moves downward. The wafer placed on the stage 135 naturally sits on the wafer gripper 136. When the load lock chamber 131 is in a vacuum state, the gate valve 134 opens, and the load lock swing arm 137 rotates laterally by the rotating device 138 to process the wafer placed on the wafer gripper 136 in the process chamber 151. ) Is moved onto the preheating stage 153. After the first wafer is loaded into the process chamber 151, the gate valve 134 closes when the load lock swing arm 137 rotates into the load lock chamber 131. Then, nitrogen gas flows into the load lock chamber 131, whereby the load lock chamber 131 is at atmospheric pressure. The transfer device 121 transfers the second wafer and waits until the inside of the load lock chamber 131 is filled with nitrogen gas in front of the gate valve 133 to reach atmospheric pressure. After the load lock chamber 131 is at atmospheric pressure, the gate valve 133 is opened to transfer the wafers in the same order as the first wafer.

이와 같이, 로드락 챔버(131)는 웨이퍼가 한 장씩만 전입 및 전출되므로 그 크기를 작게 구성할 수 있다. 따라서, 로드락 챔버(131)의 내부가 진공 상태와 대기압으로 되는 시간이 단축된다. 또한, 로드락 챔버(131)의 크기가 작으므로 반도체 제조용 장치의 크기도 작아진다. As such, the load lock chamber 131 can be configured to have a small size because only one wafer is transferred and moved out. Therefore, the time that the inside of the load lock chamber 131 becomes a vacuum state and atmospheric pressure is shortened. In addition, since the size of the load lock chamber 131 is small, the size of the semiconductor manufacturing apparatus is also reduced.

언로드락 챔버(141)는 공정 챔버(151)에 인접하여 설치된다. 언로드락 챔버(141)에는 게이트 밸브들(143,144)이 설치되며, 내부에는 냉각 스테이지(145), 웨이퍼 그리퍼(146), 언로드락 스윙암(147), 및 회전 장치(미도시)가 설치된다. The unload lock chamber 141 is installed adjacent to the process chamber 151. Gate valves 143 and 144 are installed in the unload lock chamber 141, and a cooling stage 145, a wafer gripper 146, an unload lock swing arm 147, and a rotating device (not shown) are installed therein.

공정 챔버(151) 내에서 웨이퍼의 공정이 완료되면 게이트 밸브(144)가 열리고 언로드락 스윙암(147)이 횡으로 회전하여 공정 챔버(151) 내로 들어온다. 그러면, 언로드락 스테이지(154)가 아래로 이동하면서 언로드락 스테이지(154)에 로딩(loading)된 웨이퍼는 웨이퍼 그리퍼(146) 위로 옮겨지며, 언로드락 스윙암(147)이 회전하여 언로드락 챔버(141)내로 들어온다. 게이트 밸브(144)가 닫히고 언로드락 챔버(141)의 내부를 대기압으로 만들기 위해 질소가스가 주입된다. 동시에 냉각 스테이지(145)가 위로 이동하여 웨이퍼 그리퍼(146) 위에 있는 웨이퍼는 냉각 스테이지(145) 위로 옮겨져서 냉각된다. 냉각 스테이지(145)의 내부에는 다수개의 통로들이 형성되어 있으며, 상기 다수개의 통로들을 통하여 냉각수가 순환되면서 냉각 스테이지(145) 위에 놓인 웨이퍼를 냉각시킨다. 언로드락 챔버(141)의 내부가 대기압에 도달하면 게이트 밸브(143)가 열리고 이송 장치(121)의 엔드 이펙터(127)가 들어와서 냉각 스테이지(145) 위에 놓인 웨이퍼를 언로딩하여 웨이퍼 대기부(111)로 옮겨 놓는다. 냉각 스테이지(145)에는 홈(145a)이 형성되어 있으며, 홈(145a)에 엔드 이펙터(127)가 끼워지면서 냉각 스테이지(145) 위에 놓인 웨이퍼가 엔드 이펙터(127)로 옮겨진다. 게이트 밸브(143)가 닫히면 언로드락 챔버(141)는 다시 진공 상태로 만들어진다. When the process of the wafer is completed in the process chamber 151, the gate valve 144 is opened and the unload lock swing arm 147 rotates laterally to enter the process chamber 151. Then, as the unload lock stage 154 moves downward, the wafer loaded in the unload lock stage 154 is moved onto the wafer gripper 146, and the unload lock swing arm 147 rotates to unload the lock chamber ( 141) Come inside. The gate valve 144 is closed and nitrogen gas is injected to bring the interior of the unload lock chamber 141 to atmospheric pressure. At the same time, the cooling stage 145 is moved up so that the wafer above the wafer gripper 146 is moved over the cooling stage 145 to be cooled. A plurality of passages are formed in the cooling stage 145, and cooling water is circulated through the plurality of passages to cool the wafer placed on the cooling stage 145. When the inside of the unload lock chamber 141 reaches the atmospheric pressure, the gate valve 143 opens and the end effector 127 of the transfer device 121 enters to unload the wafer placed on the cooling stage 145 so as to unload the wafer. 111). A groove 145a is formed in the cooling stage 145, and the end effector 127 is inserted into the groove 145a, and the wafer placed on the cooling stage 145 is transferred to the end effector 127. When the gate valve 143 is closed, the unload lock chamber 141 is made into a vacuum again.

이와 같이, 언로드락 챔버(141)가 별도로 설치되고 그 크기도 작으므로, 반도체 제조용 장치(101)의 크기가 작아진다. 또한, 언로드락 챔버(141)의 크기가 작으므로 진공 상태와 대기압으로 도달되는 시간이 단축된다. Thus, since the unload lock chamber 141 is provided separately and its size is small, the size of the semiconductor manufacturing apparatus 101 becomes small. In addition, since the size of the unload lock chamber 141 is small, the time to reach the vacuum state and the atmospheric pressure is shortened.

공정 챔버(151)는 다수개의 웨이퍼 그리퍼들(161∼165), 예열 스테이지(153), 히터 스테이지들(155∼157), 언로드락 스테이지(154), 예열 장치(171), 플라즈마 발생기들(175), 펌핑 라인들(177), 암들(181∼185) 및 회전장치(191)을 포함한다.The process chamber 151 includes a plurality of wafer grippers 161 to 165, a preheating stage 153, heater stages 155 to 157, an unload lock stage 154, a preheating apparatus 171, and plasma generators 175. ), Pumping lines 177, arms 181-185 and rotating device 191.

로드락 챔버(131)에서 로드락 스윙암(137)에 의해 공정 챔버(151)로 이송된 웨이퍼는 예열 스테이지(153) 위에 로딩된다. 이어서 로드락 스윙암(137)이 로드락 챔버(131)로 회전하고 게이트 밸브(134)가 닫힌다. 예열 장치(171)가 작동하면 예열 스테이지(153) 위에 놓여진 웨이퍼는 소정 온도, 예컨대 50∼250℃까지 가열된다. 예열 장치(171)는 램프, 예컨대 할로겐 램프를 구비하여 열을 발생함으로써 예열 스테이지(153) 위에 놓인 웨이퍼를 가열한다.The wafer transferred from the load lock chamber 131 to the process chamber 151 by the load lock swing arm 137 is loaded onto the preheat stage 153. The load lock swing arm 137 then rotates into the load lock chamber 131 and the gate valve 134 closes. When the preheating device 171 is operated, the wafer placed on the preheating stage 153 is heated to a predetermined temperature, for example, 50 to 250 ° C. The preheating device 171 heats the wafer placed on the preheating stage 153 by generating heat with a lamp, such as a halogen lamp.

이와 같이 예열 장치(171)에 의해 예열 스테이지(153) 위에 놓인 웨이퍼가 공정 전에 미리 가열됨으로써, 웨이퍼들이 히터 스테이지들(155∼157)에서 가열될 때 온도차에 의해 발생되는 래피지(웨이퍼가 휘어지는 현상)를 방지할 수 있으며, 웨이퍼가 공정 온도까지 가열되는데 따른 시간 손실을 최소화시켜준다. 따라서, 웨이퍼의 공정 처리량이 증가한다.As such, the wafer placed on the preheating stage 153 by the preheating device 171 is preheated before the process, so that the wafer generated by the temperature difference when the wafers are heated in the heater stages 155 to 157 (waving of wafers). ) And minimizes the time lost as the wafer heats up to process temperature. Thus, the processing throughput of the wafer is increased.

웨이퍼 그리퍼들(161∼165)은 암들(181∼185)에 의해 횡으로 지지되며, 암들(181∼185)은 회전 장치(191)에 의해 횡으로 회전된다. 본 발명에서는 암들(181∼185)이 반시계방향으로 회전하도록 설계되어 있지만 경우에 따라서는 시계방향으로 회전할 수도 있다. 웨이퍼 그리퍼들(161∼165)들은 각각 3개의 핀들을 구비하여 웨이퍼가 움직이지 못하도록 지지한다. 웨이퍼 그리퍼들(161∼165)이 횡으로 회전하면서 예열 스테이지(153)에 놓인 웨이퍼를 히터스테이지들(155∼157)을 거쳐 언로드락 스테이지(154)로 이동시킨다. 이와 같이, 웨이퍼 그리퍼들(161∼165)이 회전하면서 웨이퍼를 이동시킴으로써 좁은 공간에서 웨이퍼 처리량을 증가시킬 수가 있다.Wafer grippers 161-165 are laterally supported by arms 181-185, and arms 181-185 are rotated laterally by rotating device 191. In the present invention, the arms 181 to 185 are designed to rotate counterclockwise. However, in some cases, the arms 181 to 185 may rotate clockwise. The wafer grippers 161 to 165 have three pins, respectively, to support the wafer from moving. As the wafer grippers 161 to 165 rotate laterally, the wafer placed on the preheat stage 153 is moved to the unload lock stage 154 via the heater stages 155 to 157. As such, the wafer throughput can be increased in a narrow space by moving the wafer while the wafer grippers 161 to 165 rotate.

히터 스테이지들(155∼157) 위에는 원통형태의 플라즈마를 발생하는 플라즈마 발생기들(175), 예컨대 마이크로웨이브 플라즈마 발생기들이 설치된다. 플라즈마 발생기들(175)은 각각 별도의 전원 장치(미도시)를 구비하며, 상기 전원 장치에 의해 플라즈마가 발생한다. 이와 같이, 플라즈마 발생기마다 하나의 전원 장치가 설치됨에 따라 웨이퍼에 대한 반도체 공정 처리 속도가 향상되고, 그에 따라서 웨이퍼의 처리량이 증가한다. Plasma generators 175, for example, microwave plasma generators, are provided on the heater stages 155 to 157 to generate plasma in a cylindrical shape. The plasma generators 175 are provided with separate power supplies (not shown), and plasma is generated by the power supplies. As such, as one power supply unit is installed for each plasma generator, the semiconductor processing speed of the wafer is improved, thereby increasing the throughput of the wafer.

플라즈마 발생기들(175)에 의해 발생된 플라즈마는 히터 스테이지들(155∼157) 위에 놓인 웨이퍼들에 침전되어 웨이퍼들 위에 형성된 포토레지스트를 제거하는 반도체 공정, 예컨대 애싱 공정이 진행된다. 히터 스테이지들(155∼157)의 하부에는 펌핑라인들(177)이 하나씩 설치되며, 펌핑라인들(177)은 플라즈마 발생기들(175)에 의해 발생된 플라즈마가 히터 스테이지들(155∼157) 위에 놓인 웨이퍼들 위에 침전되는 과정에서 발생된 부산물을 공정 챔버(151) 밖으로 배출시킨다. 이와 같이, 히터 스테이지들(155∼157)마다 펌핑라인들(177)이 하나씩 설치됨으로써 반도체 공정 진행 중에 발생되는 부산물들을 신속히 배출시킬 수가 있으며, 그에 따라서 웨이퍼에서 발생되는 파티클 발생 빈도가 낮아진다. The plasma generated by the plasma generators 175 is deposited on the wafers placed on the heater stages 155 to 157 to remove the photoresist formed on the wafers, such as an ashing process. Pumping lines 177 are installed one by one under the heater stages 155 to 157, and the pumping lines 177 are provided with plasma generated by the plasma generators 175 on the heater stages 155 to 157. By-products generated in the process of being deposited on the placed wafers are discharged out of the process chamber 151. As such, one pumping line 177 is installed in each of the heater stages 155 to 157 to quickly discharge the by-products generated during the semiconductor process, thereby reducing the frequency of particle generation in the wafer.

웨이퍼 그리퍼들(162∼164)의 안쪽에는 웨이퍼들을 가열시키는 히터스테이지들(155∼157)이 설치되어 있다. 히터 스테이지들(155∼157)은 소정 온도, 예컨대 50 ∼ 300 까지 개별적으로 온도 조절이 가능하므로 반도체 공정에 적절하게 개별적인 온도 설정이 가능하다.Heater stages 155 to 157 for heating the wafers are provided inside the wafer grippers 162 to 164. Since the heater stages 155 to 157 can be individually adjusted to a predetermined temperature, for example, 50 to 300, the heater stages 155 to 157 can individually set temperature appropriately for the semiconductor process.

히터 스테이지들(155∼157) 위에 로딩된 웨이퍼들에 대해서는 플라즈마 발생이나 가스 주입을 별도로 진행함으로써 반도체 공정을 효율적으로 수행할 수 있다. For the wafers loaded on the heater stages 155 to 157, the semiconductor process may be efficiently performed by performing plasma generation or gas injection separately.

반도체 공정이 완료된 웨이퍼는 언로드락 스테이지(154)로 옮겨진 다음 언로드락 스윙암(147)에 의해 언로드락 챔버(141) 내부로 이송되었다가 이송장치(121)에 의해 웨이퍼 대기부(111)로 이송된다. After the semiconductor process is completed, the wafer is transferred to the unload lock stage 154 and then transferred into the unload lock chamber 141 by the unload lock swing arm 147, and then transferred to the wafer standby part 111 by the transfer apparatus 121. do.

도면과 명세서에서 최적 실시예들이 개시되었다. 여기서 특정한 용어들이 사용되었으나, 이는 단지 본 발명을 설명하기 위한 목적에서 사용된 것이지 의미한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.The best embodiments have been disclosed in the drawings and the specification. Although specific terms have been used herein, they are used only for the purpose of describing the present invention and are not intended to limit the scope of the invention as defined in the claims or the claims. Therefore, those skilled in the art will understand that various modifications and equivalent other embodiments are possible from this. Therefore, the true technical protection scope of the present invention will be defined by the technical spirit of the appended claims.

상술한 바와 같이 본 발명에 따르면, 다음과 같은 장점이 있다.According to the present invention as described above, there are the following advantages.

첫째, 로드락 챔버(131)와 언로드락 챔버(141)의 크기가 작아서 각각 진공 상태와 대기압 상태 도달 시간이 짧으며, 웨이퍼를 한 장씩 이송시킴으로써 반도체 공정이 신속하고 안정적으로 진행된다.First, the size of the load lock chamber 131 and the unload lock chamber 141 is small, so that the time of reaching the vacuum state and the atmospheric pressure state is short, and the semiconductor process proceeds quickly and stably by transferring the wafers one by one.

둘째, 언로드락 챔버(141)에는 냉각 스테이지가 구비되어 반도체 공정에서 가열된 웨이퍼의 온도를 냉각시킴으로써 웨이퍼 처리량이 증가한다. Second, the unload lock chamber 141 is provided with a cooling stage to increase the wafer throughput by cooling the temperature of the heated wafer in the semiconductor process.

셋째, 로드락 챔버(131)에서 공정 챔버(151)로, 공정 챔버(151)에서 언로드락 챔버(141)로 웨이퍼 이송시 스윙암들(137,147)에 의해 이송됨으로써 웨이퍼가 슬라이딩되지 않으므로 안정적이고 파티클이 발생하지 않는다. Third, the wafer is not slid by the swing arms 137 and 147 during wafer transfer from the load lock chamber 131 to the process chamber 151 and from the process chamber 151 to the unload lock chamber 141. This does not happen.

넷째, 반도체 공정이 이루어지는 히터 스테이지들(155∼157) 하부에 펌핑라인들(177)이 각각 설치되어 있으므로 반도체 공정시 발생하는 부산물에 의한 파티클이 감소된다. Fourth, since pumping lines 177 are disposed under the heater stages 155 to 157 where the semiconductor process is performed, particles caused by by-products generated during the semiconductor process are reduced.

다섯째, 반도체 공정이 진행되는 히터 스테이지들(155∼157) 위로 웨이퍼들이 이동되기 전 예열 스테이지(153) 위에서 웨이퍼를 미리 가열함으로써 래피지 발생을 방지하고 반도체 공정 진행 시간이 단축된다. Fifth, the wafer is preheated on the preheating stage 153 before the wafers are moved to the heater stages 155 to 157 where the semiconductor process proceeds, thereby preventing the generation of the wafer and shortening the process time of the semiconductor process.

본 발명의 상세한 설명에서 인용되는 도면을 보다 충분히 이해하기 위하여 각 도면의 간단한 설명이 제공된다.BRIEF DESCRIPTION OF THE DRAWINGS In order to better understand the drawings cited in the detailed description of the invention, a brief description of each drawing is provided.

도 1은 본 발명에 따른 반도체 제조용 장치의 측면도이다.1 is a side view of a device for manufacturing a semiconductor according to the present invention.

도 2는 도 1의 플라즈마 발생기가 생략된 반도체 제조용 장치의 평면도이다.FIG. 2 is a plan view of a semiconductor manufacturing apparatus in which the plasma generator of FIG. 1 is omitted.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

111: 웨이퍼 대기부, 121: 이송 장치111: wafer waiting portion, 121: transfer device

131: 로드락 챔버, 133,134,143,144: 게이트 밸브들131: load lock chamber, 133, 134, 143, 144: gate valves

141: 언로드락 챔버, 135: 로드락 스테이지141: unload lock chamber, 135: load lock stage

145: 냉각 스테이지, 136,146, 161∼165: 웨이퍼 그리퍼들145: cooling stage, 136, 146, 161 to 165: wafer grippers

137,147: 스윙암들, 151: 공정 챔버137, 147: swing arms, 151: process chamber

153: 예열 스테이지, 154: 언로드락 스테이지153: preheat stage, 154: unload lock stage

155∼157: 히터 스테이지들, 171: 예열장치 155 to 157: heater stages, 171: preheater

175: 플라즈마 발생기들, 177: 펌핑라인들175: plasma generators, 177: pumping lines

Claims (11)

삭제delete 다수개의 웨이퍼들이 적재되는 웨이퍼 대기부;A wafer waiting portion in which a plurality of wafers are loaded; 상기 웨이퍼 대기부에 적재된 웨이퍼를 진공 흡착하여 한 장씩 이송하는 이송 장치;A transfer device for vacuum-sucking the wafers loaded in the wafer standby part and transferring them one by one; 각각 웨이퍼가 장착되는 적어도 세 개의 히터 스테이지들과, 상기 각각의 히터 스테이지 위에 설치되며 플라즈마를 발생하여 상기 히터 스테이지들 위에 놓인 웨이퍼들에 침전시키는 플라즈마발생기들, 및 상기 각각의 히터 스테이지의 하부에 설치되며 상기 플라즈마가 상기 웨이퍼들 위에 침전되는 과정에서 발생된 부산물을 외부로 배출시키는 펌핑라인들을 포함하는 공정 챔버;At least three heater stages each having a wafer mounted thereon, plasma generators installed on each heater stage to generate plasma and depositing plasma on wafers placed on the heater stages, and a lower portion of each heater stage. A process chamber including pumping lines for discharging the byproduct generated in the process of depositing the plasma on the wafers; 상기 공정 챔버에 인접하여 설치되며, 내부를 대기압으로 만든 상태에서 상기 이송 장치에 의해 이송되는 웨이퍼를 내부에 설치된 로드락 스테이지 위에 받으며, 내부를 진공상태로 만든 다음 상기 로드락 스테이지 위의 웨이퍼가 상기 공정 챔버로 이송되는 로드락 챔버; 및It is installed adjacent to the process chamber, while receiving the wafer transferred by the transfer device in a state of making the inside at atmospheric pressure on the load lock stage installed therein, vacuuming the inside and then the wafer on the load lock stage is A load lock chamber transferred to the process chamber; And 상기 공정 챔버와 상기 로드락 챔버에 인접하여 설치되며, 내부에 냉각수가 순환되는 다수개의 통로들이 형성된 냉각 스테이지를 구비하며, 상기 공정 챔버 내에서 반도체 공정이 완료된 웨이퍼를 상기 냉각 스테이지 위에 받아서 냉각시키며, 내부를 대기압으로 만든 상태에서 상기 냉각 스테이지 위에서 냉각된 웨이퍼가 상기 이송장치에 의해 상기 웨이퍼 대기부로 이송되는 언로드락 챔버를 구비하는 것을 특징으로 하는 반도체 제조용 장치.A cooling stage installed adjacent to the process chamber and the load lock chamber and having a plurality of passages through which cooling water is circulated, and receiving and cooling a wafer on which the semiconductor process is completed in the process chamber on the cooling stage, And an unload lock chamber in which the wafer cooled on the cooling stage with the inside made at atmospheric pressure is transferred to the wafer atmosphere by the transfer device. 제2 항에 있어서, 상기 공정 챔버는The method of claim 2, wherein the process chamber 상기 히터 스테이지들 위에 놓인 웨이퍼들을 횡으로 이동시키기 위해 상기 웨이퍼들을 잡아주는 웨이퍼 그리퍼들;Wafer grippers for holding the wafers to move laterally the wafers placed on the heater stages; 상기 웨이퍼 그리퍼들을 지지하는 암들; 및Arms supporting the wafer grippers; And 상기 암들을 횡으로 회전시키는 회전장치를 구비하는 것을 특징으로 하는 반도체 제조용 장치.And a rotating device for rotating the arms laterally. 제2 항에 있어서, 상기 공정 챔버는 The method of claim 2, wherein the process chamber 예열 스테이지; 및 Preheating stage; And 상기 예열 스테이지 위에 설치되며 상기 예열 스테이지 위에 놓인 웨이퍼를 상기 히터 스테이지들 위로 이송하기 전에 미리 소정 온도로 가열하는 예열 장치를 포함하는 공정 챔버를 구비하는 것을 특징으로 하는 반도체 제조용 장치.And a process chamber comprising a preheating device installed on the preheating stage and heating the wafer placed on the preheating stage to a predetermined temperature before transferring onto the heater stages. 제4 항에 있어서, 상기 예열 장치는 상기 예열 스테이지 위에 놓인 웨이퍼를 가열시키는 할로겐 램프를 구비하는 것을 특징으로 하는 반도체 제조용 장치.5. The apparatus of claim 4, wherein the preheating device comprises a halogen lamp for heating a wafer placed on the preheating stage. 삭제delete 삭제delete 제2 항에 있어서, 상기 로드락 챔버는The method of claim 2, wherein the load lock chamber is 상기 로드락 스테이지에 놓인 웨이퍼를 상기 공정 챔버로 이송할 때 상기 웨이퍼를 잡아주는 웨이퍼 그리퍼;A wafer gripper for holding the wafer when transferring the wafer placed on the load lock stage to the process chamber; 상기 웨이퍼 그리퍼를 지지하는 로드락 스윙암; 및A load lock swing arm supporting the wafer gripper; And 상기 로드락 스윙암을 횡으로 회전시켜서 상기 로드락 스테이지 위에 놓인 웨이퍼를 상기 공정 챔버 내로 이송시키는 회전장치를 포함하는 것을 특징으로 하는 반도체 제조용 장치.And a rotating device for rotating the load lock swing arm laterally to transfer a wafer placed on the load lock stage into the process chamber. 제2 항에 있어서, 상기 언로드락 챔버는The method of claim 2, wherein the unload lock chamber is 상기 반도체 공정이 완료된 웨이퍼를 상기 공정 챔버로부터 상기 언로드락 챔버로 이송할 때 상기 웨이퍼를 잡아주는 웨이퍼 그리퍼;A wafer gripper for holding the wafer when the wafer having completed the semiconductor process is transferred from the process chamber to the unload lock chamber; 상기 웨이퍼 그리퍼를 지지하는 언로드락 스윙암; 및An unload lock swing arm supporting the wafer gripper; And 상기 공정 챔버의 웨이퍼를 상기 언로드락 챔버로 이송시키기 위하여 상기 언로드락 스윙암을 횡으로 회전시키는 회전장치를 포함하는 것을 특징으로 하는 반도체 제조용 장치.And a rotating device for rotating the unload lock swing arm laterally to transfer the wafer of the process chamber to the unload lock chamber. 삭제delete 제2 항에 있어서, 상기 로드락 스테이지 위에 놓인 웨이퍼를 횡으로 회전하여 상기 공정 챔버 내로 이송하는 로드락 스윙암; 및3. The apparatus of claim 2, further comprising: a load lock swing arm for transversely rotating the wafer on the load lock stage into the process chamber; And 상기 공정 챔버 내에서 공정이 완료된 웨이퍼를 횡으로 회전하여 상기 냉각 스테이지 위로 이송하는 언로드락 스윙암을 더 구비하는 것을 특징으로 하는 반도체 제조용 장치.And an unload lock swing arm for laterally rotating the wafer having completed the process in the process chamber and transferring the wafer to the cooling stage.
KR10-2002-0034126A 2002-06-18 2002-06-18 Apparatus for fabricating semiconductor device KR100517083B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0034126A KR100517083B1 (en) 2002-06-18 2002-06-18 Apparatus for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0034126A KR100517083B1 (en) 2002-06-18 2002-06-18 Apparatus for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
KR20030097005A KR20030097005A (en) 2003-12-31
KR100517083B1 true KR100517083B1 (en) 2005-09-26

Family

ID=32387586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0034126A KR100517083B1 (en) 2002-06-18 2002-06-18 Apparatus for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR100517083B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101367899B1 (en) * 2007-05-17 2014-02-26 위순임 System for substrate processing
KR101383248B1 (en) * 2007-09-04 2014-04-08 위순임 High speed substrate processing system

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115910886B (en) * 2022-12-28 2024-04-16 深圳市纳设智能装备股份有限公司 Transmission cavity, semiconductor device and wafer transmission method
CN117352440B (en) * 2023-12-05 2024-04-12 青岛育豪微电子设备有限公司 Semiconductor cooling device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150608A (en) * 1998-11-18 2000-05-30 Hitachi Ltd Manufacture of semiconductor device
JP2000216221A (en) * 2000-02-25 2000-08-04 Hitachi Ltd Substrate transportation method for vacuum process device
KR20010027867A (en) * 1999-09-16 2001-04-06 윤종용 Thin film formation apparatus and method for forming capacitor of semiconductor device using the same
KR20020062562A (en) * 2001-01-22 2002-07-26 주식회사 코삼 Semiconducter manufacturing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150608A (en) * 1998-11-18 2000-05-30 Hitachi Ltd Manufacture of semiconductor device
KR20010027867A (en) * 1999-09-16 2001-04-06 윤종용 Thin film formation apparatus and method for forming capacitor of semiconductor device using the same
JP2000216221A (en) * 2000-02-25 2000-08-04 Hitachi Ltd Substrate transportation method for vacuum process device
KR20020062562A (en) * 2001-01-22 2002-07-26 주식회사 코삼 Semiconducter manufacturing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101367899B1 (en) * 2007-05-17 2014-02-26 위순임 System for substrate processing
KR101383248B1 (en) * 2007-09-04 2014-04-08 위순임 High speed substrate processing system

Also Published As

Publication number Publication date
KR20030097005A (en) 2003-12-31

Similar Documents

Publication Publication Date Title
KR100613171B1 (en) Method and Apparatus for Cooling Substrates
JP4409756B2 (en) Dual substrate load-lock process equipment
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
US6314658B2 (en) Vacuum processing apparatus and operating method therefor
US8354001B2 (en) Processing thin wafers
US6780251B2 (en) Substrate processing apparatus and method for fabricating semiconductor device
US20040200244A1 (en) Remote plasma enhanced cleaning apparatus
JPH10125762A (en) Apparatus and method for treating substrate
KR102002210B1 (en) Method for cleaning substrate transfer mechanism and substrate processing system
WO2016148327A1 (en) In-line sputtering system having plurality of rotatable tray holders, and package shielding manufacturing method using same
JPH03136345A (en) Semiconductor wafer processor
JPH11150071A (en) Processor and processing system using the same
US20030136513A1 (en) Semiconductor manufacturing apparatus
KR100517083B1 (en) Apparatus for fabricating semiconductor device
JP2009200142A (en) Film forming device and film forming method
KR102325772B1 (en) Apparatus for processing substrate
JP2004200329A (en) Substrate processing apparatus and method therefor
KR100433067B1 (en) Semiconducter manufacturing apparatus
KR100560623B1 (en) Apparatus for manufacturing semiconductor device and operating method thereof
KR100803562B1 (en) Apparatus for processing a substrate
JP5031960B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JPH1070171A (en) Equipment and method for treating substrate
USRE39824E1 (en) Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
JP2002141391A (en) Substrate processor
JP3668681B2 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091216

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee