TW200535989A - Method for removing a substance from a substrate using electron attachment - Google Patents

Method for removing a substance from a substrate using electron attachment Download PDF

Info

Publication number
TW200535989A
TW200535989A TW094113719A TW94113719A TW200535989A TW 200535989 A TW200535989 A TW 200535989A TW 094113719 A TW094113719 A TW 094113719A TW 94113719 A TW94113719 A TW 94113719A TW 200535989 A TW200535989 A TW 200535989A
Authority
TW
Taiwan
Prior art keywords
gas
substance
reaction chamber
patent application
reactive
Prior art date
Application number
TW094113719A
Other languages
English (en)
Other versions
TWI263255B (en
Inventor
Chun Christine Dong
Bing Ji
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/835,450 external-priority patent/US20050241670A1/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200535989A publication Critical patent/TW200535989A/zh
Application granted granted Critical
Publication of TWI263255B publication Critical patent/TWI263255B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K35/00Means to prevent accidental or unauthorised actuation
    • F16K35/04Means to prevent accidental or unauthorised actuation yieldingly resisting the actuation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/44Mechanical actuating means
    • F16K31/60Handles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200535989 九、發明說明: 發明所屬之技術領域 本發明涉及一種從塗覆基材的至少一部分中除去物質 的方法,尤其涉及用於從基材例如半導體材料本身上除去 物質的方法。 先前技術 在半導體積體電路(IC )、光電器件、微電子機械系統 (MEMS)和其他電子器件的製造中,進行薄膜沈積的多 個步驟,以在例如半導體材料的基材上構成若干完整的電 路(晶片)和裝置。經常用各種薄膜沈積每個基材,其中 的薄膜例如但不限於,導電膜,如鎢;半導體膜,如摻雜 和不掺雜的多晶石夕(多一 S i ),摻雜和不摻雜的(本性)非 晶矽(a— Si )等;介電膜,如二氧化矽(Si〇2 ),不摻雜的 矽玻璃(USG),摻雜硼的矽玻璃(BSG),摻雜磷的石夕玻 φ 璃(PSG),硼磷矽酸鹽玻璃(BPSG),氮化矽(Si3N4), 氮氧化石夕(SiON)等;低-k介電膜,如摻雜氟的石夕酸鹽玻 璃(FSG),和摻雜碳的矽玻璃,例如“黑金剛石(Black Diamond) ” 。 在現代的製造工業中,通過將基材放到處理室或反應 室(reactor)中並引入進行化學反應的氣體以在基材的表 面上沈積固體材料來完成薄膜沈積。通常薄膜沈積工藝的 例子是化學氣相沈積(CVD )。這些化學反應通常需要升高 的溫度(高達600°C )以克服反應活化能。另一種方法是, 5 200535989 將射頻(RF )能量耦合到真空室中以觸發初級粒子成為放 電狀態,即電漿。在一種的方法中,使用電漿能量可以以 較低的工藝溫度和更高的效率沈積較高品質的膜。這樣的 工藝稱為電漿增強化學氣相沈積(PEc vd )。 該沈積工藝不但利於在基材表面上生長膜,而且在反 應至的内部表面上留下了膜和固體殘餘物。這些不希望有 的固體殘餘物會改變反應室表面特性和RF功率耦合效 率。廷樣的反應室的改變也可能導致沈積工藝性能變化以 及生產量的降低。例如,在隨後的沈積迴圈期@,聚積的 固體殘餘物可能從反應室的内部表面剝落並沈積顆粒至晶 片表面上。因此,沈積反應室的内部表面的定期清洗或室3 清洗是必要的以保持生產量。 對於CVD反應室,可以使用氟化學藥劑進行反應室的 清洗,也稱為室清洗’以將固體殘餘物轉換成可以通過真 空栗從CVD反應室中抽空的揮發性氣態副產物。關於這二 點’反應性的氟原子(F .)自氟代化合物產生。在歷史上, 全氟化碳(PFCs),例如(^4和他被用作電漿啟動室清洗 中的反應性的氟源。不幸的是’使用全氣化碳氣體用於室 清洗對環境具有很大的不利影響。全氟化碳,例如d和 C2F6 ’強烈地吸收紅外輻射並且具有很長的大氣壽命(eh 大於50,000年和他大於1〇〇〇〇年)。結果,這些全氟化 碳氣體是引起全球變暖的最強力的溫室氣體。由於全氣化 碳分子十分穩定,所以在電聚中很難分解。換句話說,pFc 分解率(DE)趨向於很低。通常的des圍,CF4為從5% 200535989 至20% ’ C2F6為從20%至50%。除了未破壞的送進pFc 氣體’全氟化碳基的室清洗典型地排放出如上所述的巨大 數量的CF4。儘管估計有些變化,但一般認為自半導體製 造設備排放的70%的PFC來自CVD室清洗工藝。通過半 導體工業的指數生長,從半導體製造工藝中排放的PFC氣 體可能變成地球變暖的重要排放源。 C VD室清洗中用三氟化氮(NFs )代替全氟化碳對降 低溫室氣體排放提供了戲劇性改進。與全氟化碳氣體比 較’ NF3具有750年的相對短的大氣壽命。當充分地最優 化時’在於原處的室清洗電漿中的NF3的分解率可以高於 90%。由於NF3不含碳,所以從NF;電漿中不會釋放出 CF*。電漿概括地定義為其中巨大數目的原子和/或分子處 於帶電或離子化的物質狀態。負電荷和正電荷的數目是相 等的’且因此電漿的全部電荷是中性的。在nf3電漿中不 會形成全球變暖的副產物。因此,在CVD室清洗中的通過 用NF;代替全氟化碳氣體,可以實現溫室氣體排放的顯著 下降。 目則’有三種利用NF3進行室清洗的技術平臺:熱、 原處電衆和遠程電漿。現有NF3 —基CVD室清洗技術一般 使用熱或電聚啟動。熱和電漿啟動NF3室清洗技術在NF3 使用、氟利用和能源消耗上存在問題。在使用NF3的典型 的熱室清洗工藝中,需要將NF3加熱到超過500°C的溫度 以啟動NF3分子的熱分解。不幸的是,某些非熱能的cvd 反應室’例如PECVD反應室,使用溫度控制器以保持反應 7 200535989 室處於對於有效的熱NF3清洗來講過低的低於400 °C的溫 度。對於原處電漿清洗,RF電漿在反應室内部產生並且在 電漿中的高能電子通過電子碰撞使NF3分解。然而,原處 電衆’例如通過形成負離子,可變成高度負電的。當負離 子作為載流子支配電子時,在反應室中該電漿便變得不穩 定和/或坍縮,從而尤其導致不完全的室清洗、差的NF3 利用率和低的NF3離解率。此外,在原處清洗期間發生的 高能離子轟擊可引起金屬部件損壞。雖然遠端電漿清洗減 緩了原處清洗的不足,但是氟利用率太低,增加了該工藝 的所有者的總成本。這些困難會阻礙NF3基的室清洗在產 業中的廣闊應用。 除了室清洗’蝕刻工藝也被廣泛地用於例如1C和 MEMS製造的電子器件的製造中。可從基材上除去或钱刻 各種各樣的材料。目前,使用乾法和濕法蝕刻工藝。濕法 餘刻工藝使用侵㈣的化學溶液以姓刻材料。❿濕法蝕刻 已經用於產業中幾十年了,化學試劑和水資源的巨大消 t、環境、健康和安全關切,以及廢水處理的高成本都會 造成明顯的缺點。庫乞法工藝處理包括熱和電漿蝕刻方法。 在目前的乾法蝕刻工藝處理中,電源消耗和反應性氣體利 用率是持續存在的困難。 發明内容 這裏公開了-種從塗覆基材的至少_部分中除去物質 的方法。$襄描述的方法可以用於從基材的至少一部份除 200535989 去物質,該基材為用於例如在沈積或處理包括半導體材料 的基材的反應室和/或内含的任何固定裝置。在可選的實施 例中,這襄描述的方法可以用於從基材例如半導體材料本 身上除去物質(如錄刻)。 在一個方面,提供了一種清洗反應室的方法,包括·· 提供至少一部分表面塗覆有物質的反應室;提供在反應室 中或接近反應室的第一和第二電極,其中第一和第二電極 位於目標區域中;將包括反應性氣體的氣體混合物傳送到 目標區域中,其中反應性氣體具有大於零的電子親合力; 向第一或第二電極的至少一個提供能量以在目標區域中產 生電子,其中至少一部分電子附著於至少一部分反應性氣 體上,從而形成帶負電荷的清洗氣體;用帶負電荷的清洗 氣體接觸物質,其中帶負電荷的清洗氣體與物質反應並形 成至少一種揮發性產物;以及從反應室中除去該至少一種 揮發性產物。 在另一方面,提供一種從反應室的至少一部分表面除 去物質的方法,包括··提供包括至少一個電極和該表面的 反應至,其中該表面的至少一部份被接地;將包含反應性 氣體、任選一種惰性稀釋氣體和任 混 小、肢氣腹 合物引入反應室;向該至少一個電極和/或該表面施加 電壓以產生電子,其中至少一部分電子附著到至少一部分 反應氣體上,K而形成帶負電荷的清洗氣體;用帶負電荷 的清洗氣體接觸物質,1中帶負電荷的清洗氣體與物質反 應亚形成至少一種揮發性產4勿;以及從反應室除去該至少 200535989 一種揮發性產物。 在另一方面,提供一種從反應室的至少一部份表面除 去物質的方法,包括:在反應室外部的遠端室中引進反應 性氣體’啟動遠端室中的反應性氣體以形成反應性產物;
&供包括至少一個電極和該表面的反應室,其中至少該表 面的一部份被接地;將反應性氣體、反應性物質和任意一 種惰性稀釋氣體的氣體混合物引入反應室;向該至少一個 電極和/或該表面提供電壓以產生電子,其中至少一部分 電子附著於至少一部分反應性氣體上,從而形成帶負電荷 的/月洗氣體;以帶負電荷的清洗氣體接觸物質,其中帶負 電荷的清洗氣體與物質反應並形成至少一種揮發性產物; 以及從反應室除去該至少一種揮發性產物。 在再-方面,提供-種從包括半導體材料的基材上除 去物質的方法:提供一種至少部分表面塗覆有將被除去的 物質的基材;提供接近基材的第一和第二電極直中第一 和第二電極位於目標區域中;向目標區域中傳送含有反應 性軋體的氣體混合物中反應性氣體具有大於零的電子 親和力^第—和第二電極的至少—個提供能量以在目桿 區域中f生電子’…少-部分電子附著於至少一部分 :應:乳體上’從而形成帶負電荷的蝕刻氣體;用帶負電 何的清洗氣體接觸物質,其中帶 ^ ^ t %貝冤何的蝕刻氣體與物質 ==一種揮發性產物;以及從該目標區域除去 該至V —部分揮發性產物。 200535989 實施方式 這裏描述的方法可用於從包括半導體材料的基材的至 少-部分上除去物質(例如姓刻)以及清洗供半導體製造 的反應室和/或内含的固定裝置。因此,敍刻實施例的適 合的基材包括例如半導體材料等,而清洗實施例的適合的 基材包括例如用於CVD和/或ALD處理的反應 面。在這裏描述的方法的兩種實施例中,物質都不可以通 籲過由電子附著形成的帶負電荷的氣體從基材的至少一部分 上有效地除去。將被除去的物質的本性取決於基材的種類 (例如反應至對半導體材料)。在某些姓刻實施例令將 被除去的物質的本性可能與基材本身材料的相同。在這些 Λ知例中’至少基材的—部分可被遮掩以保護基材表面的 該部分使其留下。 術語“基材,,表示一種在其基底上將沉積物質的固態 材2。基材可包括但不限於,在反應室和/或内含的任何 • Q定裝置中的表面的至少一部分,或可選擇地,包括半導 體材料。在之後的實施方案中,可以使用的適合的基材包 4不限於,半導體材料例如砷化鎵(‘‘ GaAs” )、氮化硼 N )石夕’和含有矽的合成物例如晶體矽、多晶體矽、 多晶石夕、非晶石夕、外延石夕、二氧化石夕(“Si〇2”),碳化矽 (SlC )、碳氧化矽(“Sioc” )、氮化矽(“SiN”)、 反氮化矽(SlCN” )、有機矽酸鹽玻璃(“ 〇SG” )、有 機鼠矽酸鹽玻璃(“0FSG” )、氟矽酸鹽玻璃(“FSG” ), 和其他適當的基材或其包括那些摻雜有某些元素的混合 11 200535989 物,例如但不限於 来 於忪、硼、砷和鎵。基材可進一步包括 各種將膜塗覆到其上的層,例如抗反射塗覆層、光刻膠層、 有機聚口物層、1碳聚合物層、多孔的有機或無機材料層、 如銅或铭的金屬層,或如TiN、Ti ( C ) N、TaN、Ta ( C ) N Ta W、WN、TlSiN、TaSiN、SiCN、TiSiCN、TaSiCN 或(C)N的擴散阻擋層。在某些實施方案中,該方法除 去非揮發性物質’例如但不限於,w、Ti、Si〇2、Ti〇2、Si〇N、
SiC、有機矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、多孔低介電 吊數材料、夕晶矽、非晶矽,SiN、WN、Al2〇3、Hf〇2、Zr〇2、
HfSi〇4、銷叙组鐵礦(SBT)、鈦酸鋰鋇(bst)、鈦酸锆磷 (PZT )處理殘餘物如在後蝕刻(post—etch )或離子注入 後光阻劑材料以及側壁鈍化膜、或這襄描述的在至少基材 的一部分上用作半導體材料或沉積於其上的膜的任何材 料。 將被除去的物質從非揮發性材料轉變為可以通過反應 室真空泵或其他裝置輕易除去的揮發性產物。如這裏使用 的術語“揮發性產物,,涉及到在將被除去的物質和帶負電 荷的钱刻氣體之間的反應產物和反應副產物。因此可以通 過在足以與物質反應並形成揮發性產物的情況下,使物質 與帶負電荷的蝕刻氣體接觸而從基材的至少一部分除去該 物質。 用尤其包括反應性氣體的氣體混合物處理具有將被除 去的物質的基材。如這裏使用的術語“反應性氣體”描述 了 種氣體’它具有大於0的電子親和力,可通過電子附 12 200535989 著加以使用和處理,並且具有能使反應性氣體分子離解從 而形成帶負電荷氣體的離解性電子附著能力。以下說明其 中氣體混合物包括反應性氣體NF3和惰性稀釋氣體乂的特 疋實施方案。在該實施方案中,帶負電荷的氟離子f〜是通 過在反應式(1)中所述的Νί?3分子的離解性附著處理形成 的: NF3 (g)+e--NF2 (g)+F- (g) (1) _ 寺e亥T負電的F離子漂移向陽極,它例如可以是反應室 内的接地的内表面或半導體材料本身。在該陽極,帶負電 荷的離子,例如反應式(1)中的F-,可以作為之後與下 面反應式(2 )中的將被除去的物質如si〇2反應的活性物 質,以形成一種或多種揮發性產物,例如在反應式(2 )中 的 SiF4 和 〇2 : 4F- (g)+Si〇2 (s)-SiF4 (g)+〇2 (g)+4e- (2) 作為反應(2 )的副產物,自由電子在接地的陽極或半導體 籲材料上可以被中和。在這一過程中,由於其電子親和力的 值小或為零(例如n2),所以惰性氣體的作用可能很小或 可以忽略。 這裏描述的方法可以用於例如從包括半導體材料的基 材上選擇性除去一種或多種物質。在這些晶片製造工藝中 傳統上使用濕剝離和/或電漿儀刻。對比于傳統方法,帶 負電何的清洗氣體的使用可以提供以下優點中的至少— 種:高钮刻率;低操作成本;高生產能力;最小化的基材 損傷刚;以及低資金成本。例如,這襄描述的方法可 13 200535989 • 以用來從如這裏描述的半導體材料除去物質。在某些實施 方案中,該將被除去的物質可以包括但不限於,矽或含矽 的介電材料,如W、A卜WN、Ta、TaN的金屬和導體,如 光阻劑的有機材料和如silktm或vel〇xTM的低—^介電 材料。該蝕刻工藝可以用於通過構圖和/或各向異性蝕刻 從a曰片的選擇區域除去、或為了平面化、剝離/灰化抗蝕 劑和晶片清洗從整個晶片除去。 • 這襄公開的方法可用於各種室清洗或蝕刻工藝。例 如,在一個實施方案中,它能用作代替傳統的原處電漿或 熱室清洗或蝕刻方法的室清洗方法。在該實施方案中,包 括反應性氣體、任選一種惰性稀釋氣體和/或任選一種添 加氣體(additive gas )的氣體混合物,通過在反應室内部 的電子附著能形成帶負電荷的清洗氣體,在某些實施方案 中該反應室内可裝有半導體材料。可以用室内部的電子發 射電極作為陰極並可將該室室壁接地以作為陽極。在這個 或其他實施方案中,該帶有將被除去的物質的半導體材料 也可以作為陽極。當將例如Dc電壓的能量源施加到兩個 電極之間時,可為例如從〇至1〇eV不等的低能電子便從電 子發射電極發射出來,並沿著電場漂移至接地的室壁或半 導體材料。纟該電子漂移期Γ曰1,某些反應性氣體分子會捕 獲電子並形成含有然後作為活性形式離子的帶負電荷的清 洗乱體。沒些氣體的電子附著作用為放熱反應。由於電場 2移:在沈積反應室内表面或半導體材料上該帶負電荷的 /月洗乱體此時可以被優先吸收,並因此提高了反應性氣體 200535989 的效率和除去率。而且,使 b * 對低旎篁、帶負電荷清洗 的電子附著處理,可使對該室和内含的任何固定裝置 =貝傷’或使通常由高能正離子轟擊引起的料導 的損傷最小化。 十 在一可選實施方案中’該方法可以用於增強遠端電聚 刻。如這裏使用的,術語“遠端電衆,,涉及到如 在反應室外部的遠端室中的電聚的產生。在遠端電衆清洗 或钱刻中,用例如但不限於相對高的功率範圍(例如,⑽ 至14’0〇〇W)的RF或微波源能源,通過使用例如這裏公開 的任一種反應性氣體,在遠端室中,產生含有反應性物質 的強的電漿。在這些實施方案中,氣體混合物可以包括反 應性物質,即,在雷名κα # ^ 、土 在電子附者以形成帶負電荷的清洗氣體之 刖遇私至中被啟動的反應性離子或反應性原子。在這些 ㈣方案中’反應性物質和/或反應性氣體分子的電子: 者可以增強用於室清洗或敍刻的遠端產生電製的效率。例 Γ通過在遠端電聚產生器下游剌電子附著處理,由該 =電漿:出來:㈠原子和/或f2分子的中性反應性物 貝將形成π負電荷的離子’它們能作為清洗在沈積室内部 的沈積殘餘物的活性劑,在苴 導體材料。而且,如F-的ΠΓ 積室含有半 、 的負電何反應性物質不容易複合而 形成如f2的中性分+。a , 此外,如F2的複合副產品可以轉換 二:中f生的對應物(F2 )更活潑的F2—。改進的清洗或钱 J >…不僅減幻清洗時間和清洗氣體使用,還降低了自 室清洗處理流出排出物的洗務負擔。因此,可以降低室清 200535989 洗或蝕刻工藝的所有者的整體成本(c〇〇)。 在再一貫施方案中,該方法可代替遠端電漿清洗或遠 端電聚餘刻加以使用。在該實施方案中,冑包括反應性氣 體的氣體混合物通過目標區域和,或包含作為陰極和陽極 的第-和第二電極的遠端負離子產生器。在共同懸而未決 的目前指配給本發明的受讓人的美國專利申請系列號10/ 819’277中說明了遠端負離子產生器的實例子,在這裏將其 全部内容併人供參考。在其中使氣體混合物通過遠端負離 子產生器的實施方案中,遠端負離子產生器的出口,與在 某一實;方案中可含有半導體材料的反應室保持流體連 通0 如以上討論的,可向至少一個電極提供能量,例如第 一電極^夠引起第—電極產生電子。在某些實施方案 中’能源可以是電能或電㈣,例如AC 5戈DC源。也可以
單獨或結合任何前述的能源使用其他能源,例如電磁能 源、熱能源或光能源。能泝 θ 一 此源了以疋不變的或是交替的脈衝。 在攻裏描述的某些實施方案中 極連接到第一電壓電平,以及將第/極或代理陰極電 將第一電極或代理陽極電極 —電壓電平。在其他實施方案中,第一和第二電 ==像代理陰極和看像代理陽極之間選擇1壓電 愛差異產生了電位㈣。第一或第二電㈣平之一可以 第:電極明兩個電極中任何—個都可以接地。關於這一點, 反庫室中^不是真實的電極’而是接地的室壁和/或在 反應至中的固定裝置或是半導體材料本身。 16 200535989 為了通過電子附著產生帶負電荷的離子,需要產生相 對大數量的電子。關於泛一點 關於Xe點,可以通過各種方式產生電 子例士仁不限於,陰極發射、氣體放電或#結合。& $ 些電子產生方法中,方法的選擇主要取決於產生電子的效 率和能量等級。 如前面提到的,對於其中反應性氣體包括含氟氣體祕 的實施方案’通過電子附著的效率最高的離子形成是借助 一 使用具有^ 2eV能量的自 • 里扪目甶電子几成的。在這些實施方案 中,這樣的低能量等級電子可以通過陰極發射和/或氣體 放電產生。對於涉及通過陰極發射的電子產生實施方案, 這些實施方案可包括:場發射、熱發射、熱_場發射、光發 射和電子或離子束發射。 場發射涉及通過在相對於基電極的發射電極上施加有 負偏壓的電場,該負偏壓電場在強度上足夠高以克服使電 子從發射電極表面產生的能量位5。在某些實施方案中, •將Dc電壓施加在兩個電極之間,它在從0.1至50kv,或 從2至30kv的範圍内。在這些實施方案中,電極之間的 距離在0.1至3 〇cm,或從0·5至5 cm的範圍内。 另一方面,熱發射包括使用高溫度以激勵在發射電極 中的電子並從發射電極材料的金屬結纟中分離電子。在某 些優選實施方案中,該發射電極的溫度在從8〇〇至 °C,或從800至150(rc的範圍内。通過各種方法可以將該 發射電極提升到和/或保持在高溫度下,例如但不限於Y 使AC或DC電流通過電極的直接加熱;如用由加熱元件、 17 200535989 IR輻射或其結合加熱的電絕緣熱表面接觸陰極表面的間接 加熱。 對於熱-場放發射,電場和高溫度均施加。因此,較之 於純粹的場發射和純粹的熱發射,對於產生相同量的電子 熱-場發射可需要較小的電場和較低的電極溫度。在其中熱 -場發射用於電子產生的實施方案中,作為陰極的[電極 的溫度可在從大氣到350(rc左右的範圍内,或從15〇至 1500C的範圍内。在這此實絲方宏由 、二貫轭方案中,電壓可在從0.01至 2〇kV,或從(M至1〇kv的範圍内。 在其中陰極發射裝置用作產生電子的實施方案中,施 加到兩個電極之間的電壓可以是不變的或是脈衝。該電壓
脈衝的頻率可為從〇至1 〇〇 kH υ ΚΗΖ不專。通過施加脈衝電壓, 可以降低兩個電極之間的飛弧傾向(arcingtendency),以 便提局施加電壓並強化陰極發射。 W面提^的’當第—電極相對于作為陽極的第二電 極/、有負偏壓時’電子可以自作為陰極的第一電極產生。 某-實轭方案中’第二電極是接地的室壁和/或含於反 應室中的接地的固^裝置。在另外的實施方案中,該第二 電極可以是將被餘刻的半導體材料。在其中陰極發射裝置 用於產生電子的實施方宰中, 系〒電極材料可以由在處理條件 有相對低電子發射能量或功函數和高穩定性的導電材 二組成。適合的材料的實例包括鎳、銥和氧化銥。在复中 ,^ 案中’電極優選地達到具有大的表面 曲率的幾何形狀,例如έ t 、、’田線或小+徑尖頭,以強化電極表 18 200535989 面附近的電場。在目前讓與給本發明的受讓人的共同懸而 未決的美國專利申請系列號10/ 425,405中提供了幾何形 狀的進一步的例子,在此將其全部併入供參考。 低能3:電子也可以通過氣相放電產生,其中放電電子 的能量等級可借助氣相壓力加以調整。這些實施方案可包 括熱放電、光放電和各種雪崩放電,其包括輝光放電、電 弧放電、火花放電和電暈放電。在這些實施方案中,用於
室清洗或蝕刻的氣相可含有反應性氣體和用於貢獻電子的 惰性稀釋氣體,其中惰性稀釋氣體的電子親和力顯著低於 反應性氣體的電子親和力。在包括氣相放電的一個詳細實 施方案中,可將高頻脈衝電壓施加於第一和第二電極之間 並且從兩個電極之間的氣體混合物產生電子,此後該電子 漂移向陽極。在電子漂移期間,這些電子中的一些可附著 在反應性氣體分子上並通過電子附著形成帶負電荷的離 子。另外,通過惰性氣體離子化也產生了一些正離子,它 們然後漂移向陽極並在陽極表面被中和。 如前面提及的,包括反應性氣體、任選—種惰性氣體 和任選-種添加氣體的氣體混合物—般用作室清洗或^ 的供給氣體。在任一實施例中,具有大於〇的一定電子親 和力的反應性氣體可通過電子附著加以使用和處理,並呈 :能使反應性氣體分子離解從而形成帶負電荷氣體的離解 電子附著的能力。適合的氣體的例子包括含有_辛的氣 ^例如但不限於,如呢4、邮、的等含氣氣體, 如心肥等含氣氣體,如断和叫等含 19 200535989 HI 和 12 等含碘氣體,如 C1F、C1F3、HF、SF6、ΒιΤ3、BF3 等混合鹵素氣體和具有分子式NFnCl3-n的化合物,其中n 是從1至2的數,如CF4、C2F6、C3F8、C4F8等碳氟化合物, 如CUF^O和COF2專含氧破氟化合物’如六氟戊二嗣() (cf3c(o)ch2c(o)cf3或c5h2o2f6)等含氫氧碳氧化合 物’如六氟丙酮(cf3c(o)cf3)和六氣丙酮(cci3c(o)cci3) 等含氧碳氣化合物,以及混合的氧、氫,和具有通式匕H 的鹵素化合物,其中X和γ是鹵素原子F、C卜 Br和I中的一個,α是從i至6範圍内的數,点是從〇至 13範圍内的數,γ 5等於從i至14範圍内的數,以及 ε是從1至6範圍内的數。還有其他的包括含氯烴和具有 通式CaHbCle的氫氣烴反應性氣體的例子,其中‘〆是從 1至6範圍内的數,‘b,是從〇至13的範圍内的數,;^ 是從工至14的範圍内的數。特定的含氯烴和氫氯烴的例子 包括,反二氯乙烯C2H2C12 (反—LC®)、順二氣乙烯、U-二氣乙稀、U,卜三氣乙⑥(C2H3Cl3)和四氣乙烯, (C2Cl4)。反應性氣體的再進—步的例子包括,含氣的氣 體、含氮的氣體及其混合物’如NH3、N2+H2,碳氯化物 如叫、咖等,胺如顺而,其中‘χ,是從…範圍 二y’等於‘3-x,,以及R是包括但不限於具有 體'至2個碳原子的烧基的官能團。除了前述的反應性氣 體’任何其他具有一定電子親 τ # 力且為本性反應性的或可 至種捏:者形成活性物質而將固態沈積殘餘物轉換為 至广種揮發性的產物的氣體,可都適用於這襄描述的方 20 200535989 法0 在某些實施方案中,惰性稀釋氣體或稀釋氣體可以添 加到氣體混合物中。太;士此杏# 士 & 初甲在思些灵施方案中,惰性稀釋氣體具 有小於氣體混合物中含有的反應性氣體的電子親和力。適 合的惰性稀釋氣體的例子包括但不限於,N2、Ar、He、Ne、 _ 及〃此σ物。氣體混合物中的惰性稀釋氣體的體積 含量為從0至99.9%或從1至99%不等。 •在某二實%方案中,該氣體混合物可包括添加氣體。 術語“添加氣體,,描述了在處理條件下不能離解附著的、 不同於反應性氣體的氣體。添加氣體的氢 體如〇2、〇3、—2、助、一叫。混合纽= 加氣體的體積含量在從〇至99.9%或從!至99%的範圍内。 在氣體混合物中的反應性氣體、任選的附加氣體和任 選的惰性稀釋氣體的選擇取決於將被除去的物質的種類。 在被除去的物質選自例如單晶矽、多晶矽、非晶矽以及摻 • 雜有例如硼、磷和砷元素及其組合的所述的材料的實施方 案中,該氣體混合物可含有一種或多種選自某些含鹵素氣 體的反應性氣體,例如F2、NF3、XeF2、cf4、c2F6、c3F8、 C4F8、COF2、c12、Br2、HBr、HI、HF、CIF3、C1F、BrF3、
Ch和HC1。在這些實施方案中,氣體混合物進一步可包括 一種或多種添加氣體例如〇2和/或一種或多種惰性稀釋 氣體如Ar和He。在其中將被除去的物質為,如si〇2、siN、 SiON、SiC專含碎介電材料、如BLACK DIAMONDtm和 DEMStm等有機矽酸鹽玻璃(OSG )和摻雜氟的矽酸鹽玻璃 21 200535989 (FSG)、摻雜硼的矽酸鹽玻璃_(BSG)、未摻雜的矽酸鹽玻 璃(USG)、DEMS、如 PDEMStm 和 MESOELKTM 的多孔低 一k介電材料的實施方案中,該氣體混合物可含有一種或 夕種遥自含鹵素氣體的反應性氣體,例如、Nf3、Xep2、 CF4 C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、 C1F3 C1F、BrF3、ci2和HC1。在這些實施方案中,氣體混 合物可進一步包括一種或多種添加氣體如〇2,和/或一種 或多種惰性稀釋氣體如Al•和He。在其中將被除去的物質 包括’有機聚合物例如光阻劑、低一〜電材料、氟烴聚
〇物例如teflontm、姓刻後殘餘物、透明導電聚合物、 和/或保護聚合物的實施方案中,該氣體混合物可含有一 種或多種反應性氣體’選自如m2+H2的含氫和含氣 的氣體、> CH4或C3H6的碳氫化合物、如NRxHy的胺盆 中:是從!至3範圍内的數,y等於‘3—X,以及R是具 有從1至12個碳原子的烷基。在其中將被除去的物質包括 有機聚合物如光阻劑、低—W電材料、氟烴聚合物、蝕 刻後殘餘物、離子注人後殘餘物、透料電聚合物和保護 劑聚合物的實施方案中’該氣體混合物可包含選 的氣體如 F2、NF3、XeF2、CF4、C2F6、C3F8、c4F8、c〇F2,、、 H、HBr、Hl、HF、C1F3、clF、BrF3、ci^Hci φ種或多種反應性氣體以及選自含氧氣體如02和〇 物種加氣體。在這些實施方案中,氣體混‘ Ρ進—步包括惰性稀釋氣體如Ν2,斛或…。在 b去的物質為金屬或導電材料的實施方案中,如W、 22 200535989 體’其選自含鹵素氣體,如p2、NF: C3F8、C4F8、COF2、C12、Br2、HBr、 WN'WSi'Ta'TaN'Ti'TiSi.iT〇(^^^,M,).c_ Ai及其組合物,該氣體混合物可含有_種或多種反應性氣 、XeF2、CF4、C2F6、 HI、HF、C1F3、C1F、
B1T3、Ch和HC1。在這些實施方案中,氣體混合物可進一 步包括-種或多種添加氣體如〇2,和/或一種或多種惰性 稀釋氣體如Ar和He。在其中將被除去的物質為金屬或導 電材料的實施方案中,如w、WN、WSi、丁a、丁心、丁卜 Tisi、IT〇 (銦錫氧化物)、Cu、A1及其組合物,該氣體混 合物可包含一種或多種反應性氣體,其選自含氧碳氟化合 物(如六氟戊二酮(Hhfac)(CF3C(〇)CH2C(〇)CF3,或 C5H2〇2F6 ))、含氧碳氯化合物,如六氟丙酮(cF3C(〇)CF3 ) 和六氯丙酮(CC13C(0)CC13)或混合鹵素化合物。在其中 將被除去的物質為金屬氧化物、金屬氮化物、金屬氮氧化 物金屬矽酸鹽、含氮金屬矽酸鹽及其組合物的實施方案 t,該氣體混合物可含有一種或多種反應性氣體,其選自 含 _ 素的氣體,如 F2、NF3、XeF2、CF4、c2F6 、、 c4F8、c〇f2、Ci2、Br2、HBr、m、HF、C1F3、C1F、BrF3、
Cl2和HC1。在這些實施方案中,氣體混合物進一步包括一 種或多種添加氣體如〇2,和/或一種或多種惰性稀釋氣體 如Ar和He。在其中將被除去的物質為金屬氧化物、金屬 氮化物、金屬氮氧化物、金屬矽酸鹽、含氮金屬矽酸鹽及 其組合物的實施方案中,氣體混合物可含有反應性氣體, 其選自含氧碳氟化合物(如六氟戊二酮(也稱為) 23 200535989 (CF3C(0)CH2C(0)CF3 ’ 或 C5H2〇2F6 ))、含氧碳氣化合物 如六氟丙酮(CF3C(0)CF3 )和六氯丙酮(cci3C(0)CCl3 ) 或用通式CaHysXrYsOe表示的混合的鹵素化合物,其中X 和Y是鹵素原子F、Cl、Br和I中的一個,α是從1至6 範圍内的數,/3是從〇至13範圍内的數,γ +占等於從! 至14中的數,以及ε是從1至6中的數。在將被除去的物 質為金屬氧化物、金屬氮化物、金屬氮氧化物、金屬石夕酸 ,鹽、含氮金屬矽酸鹽及其組合物的實施方案中,氣體混合 物可含有反應性氣體,選自具有通式CaHbCU的含氯烴或含 氣碳氫化合物,其中a是從1至6範圍内的數,b是從〇 至13範圍内的數,c是從丨至14範圍内的數,例如反二氯 乙烯C2H2C12 (也稱為反一 LC®)、順二氯乙烯、丨,二氯 乙烯、ι,ι,ι —二氣乙烷(c2h3ci3 )和四氯乙烯C2Cl4。 對於給定的自由電子的數量, 在由於自由電子加速度 降低和/或在氣體混合物中所含分子和自由電子之間碰撞
运端電漿室内的壓力, 理的效率。在其他實施方案中,反 區域内、遠端離子產生器内和/或 以促進帶負電荷的清洗氣體流動 24 200535989 入反應室内。 每襄公開的方法可用於、主 的各種固定裝置的^ / 和含於其令 .的表面,例如但不限於,流體入口和出口、 中的:定::件平臺等。在這些實施方",室和含於其 、㈣面可由各種不同的材料組成,包括金屬 著、二、不錄鋼、錄或由其構成的合金,或絕緣材料如 陶瓷,例如石英或Ai2〇3。 在某二實把方案中,這裏公開的方法可以用於增強遠 ,«室清洗。在這些實施方案中,使用遠端電浆源而不 疋原處電’灵以產生揮發性產物,例如但不限於遠端熱啟 動源退端催化啟動源或熱和催化組合啟動源。在遠端電 ㈣洗中’清洗氣體的強烈放電在沈積室的外部發生,於 疋反應性物質如反應性原子和原子團便順流流入沈積室中 以使沈積殘餘物揮發。RF或微波源中的任一種都能產生遠 端電衆源。依據能量源,可使用在100至14,_瓦特範圍 内的功率來啟動該電漿。在某些實施方案中,可以通過加 熱反應室而啟動和/或增強在含有遠端電漿產生的反應性 物質的帶負電荷清洗氣體與沈積殘餘物之間的反應。在這 些實施方案中,通過加熱反應室至足以離解一種或多種含 於忒反應性氣體中的反應性氣體的溫度,可以啟動和/或 增強在含有遠端電漿產生的反應性物質的帶負電荷清洗氣 體和將被除去的物質之間的反應。啟動與將被除去物質的 /月洗反應所需要的特定溫度取決於選用的反應性氣體。 在遠程熱啟動中,反應性氣體首先流過加熱的區域, 25 200535989 如在將被清洗的容器外部的遠端室。在遠端室中,該氣體 。將被清洗反應室外部的容器中的高溫接觸而離解。 =擇的方法包括使用催化轉換器以離解反應性氣體,或 結合使用熱加熱和催化裂解以促進在氣體混合物中的一種 或多種反應性氣體的啟動。 在可選實施例方案中,通過對光子強烈曝光能離解氣 體混合物中的一種或多種反應性氣體的分子而形成反應性 ·’、 ® #原子。例如,紫外、深紫外和真空紫外輕射可以 幫助斷裂沈積殘餘物中的牢固的化學鍵,並離解氣體混合 的種或夕種反應性氣體,從而提高了沈積殘餘物的 除去率。對清洗處理還可以使用其他啟動和增強的方式。 例如可以使用光子誘發化學反應以產生反應性物質並增 強通過電子附著產生的帶負電荷的清洗氣體。 在某些實施方案中,在清洗操作期間,可以將反應室 2持在與沈積操作期間基本上相同的操作條件下(壓力和 I溫度)。例如,在其中反應室為CVD反應室的實施方案中, 使沈積氣體停止流動並將其自反應室和輸送管道中清除。 如果需I,可把反應室冑溫溫度轉變至最佳值;然而在優 選方式中,反應室溫度保持在沈積工藝條件。使可包含反 應1*生氣體、惰性稀釋氣體和/或反應性物質的氣體混合物 Μ入反應至中。該反應性氣體將物質,也就是在反應室表 面上的殘渣,轉變為被從反應室清除的揮發性的化合物。 在指定的時間後,或在反應室流出物中檢測出的所形成揮 發性化合物的濃度低於可接受水準之後,停止該清洗氣體 26 200535989 流動並優選地將其自反應室和輸送管道清除。此時重新起 動沈積氣體的流動並恢復CVD沈積處理。
27

Claims (1)

  1. 200535989 十、申請專利範圍: 1· 一種從反應室除去物質的方法,該方法包括: 提供表面的至少一部分塗覆有物質的反應室; 提供在反應室中或接近反應室的第一和第二電極,其 中第一和第二電極位於目標區域内; 將包括反應性氣體的氣體混合物傳送到目標區域中, 其中反應性氣體具有大於0的電子親和力; .向第一或第二電極的至少一個提供能量以在目標區域 中產生電子,其中至少一部分的電子附著到至少一部分的 反應性氣體上,從而形成帶負電荷的清洗氣體; 用π負電荷的清洗氣體接觸該物質,其中帶負電荷的 清洗氣體與該物質反應並形成至少一種揮發性產物;和 從反應室中除去該至少一種揮發性產物。 2·如申請專利範圍第Μ的方法,其中反應性氣體包 括鹵素。 , 3.如申請專利範圍第2項的方法其中反應性氣體是 選自NF3、C1F3、C1F、SF6,全氣化碳,說代破氮化合物, 含氧碳氟化合物,次氟酸鹽’氟代過氧化物,氟代三氧化 物,COF2、NOF、F2’具有分子式NFnCi3 n的化合物直 中11是從1至2範圍内的數,氫氧碳氟化合物,含氣化合 物,含漠化合物,含峨化合物,具有通式c爲 的混合氧、氫和鹵素的化合物,其中χ#〇 γ是函素原子f、 C卜汾和”的一個,α是從…的範圍内的數,万是 從〇至13的範圍内的數字,…等於從…4中的數, 28 200535989 ‘ 以及ε是從1至6範圍内的數,碳氯化合物,含氯碳氫化 合物,含氮和氫的化合物及其混合物中的至少一員。 4·如申請專利範圍第3項的方法,其中反應性氣體為 NF3。 5·如申請專利範圍第1項的方法,其中氣體混合物包 括在遠端室中啟動的反應性物種。 6·如申請專利範圍第1項的方法,其中氣體混合物進 _ 一步包括惰性稀釋氣體。 7·如申請專利範圍第6項的方法,其中惰性稀釋氣體 包括選自氮、氦、氬、氖、氙、氪、氡及其混合物的至少 一種。 8·如申請專利範圍第6項的方法,其中惰性稀釋氣體 的電子親和力小於反應性氣體的電子親和力。 &9.如申請專利範圍第丨項的方法,其中在供給步驟中 的能源為選自電能源、電磁能源、熱能源、電能源、光能 # 源及其組合所組成群的至少一個能源。 10.如申請專利範圍第9項的方法,其中能源為電能源。 U.如申請專利範圍第!項的方法,其中第—電極是接 地的。 12. 如申請專利範圍第丨項的方法,其中第二電極是接 地的。 13. 如申請專利範圍第i項的方法’其中目標區域位於 反應室内。 14. 如申請專利範圍第丨項的方法’其中目標區域位於 29 200535989 反應室的外部。 15·如申請專利範圍f 1項的方法,其中通過至少一種 選自陰極發射、氣體放電及其組合所組成群的方法在供給 步驟中產生電子。 16·如申請專利範圍第15項的方法,其中通過選自場 發射、熱發射、熱_場發射、光發射和電子束發射所組成群 的陰極發射方法產生電子。 17.如申請專利第丨項的方法,其中該物質為選自 w、Ti、Si〇2、Ti〇2、SiON、多晶矽、非晶矽、_、wn、 Al2〇3、Hf02、Zr〇2、HfSi〇4及其混合物的至少一種。 18·—種從反應室的至少一部分表面除去物質的方 法,該方法包括: 提供包括至少一個電極和該表面的反應室,其中至少 該表面的一部分接地; 將包括反應性氣體和任選一種惰性稀釋氣體的氣體混 合物引進反應室中; 向該至少一個電極和/或表面提供電壓以產生電子, 其中至少-部分電子附著於至少一部分反應性氣體,從而 形成帶負電荷的清洗氣體; 用帶負電荷的清洗氣體接觸該物質,其中帶負電荷的 清洗氣體與該物質反應並形成至少一種揮發性產物;和 從該反應室除去至少一種揮發性產物。 如申請專利範圍第18項的方法,其中氣體混合物 進一步包括反應性物種。 30 200535989 20.如申請專利範圍第18項的方法,其中反應性氣體 是選自NF3、CIF3、C1F、SF0、全氟化碳,氟代碳氫化合物, 含氧碳氟化合物,次氟酸鹽,氟代過氧化物,氟代三氧化 物,COF2,NOF,F2,具有分子式NFnCl3n的化合物,其 中η是從1至2範圍内的數,氣氧碳氣化合物,含氯化合 物,含溴化合物,含碘化合物,具有通式匕n 的混合氧、氫和_素的化合物,其中又和γ是鹵素原子F、 。卜Br和I中的一個,α是從! i 6的範圍内的數,石是 從〇至13的範圍内的數,r + 5等於從^ 14中的數, 以及ε是從1至6範圍内的妻丈,碳氯化合物,含氯碳氫化 合物,含氮和氫的化合物及其混合物中的至少一員。 、21•如申請專利範圍帛2〇項的方法,纟中反應性氣體 為 NF3 〇 22. 如申請專利範圍第18項中的方法,其中該物質為 選自si〇2、TK)2、SiON、w、多晶石夕、非晶石夕、仙、wn、 Al2〇3、Hf02、Zr〇2、HfSi〇4及其混合物中的至少一個。 23. 如申請專利範圍第18項的方法,其中電壓在從〇 〇ι 至50kV的範圍内。 24. 如申請專利範圍第23項的方法,其中電壓在從〇」 至30kV的範圍内。 25·如申請專利範圍第18項的方法,其中電屢 的。 1如申請專利範圍第18項的方法,其中氣體混合物 處於從ITorr至2〇Psia範圍内的壓力。 31 200535989 ^ 27·如申請專利範圍第18項的方法,其中氣體混合物 包括惰性稀釋氣體。 28·如申請專利範圍第1 8項的方法,其中惰性稀釋氣 體的總量在從1至99體積%範圍内。 29·—種從反應室的至少一部分表面除去物質的方 法,該方法包括: 向反應室外部的遠端室中提供反應性氣體; 啟動遠端室中的反應性氣體以形成反應性物種; ί 提供包括至少一個電極和該表面的反應室,其中該至 少一部分表面被接地; 向反應室中引進包括反應性氣體、反應性物質和任選 的惰性稀釋氣體的氣體混合物; 向該至少一個電極和/或表面提供電壓以產生電子, 其中至少一部分電子附著於至少一部分反應性氣體上,從 而形成帶負電荷的清洗氣體; # 用帶負電荷的清洗氣體接觸該物質,其中帶負電荷的 清洗氣體與該物質反應並形成至少一種揮發性產物;和 從反應室除去該至少一種揮發性產物。 3〇·如申請專利範圍第29項的方法,其中使用1〇〇至 14,000瓦特範圍内的功率進行該啟動步驟。 3 1·—種從包括半導體材料的基材上除去物質的方 法’該方法包括: 提供基材,其中基材的至少一部分表面塗覆有該物質; 提供接近基材的第一和第二電極,其中第一和第二電 32 200535989 極位於目標區域中; 向目標區域中傳送包括反應性氣體的氣體混合物,其 中該反應性氣體具有大於〇的電子親和力; 向第一或第二電極的至少一個提供能量以在目標區域 中產生電子,其中至少一部分電子附著於至少一部分反應 性氣體上’從而形成帶負電荷的蝕刻氣體; 用V負電荷的姓刻氣體與該物質接觸,其中帶負電荷 的蝕刻氣體與該物質反應並形成至少一種揮發性產物;和 自該目標區域除去該至少一種揮發性產物。 32·如申叫專利範圍第3丨項的方法,其中反應性氣體 疋選自NF3,C1F3 ’ C1F,SF6,全氟化碳,氟代碳氫化合物, 含氧碳氟化合物’:欠氟酸鹽,氟代過氧化物,氟代三氧化 物,COF2,NOF,Fo,呈古八 2 2 ^有刀子式NFnCl3_n的化合物,其 中η是從1至2範圍内的數,氫氧碳氟化合物,含氣化合 物’含漠化合物,切化合物,具有通式
    的混合氧、氫和鹵素的化合物,豆中 ^共甲x和Y是_素原子F、 ^11、61*和1中的一個,“县你t γ / α疋從1至ό的範圍内的數,点是 從〇至13的範圍内的數, ’ + 6#於從1至14中的數, 以及ε是從1至6範圍内的 幻數,妷虱化合物,含氯碳氫化 合物,含氮和氫的化合物及 σ切久其混合物中的至少一員。 33 _如申請專利範圍第3i 1項的方法,其中反應性氣體 進一步包括惰性稀釋氣體。 34·如申請專利範圍第 3項的方法,其中惰性稀釋氣 體包括選自氮、氦、氬、氦 — 巩、氙、氪、氡及其混合物的至 33 少一種 35 進一步 36 括選自 的至少 200535989 〇 .如申請專利範圍第3 1項的方法,其 包括添加氣體。 .如申請專利範圍第35項的方法,其 02、03、CO、C02、NO、N20、N02 一種。 中反應性氣體 中添加氣體包 及其混合物中
    34 200535989 七、指定代表圖: (一) 本案指定代表圖為:第( )圖。 (二) 本代表圖之元件符號簡單說明: 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式:
    4
TW094113719A 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment TWI263255B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095,580 US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment

Publications (2)

Publication Number Publication Date
TW200535989A true TW200535989A (en) 2005-11-01
TWI263255B TWI263255B (en) 2006-10-01

Family

ID=34935878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094113719A TWI263255B (en) 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment

Country Status (5)

Country Link
US (1) US20050241671A1 (zh)
EP (1) EP1598881A3 (zh)
JP (1) JP2005317963A (zh)
KR (1) KR100644181B1 (zh)
TW (1) TWI263255B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423348B (zh) * 2006-08-25 2014-01-11 Lam Res Corp 斜面蝕刻處理期間之低-k損壞防止技術

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4447419B2 (ja) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法
EP1647378B1 (en) * 2004-10-12 2010-04-14 Fosber S.P.A. Device for longitudinal cutting of a continuous web material, such as corrugated cardboard
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
WO2007027350A2 (en) * 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
CN101278072A (zh) * 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
US20070131899A1 (en) * 2005-12-13 2007-06-14 Jinru Bian Composition for polishing semiconductor layers
KR100706810B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 박박 형성 장치의 세정 방법 및 이를 이용한 박막 형성방법
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2009544849A (ja) * 2006-07-27 2009-12-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 膜形成装置のクリーニング方法および膜形成装置
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
KR100840646B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 시모스 이미지 센서의 제조 방법
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8524112B2 (en) * 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
TW201044963A (en) * 2010-06-25 2010-12-16 Linco Technology Co Ltd Method of forming EMI shield on plastic workpiece
TW201213594A (en) * 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
KR101953044B1 (ko) * 2014-10-10 2019-02-27 칸토 덴카 코교 가부시키가이샤 규소 화합물용 에칭 가스 조성물 및 에칭 방법
US9673315B2 (en) * 2015-03-24 2017-06-06 Kabushiki Kaisha Toshiba Semiconductor device, inverter circuit, driving device, vehicle, and elevator
KR20160123575A (ko) * 2015-04-16 2016-10-26 삼성전자주식회사 전자 소자 제조 장치와 세정 방법 및 이를 이용한 전자 소자의 제조 방법
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69217829T2 (de) * 1991-11-08 1997-06-12 Fujitsu Ltd Feldemissionsanordnung und Reinigungsverfahren dafür
JPH05291190A (ja) * 1992-04-08 1993-11-05 Tokyo Electron Ltd プラズマ装置
EP0647163B1 (en) * 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000277496A (ja) 1999-03-27 2000-10-06 Sigma Meltec Ltd 金属薄膜のエッチング方法
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
CA2465195C (en) * 2003-04-28 2012-06-19 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US7079370B2 (en) * 2003-04-28 2006-07-18 Air Products And Chemicals, Inc. Apparatus and method for removal of surface oxides via fluxless technique electron attachment and remote ion generation
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI423348B (zh) * 2006-08-25 2014-01-11 Lam Res Corp 斜面蝕刻處理期間之低-k損壞防止技術

Also Published As

Publication number Publication date
KR20060047528A (ko) 2006-05-18
KR100644181B1 (ko) 2006-11-10
TWI263255B (en) 2006-10-01
JP2005317963A (ja) 2005-11-10
US20050241671A1 (en) 2005-11-03
EP1598881A2 (en) 2005-11-23
EP1598881A3 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
TW200535989A (en) Method for removing a substance from a substrate using electron attachment
TWI781210B (zh) 用於蝕刻多個堆疊層之化學過程
JP6775569B2 (ja) 半導体製造プロセスチャンバの操作方法
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
US20120285492A1 (en) Methods of dry stripping boron-carbon films
TWI281714B (en) Remote chamber methods for removing surface deposits
JP2004146787A (ja) 高誘電率材料のエッチング方法及び高誘電率材料の堆積チャンバーのクリーニング方法
JP2006074013A (ja) 基板から炭素含有残渣類を除去する方法
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
JP2005051236A (ja) フルオロカーボンエッチングプラズマ中における次亜フッ素酸塩、フルオロペルオキシド及び(又は)フルオロトリオキシドの酸化剤としての使用
JP2009503271A (ja) Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
JP6480417B2 (ja) ヒドロフルオロオレフィンエッチングガス混合物