TW200535928A - Advanced multi-pressure workpiece processing - Google Patents

Advanced multi-pressure workpiece processing Download PDF

Info

Publication number
TW200535928A
TW200535928A TW094100328A TW94100328A TW200535928A TW 200535928 A TW200535928 A TW 200535928A TW 094100328 A TW094100328 A TW 094100328A TW 94100328 A TW94100328 A TW 94100328A TW 200535928 A TW200535928 A TW 200535928A
Authority
TW
Taiwan
Prior art keywords
pressure
chamber
room
workpiece
force
Prior art date
Application number
TW094100328A
Other languages
Chinese (zh)
Other versions
TWI257647B (en
Inventor
Daniel J Devine
Rene George
Ryan M Pakulski
David A Barker
Original Assignee
Mattson Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Tech Inc filed Critical Mattson Tech Inc
Publication of TW200535928A publication Critical patent/TW200535928A/en
Application granted granted Critical
Publication of TWI257647B publication Critical patent/TWI257647B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Abstract

Workpiece processing uses a transfer chamber in cooperation with a process chamber. The workpiece is to be heated to a treatment temperature, at a preheating pressure, and subsequently exposed to a plasma at a treatment pressure, which is less than the preheating pressure, yet very rapid pressure increases can be induced in the process chamber in transitioning from the treatment pressure to the preheating pressure. The transfer chamber pressure can be maintained at the treatment pressure, the preheating pressure or raised to a selected pressure to backfill the process chamber to the preheating pressure. A backfill arrangement can selectively induce rapid pressure in the process chamber. A bypass arrangement provides selective pressure communication between the transfer and process chamers and can be used for backfilling the process chamber from the transfer chamber.

Description

200535928 九、發明說明: 【發明所屬之技術領域】 —本申請書主張來自美國申請專利序號第60/534,495號的優先權,於 西7C 2003年1月6曰頒佈,將其全體結合於此,作為參考。 、 【先前技術】 本發明大體上有關處理—種❹種工件之領域,且更财地, 一系統或方法,藉由使用超過一種以上的壓力,在工件上進行整體的製程、。 舉例來說,製造工件如半導體晶圓,在整體過程中,通常在不同點 上利用不同壓力執行最佳的任務。如此錢力過程的其中—個範例揭示在 美國專利編號第6,409,932號(此後稱為該第932專利)。尤其,在該第%2 專利的第二段中,揭示七個先前領域的程序,以大氣-真空-大氣 Jat職phere4o_vacuum|atm〇sphere AVA)過程聞名。此過程/中二製= 室加熱-晶圓達預期的製程溫度,接著將該製程室以幫浦抽取,降低至: 預期製程壓力,將電漿加_晶圓上,在該製程㈣—小孔舰力回到大 氣壓力,同時該晶圓與另-晶圓交換。舉例來說,在從該晶圓上移除該光 阻蝕刻劑時,如此一過程是有用的。 該第932專利運用著名的原理,其熱轉換效率隨著氣體壓力升高。 在企圖增進使晶圓生產過量,可利用先前領域的AVA系統,該第932專利 ,一負載/無負載壓力減少該製程室壓力之後,運用—中級壓力,在一製程 室中加熱晶圓。該負载/無負顧力不需要為大氣壓力,但儘管如此必= 於該中級壓力。關於這點,經過深思減,鑑於本發明的論點及認知,: 诸於該第932補之限制及問題,將視為進一步限制系統生產量的增進。 由其他先前領域中認知,在一中級壓力與—轉換室連接之下,進行 加熱作用。換句話說,在任—該中級壓力或該製程壓力下,將該處理物件 轉換介於該轉換室及製程室之間。由此處認知,在這些先前領域系統中, 该製程室需求的壓力變化,在系統生產量上加諸顯著的限制,於下文中將 進一步在適當的論點中檢討。 本發明考慮移除該前制及問題關時,仍提供更進—步的好 ALICE-D:\PATENT\PU-068-0008 6 200535928 處。 【發明内容】 描述在-系統中,用以處理至少—工件、—裝置及—方法。該系 統包括至少-觀室及-製程室,減在轉換室巾之賴錢力,以及 在該製程室中之製程室塵力可各自變化,而該工件可在該轉換室及該製程 室之間移動。該系統進-步包括-反應氣體調節排列,用以提供反應氣體 達該製程室中,至少在-電漿處理反應中以_特定流速,且能夠提供最大 流速該反應氣體。200535928 IX. Description of the invention: [Technical field to which the invention belongs]-This application claims priority from US application patent serial number 60 / 534,495, which was issued on January 7th, 2003, West 7C, and incorporates all of them here. Reference. [Previous technology] The present invention is generally related to the field of processing—a variety of workpieces, and more economically, a system or method that uses a more than one pressure to perform an overall process on the workpiece. For example, when manufacturing a workpiece such as a semiconductor wafer, the overall process usually uses different pressures at different points to perform the best tasks. One example of such a money-making process is disclosed in U.S. Patent No. 6,409,932 (hereinafter referred to as the 932 patent). In particular, in the second paragraph of the% 2 patent, procedures of seven previous fields are disclosed, known as the atmospheric-vacuum-atmosphere process (aphere4o_vacuum | atmosphere AVA) process. This process / Secondary manufacturing = chamber heating-the wafer reaches the expected process temperature, and then the process chamber is pumped to reduce it to: the expected process pressure, the plasma is added to the wafer, and the process is small-small The hole force returned to atmospheric pressure while the wafer was exchanged with another wafer. Such a process is useful, for example, when removing the photoresist etchant from the wafer. The 932 patent uses a well-known principle, and its heat conversion efficiency increases with gas pressure. After attempting to increase the excessive production of wafers, the AVA system in the prior art can be used, the No. 932 patent, a load / no load pressure to reduce the pressure in the process chamber, and then the intermediate pressure is used to heat the wafer in a process chamber. The load / no load need not be atmospheric pressure, but nevertheless must be equal to this intermediate pressure. Regarding this point, after careful consideration and reduction, in view of the arguments and cognitions of the present invention: The limitations and problems in the 932th supplement will be regarded as further limiting the increase in the production of the system. It is recognized in other previous fields that the heating effect is performed under a medium pressure connection with the conversion chamber. In other words, under any-the intermediate pressure or the process pressure, the processing object is transferred between the conversion chamber and the process chamber. It is recognized here that in these previous domain systems, the pressure change of the process room demand imposes significant restrictions on the system throughput, which will be further reviewed in the appropriate arguments below. When the present invention considers removing the pre-system and the problem, it still provides a better step forward. ALICE-D: \ PATENT \ PU-068-0008 6 200535928. [Summary of the Invention] It is described in a system for processing at least-a workpiece,-a device, and a method. The system includes at least a viewing room and a process room, and the amount of money in the conversion room is reduced, and the dust force of the processing room in the processing room can be changed separately, and the workpiece can be changed in the conversion room and the processing room. Between moves. The system further includes a reaction gas adjustment arrangement for providing a reaction gas to the process chamber, at least in a plasma processing reaction at a specific flow rate, and capable of providing the maximum flow rate of the reaction gas.

在本發明的其巾-她點’該轉換室動及該製程錢力愈處理 壓力相同’其帽該工件加諸於電漿處理過程。該讀在該處理壓力下, 從該轉換室轉侧該製程室。賴該轉達—處理溫度,與升高該製程室 =壓=作,以-壓力升高速率達一預熱壓力,結果至少—部分利用額外 製权线體’以-輸人流率流人’在不提高該轉換室壓力之下,使進入該 製程室的整體輸人速率大於該最A流速。降低該製程麵力達該處理壓 力。至少接近械處健力及該處聽紅下,紅件暴綠該電 過程中〇 在公明的另—觀點’該轉換室壓力與該製程室壓力與預熱壓力 制i 該"件加熱達—處理溫度。通力合作使該轉換室壓力及該 μ至壓力相同’將該工件從該轉齡轉移_製程室^在該製程室中於 Ρ熱壓力下’麵該工件達—處理溫度。降低·程麵力達該處理壓 = ㈣至少在該預熱壓力之下。在該處理壓力及該處理溫度 下,將邊工件暴露於該電襞處理過程中。接著 製程室壓力達-預熱壓力,結果在不提高該轉換室二= 用額外製程室氣體,以-輸入速率流入。使進入 率大於該最大則了在預熱壓力下,該工件到該轉換;=輸入速 在-個貫施中,配置一回填儲存槽排列,為了挑選盥 通的壓力’使用以選擇回填該製程室壓力,從該處理壓力到該預埶磨力。 仍在本發明的另—觀點,以壓力與該製程室隔離,變化換室 堡力達大於-賴壓力的敎值,駐件在贿加熱將近魏理溫度。、最 ALICE-D:\PATENT\PU-068-0008 200535928 初该^至至少處於—處雜力之下,該處理壓力小於軸熱 室 理 程室的麼力相等,如此該選定的壓力回填該製程室,至少^ ^預,,、、動。共_雜力達該職壓力的狀態, 亥在該製程室的該預熱-力下’將該工件預熱至里 2ΪΓ 換錢力輯力隔_情盯,降_製龄壓力達 理過程 力。至少在魏理Μ力及該處理溫度下,雜碍暴露於該電= )隹枣發月的另-屬點,芏少操作該製程室壓力,並In the towel-her point of the present invention, 'the conversion chamber is moved and the process has the same processing pressure, the cap and the workpiece are added to the plasma processing process. The reading is turned from the conversion chamber to the process chamber under the processing pressure. It should be conveyed—processing temperature, and raising the process chamber = pressure = work, at a pressure increase rate to reach a preheating pressure. As a result, at least—partially using the additional weight line “flowing people at the flow rate”. Without increasing the pressure in the conversion chamber, the overall input rate into the process chamber is greater than the maximum A flow rate. Reduce the process surface force to the processing pressure. At least close to the Jianli of the machinery and the red and green parts in the process of electricity. In the clear another point of view 'the conversion chamber pressure and the process chamber pressure and preheating pressure system' -Processing temperature. Working together, the pressure in the conversion chamber and the μ to the same pressure will be used to 'transfer the workpiece from the rotation age_process chamber ^ in the process chamber under P thermal pressure' to reach the processing temperature of the workpiece. Reduce the surface force to the processing pressure = ㈣ at least below the preheating pressure. Under the processing pressure and the processing temperature, the edge workpiece is exposed to the electrolysis process. Then the process chamber pressure reaches -preheating pressure. As a result, the conversion chamber is not increased. The additional process chamber gas is used to flow in at -input rate. If the entry rate is greater than the maximum, the workpiece will be converted to the workpiece under the preheating pressure; = the input speed is in a continuous application, a backfill storage tank is arranged, in order to select the pressure of the toilet, 'use to select the backfill process. Chamber pressure from the process pressure to the pre-honing force. Still in another aspect of the present invention, the pressure is isolated from the process chamber, and the threshold value of the pressure of the room is greater than the pressure of Lai, and the temperature of the component is close to the temperature of the bribe. The most ALICE-D: \ PATENT \ PU-068-0008 200535928 At the beginning, it should be at least under the miscellaneous force, the processing pressure is less than the force of the shaft heat chamber program chamber, so the selected pressure is backfilled. Process room, at least ^ ^ pre-,,,, moving. Total_Miscellaneous up to the pressure of the job, under the preheating-force of the process room, 'preheat the workpiece to the inside 2ΪΓ for money change force separation _ emotion staring, lower _ pressure of ageing process . At least under the pressure of the force and the processing temperature, the exposure to the electricity is not the same as the other-general point of the date, and the operating chamber pressure is reduced, and

=牛使2介於雜齡及該餘室之間,如此在該製程室巾暴露於預㈣ 力下’用以增賴工件達-處理溫度的加熱侧,因此在該製程室中 工件加諸於-處理過程,至少在小於該預熱壓力的處理壓力之下,_ 到该處理溫度之後’就隸意義來說,觀—小於Α氣壓力,但大於 j壓力的賴壓力值,其產生超職職壓力的最Α製程室壓力,並運用 :壓j升速率’結果在不提高該轉換室壓力之下,至少利用—部分額外 ‘程室氣體,以一輸入速率流入。 在本發明的連續觀財,為了反應多數的工件,至少操作該製程 =力’且將其中的第-敏件制介於該轉換室及該製程室之間,如此 该製程室中,將該工件暴露於一預熱壓力下,用以加熱該第一工件達處 理溫度,因此在該製程室中,將該第_工件置於一處理過程中至少在小 =預熱壓力的處理壓力之下,幾乎達_處理溫度之後,就某種意義來 、運用j於大氣壓力,但大於該處理壓力的預熱麼力值,產生超過 ,預熱壓力的最大製雜壓力。藉由連_操作該轉齡壓力以及該製程 整力處理工件’並共同地將接連的每―個該工件移動到該轉換室 及該製難之間,並賴-壓力上升鱗,縣在祕高該職$壓力之 下’至少利用—部分額外製程室氣體,以—輸人速率流人。纟—個特點中, 可轉換多數工件,並同時處理。 ^ 在本發明的進—步觀點巾,說明-旁路配置,作為提供選擇介於 =轉換至及錢程室之間的壓力連通,使產生於其間之壓力為均等,透過 分離-隔關的運用,使該工件通_轉換室及製程室之間。在一個特點 ALICE-D:\PATENT\PU-068-0008 8 200535928 中’ -管觀置使該轉換室壓力上升達—選定值,包括該製程室在小 選定值的處理壓力下,且其同樣地小於—預熱勤,在該處_: 達一處理溫度。其後,藉由打開至少介於該轉換室及該製程室之… 回填該製程室,就某種意義來說,其使該製程室壓力及該處理二 同,接近該該預熱壓力,作為接下來使用於力喷該工件之用。在另^ 中^該旁路配置作為提供選擇介於該轉換室及該製程室之間的壓^點 而该製程室使制驗力解,不需要使㈣隔離闕。= Niu Shi 2 is between the heterogeneous age and the remaining chamber, so that the process chamber towel is exposed to the pre-stress force, which is used to increase the temperature of the workpiece up to the processing temperature, so the workpiece is added in the process chamber. In the-treatment process, at least below the treatment pressure below the preheating pressure, _ after the treatment temperature, 'in the sense, it is less than the A gas pressure, but greater than the pressure value of the pressure of j, which produces an over The working pressure of the most A process chamber pressure, and the use of: the pressure rise rate 'results in not increasing the pressure of the conversion chamber, at least using-part of the extra' process chamber gas, inflow at an input rate. In the continuous financial observation of the present invention, in order to reflect the majority of the workpieces, at least the process = force 'is operated and the first sensitive part is made between the conversion chamber and the process chamber. Thus, in the process chamber, the The workpiece is exposed to a preheating pressure to heat the first workpiece to a processing temperature. Therefore, in the process chamber, the first workpiece is placed in a processing process at least under a processing pressure of small = preheating pressure After almost reaching the processing temperature, in a certain sense, apply j to atmospheric pressure, but the preheating force value greater than the processing pressure produces a maximum impurity pressure exceeding the preheating pressure. By successively operating the turning age pressure and the processing force to process the workpieces, and collectively moving each successive piece of the workpiece between the conversion chamber and the manufacturing difficulties, the pressure rises, and the county is in secret. Under the pressure of this job, 'at least some of the extra process room gas is used, and the flow rate is at a loss rate.纟 —In one feature, most workpieces can be converted and processed simultaneously. ^ In the step-by-step perspective of the present invention, the explanation-bypass configuration is provided as an option to provide pressure communication between the = switch to and the money process chamber, so that the pressure generated between them is equal. Use to pass the workpiece between the conversion room and the process room. In a characteristic of ALICE-D: \ PATENT \ PU-068-0008 8 200535928, the '-tube concept' raises the pressure of the conversion chamber up to the selected value, including the process chamber's processing pressure at a small selected value, and the same Ground is less than-preheating ground, where _: reached a processing temperature. Afterwards, by opening at least the conversion chamber and the process chamber ... backfilling the process chamber, in a sense, it makes the process chamber pressure and the process the same, close to the preheating pressure, as The next use is to force spray the workpiece. In another aspect, the bypass configuration is used to provide a pressure point between the conversion chamber and the process chamber, and the process chamber solves the test force, and does not need to be isolated.

=-實施中,在-系統中處理至少—個工件,根據—多步驟整體過程, '、在製矛王至中卩預熱壓力預熱該工件達一處理溫度,且其後 製程室中’以-處理壓力將該工件暴露於—電漿t,並至少在該處理溫^ 下’該處理壓力小於_鍾力,如聽做錢力在衫拷的整:ς 程中’並定由該處理壓力升高,至少達該預熱壓力—點❹點。該系統進 -步包括-製程氣體調節配置,至少在該卫件以—特定流速暴露於該電嘴 =間,用以提供製程氣體達該製程室,並能夠以—最大流速提供該製程氣 體,提供-配置使該處理勤提升到該製程㈣力,在該多步驟整體過程 中’至少達到-次或多次該預遞力,藉以提供—額外製程室氣體以 入流速流人,制賴製程室之整體輸人速率大於該最大 【實施方式】 訂列描述之呈現,能夠使此領域的其巾_種原始技能製作及運 用於該伽,並提供在—專辦請的上下文中以及其必備餅。針對所描 述具體貫施射各式的變化,將可㈣地藉由此領域的這些技巧體認,且 ^處通_制柯職於其他具體實關巾。賊,本發财不意圖限 /心、體心例的呈現’但符合該最寬廣的範圍,與此處描述之原則及特 徵-致。特職意該圖式並雜照比例,且為實際_表。 第- A圖為-圖式’就正面來看,為—半導體工件製程系統,根 據本發明的;:個具體實施例,通常由該參考數字(⑹標示。當系統(10) 入個有&於本發明實行之系統,其將瞭解可利用本發明與各式系統配 ,且該目前附圖之實行並不意圖構成限制。將如所見,本發明可運 用於任何纽實m統具有至少適當麵以及至少在其餘室中,具 ALICE-D:\PATENT\PU-068-00〇8 9 200535928 有分離壓力變化的能力。#然,介於該轉換及製裎室之間,其必須針對轉 換件做準冑將配置该系統符合眾多代替特點,其與本發明的實行一 致,且其通常與高原料容量平台相連包括,舉例來說,—個或多個工件昆 及在製私至中雙工件製程位置的使用,以及_個或多個負載閥。將美國專 利編號第6,315,512號視為—個範例,其中描述如此特徵,並將全體結合 於此,做為參考。將由此領域中一種原始的技能,可輕易地適應一具有: 此特色的系統,鏗於本申請的整體揭示,使用作為本發明的實行。特別提 及相似參考數子運用於相似項目中,在可能的情況下,遍及此揭示中。 接著關於第-A圖,系統(10)包括一轉換室〇2),其中僅顯示 部分,其中放置—機器人(14)。機器人包括-手臂(18)以及由該手臂 / )移動的末^作用器(槳狀物)(2〇)。針對本範例的用途,將說明 系2 (ίο)從半導體工件(3〇)移除一光阻钱刻劑層或模型(22)(厚度 非吊跨張)’儘官可由此領域的其中一種原始技能體認,此系統有益於包 括=他製程的應用,但不關化學器減積、原子層沈積及電雜刻的各 貝行/、中在不同的製程點上,加諸不同的壓力在該工件上。如前所述, 針對本範例的目的,提供具有—電漿源⑼)的電漿製程室⑶)例如, 舉2來說,一感應輕合電漿(ICP)源。使用電漿源(34)產生一電漿(36), U吏用於光阻侧劑之移除。其他合適電漿源包括,但不限制微波源、 籲 源ECR電漿源、電容性搞合(平行板〕電漿源。特別提及該 ° 至(processing chamber)或製程室(process chamber),, 可表不一配置,用以儲存一工件與一處理源連結例如,舉例來說,一 源。 電水至(34)可,以一範例製程視窗使用以實行電漿例如,舉 來說: 製程壓力·· 0·2到2〇陶爾(發現接近1陶爾時效果最好) 製私射頻電力(每一工件)·· 5〇〇到5,〇〇〇w (發現接近3,〇〇〇w時 效果最好) 製程氣體:部分混合或全部依照該下列氣體: # 〇2 流動:1000-20,000 (發現 5,000-10,000sccm 時效果最好) 10 ALICE-D:\PATENT\PU-〇68-O〇〇8 200535928 • Ar、He、N2流動:分離或混合5〇-5000sccm (發現500sccm時 效果最好) • H2、D2、HD流動:分離或混合l,〇〇〇_2〇,〇〇〇sccm (發現接近 10,000時效果最好) •形成氣體(4-10% Hr) ·· l,〇〇〇-15,OOOsccm (發現接近 1〇,〇〇〇 時效果最好) • 探氫化合物氣體(低分子量):例如CH4、C2H4、C2H6、C3H8、 C4H10 等等)流動 l,000-10,000sccm (CH4在接近 5,000sccm 時= -In the implementation, at least one workpiece is processed in the-system, according to the overall multi-step process, 'The workpiece is preheated to a processing temperature at the preheating pressure of Spear King to Zhongli, and then in the process room' with- The processing pressure exposes the workpiece to the plasma t, and at least at the processing temperature, 'the processing pressure is less than _ Zhongli, such as listening to money force in the whole process of the shirt copy: ς 中' and determined by the processing pressure Raise, at least to the preheat pressure-point. The system further includes a process gas adjustment configuration, at least when the guard is exposed to the electrical nozzle at a specific flow rate, to provide a process gas to the process chamber, and to provide the process gas at a maximum flow rate, Provide-configure to increase the processing power to the process power, and in the multi-step overall process, 'at least-or-time the pre-delivery force is reached, in order to provide-additional process chamber gas flows in at a flow rate, depending on the process The overall input rate of the room is greater than the maximum. [Embodiment] The description of the order description can enable the production and application of the original skills in this field. It is provided in the context of the special invitation and its essentials. cake. In view of the specific implementation of the various changes described, it will be easy to recognize from these techniques in this field, and ^ Chu Tong _ Ke Ke works in other specific practical towels. Thief, this fortune is not intended to limit the presentation of the mind, body and mind, but conforms to the broadest scope, consistent with the principles and characteristics described here. The intention is that the schema is mixed with scale and is an actual table. Figure -A is -Schematic "In a front view, it is-a semiconductor workpiece process system according to the present invention: a specific embodiment, usually indicated by the reference number (⑹. When the system (10) enters a & amp The system implemented in the present invention will understand that the present invention can be used with various systems, and the implementation of the present drawings is not intended to be a limitation. As can be seen, the present invention can be applied to any New Zealand system with at least Appropriate and at least in the remaining chambers, ALICE-D: \ PATENT \ PU-068-00〇8 9 200535928 has the ability to separate pressure changes. #Of course, between this conversion and control room, it must be aimed at The conversion part will be equipped with the system in accordance with many alternative features, which is consistent with the implementation of the present invention, and it is usually connected to a high raw material capacity platform including, for example, one or more workpieces The use of dual-workpiece process positions and one or more load valves. Consider US Patent No. 6,315,512 as an example, which describes such features and incorporates the entirety thereof as a reference. It will be used in this field A primitive Skills can be easily adapted to a system with: This feature, following the overall disclosure of this application, is used as the practice of the present invention. Special mention is made of similar reference numbers used in similar projects, where possible, throughout this Revealing. Concerning Figure -A, the system (10) includes a conversion room 02), where only a part is displayed, in which a robot (14) is placed. The robot includes an arm (18) and an end effector (paddle) (20) moved by the arm /). For the purpose of this example, it will be explained that the system 2 (ίο) removes a photoresist layer or model (22) from a semiconductor workpiece (30) (thickness is not suspended) The original skills recognized that this system is beneficial to the application of other processes, but it does not concern the chemistries' depletion, atomic layer deposition, and electrical miscellaneous processes. Different pressures are applied at different process points. On the artifact. As mentioned before, for the purpose of this example, a plasma processing chamber having a plasma source ii) is provided. For example, for example, an inductive light plasma source (ICP) source is provided. The plasma source (34) is used to generate a plasma (36), which is used for removing the photoresist side agent. Other suitable plasma sources include, but are not limited to, microwave sources, ECR plasma sources, and capacitive (parallel plate) plasma sources. Special mention is made of the processing chamber or process chamber, It can be expressed as a configuration for storing a workpiece connected to a processing source, for example, a source. Electro-hydraulic to (34) can be used as an example process window to implement plasma. For example, for example: Process pressure: 0.2 to 20 taur (the effect is best when close to 1 taur is found) Private radio frequency power (per workpiece) 500 to 5,000 watts (close to 3, 〇 found) 〇〇w The best effect) Process gas: Partially mixed or all according to the following gas: # 〇2 Flow: 1000-20,000 (the best effect is found when 5,000-10,000sccm) 10 ALICE-D: \ PATENT \ PU-〇 68-O〇〇2005 200535928 • Ar, He, N2 flow: separation or mixing 50-5000sccm (the best effect is found at 500sccm) • H2, D2, HD flow: separation or mixing 1, 00〇_2, 〇〇〇sccm (The effect is best when it is found to be close to 10,000) • Gas formation (4-10% Hr) ·· 1, 〇〇〇-1 5,000sccm (the effect is best when it is found to be close to 10,000) • Hydrogen gas (low molecular weight): For example, CH4, C2H4, C2H6, C3H8, C4H10, etc. Flow 1,000-10,000sccm (CH4 in Near 5,000sccm

的流速效果最好) 含有碳鹵氣體··例如 CF4、C2F6、C3F8、C4F6、C-C4F8、CHF3、 ch2f2、CH3F、C2HF5、C2H2F4、C1CF3、C12CF2 等等-流速小 於 300sccm • N2O 流動:50-5000sccm • NH3 流動:50-5000sccm 在乾燥製程中移除光阻蝕刻劑,可瞭解在電漿暴露期間,該光 侧劑的歸速麵著該轉的溫度增加。_來說,加_工件達一 度’預期介於15(TC〜35〇〇c,為了達到更高工件生產量。The best flow rate effect) Contains carbon halide gas ·· For example CF4, C2F6, C3F8, C4F6, C-C4F8, CHF3, ch2f2, CH3F, C2HF5, C2H2F4, C1CF3, C12CF2, etc.-Flow rate is less than 300sccm • N2O flow: 50- 5000sccm • NH3 flow: 50-5000sccm Remove the photoresist etchant during the drying process. It can be seen that during the plasma exposure, the speed of the light-side agent will increase with the rotation temperature. For example, adding workpieces up to 1 'is expected to be between 15 ° C and 3500c, in order to achieve higher workpiece production.

且有有關第—A圖的描述,工件(30)支撐在—載具(38)。該 該,達該預期溫度。由於強制上升該«的溫度,其發 載且二Γ ’將該載具實轉持在—111定溫度下。可替換地, 二V可在整體循環之前賴。 下 窗c到靴之間。接近3〇 熱在4下或介; 數值,為了順應額外的Λ勒& 表見八有取仏效果,雖然可使用更低, 所引起。可使用任何適载漿=及或材料/裝置熱能限1 升降機插腳(40)盘機 I例如’舉例來說’一合適滾筒。使戶And there is a description about FIG. A, the workpiece (30) is supported on the carrier (38). This should reach the expected temperature. Due to the forced increase of the temperature of «, its load and the two Γ 'will actually turn the carrier at a temperature of -111. Alternatively, two Vs can be pulled before the overall cycle. Lower window c to boots. The value is close to 30, and the value is 4 or below; in order to comply with the additional Λ Le & Table 8 has an effect, although it can be used lower, caused by. Any suitable slurry can be used and / or the thermal energy limit of the material / device 1 lifter pin (40) disk machine I such as, for example, a suitable roller. Envoy

以運用該升降機插腳達1適當位置=所⑽的目的,I 作用器(20)及載具(38)之上 斤:I亥工件移動(未顯示)J 置,而顯示末端作用哭( ”、、不q降機插腳(40)處於一縮回伯 (〇)回縮入轉換室⑼,以致於工件(30)支 AUCE-D:\PATENT\PU-068-0〇〇8 11 200535928 撐在載具(38)上。-穿越通道⑼界定在轉換室⑼及製程室⑼ ^間’如此機器人(⑷可由此轉駐件。—隔離閥⑼例如,舉例來 況’使用-真空隔離閘閥或隙縫閥來選擇完全地或部分地關閉通套⑷), (例如,舉例來說’提供壓力連通,作為兩室之間的平衡目的)。在此範 例中,利用複合運轉在該方向上開啟閥(44),其由箭頭(45)指示,在 其轉财具有-向右扭轉。在程室中,錢—廢氣口(46)製造一直 空狀態’與適當的配置合作。廢氣由箭頭指示的方向流出。 'For the purpose of using the lifter pins to an appropriate position = 1, the actuator (20) and the carrier (38) are placed on top of each other: the workpiece is moved (not shown), and the end is shown to cry (", 2. The unloading pin (40) is in a retracted position (0) retracted into the conversion chamber ⑼, so that the workpiece (30) supports AUCE-D: \ PATENT \ PU-068-0〇08 11 200535928 On the carrier (38).-The passageway ⑼ is defined in the conversion room ⑼ and the process room 如此 '' between such robots (⑷ can be transferred to this part.-Isolation valve ⑼ For example, the use case-vacuum isolation gate valve or gap Valve to choose to completely or partially close the sleeve ⑷), (for example, 'provide pressure communication for balancing purposes between two chambers.) In this example, the compound operation is used to open the valve in that direction ( 44), which is indicated by the arrow (45), has a twist to the right in its transfer of money. In the process chamber, the money-exhaust port (46) manufactures an always empty state 'in cooperation with the appropriate configuration. The direction of the exhaust gas indicated by the arrow Outflow. '

電漿室或搶(34)包括-氣體擴散器(5〇),其接收來自製程氣體 輸入(52)以及-回填配置(54)。後者在—回填輸入(56),提供_輸入 =散器'⑼’特別注意輸人⑼可與另—“τ”細己置連結,以便分 子單-乳體輸人,為了防止有關該雙重輪人擴散器(5())之需求。兮用纽 “回填”用以描述將低壓達到高壓的情況,與—最初更紐力傳^^ 果。特別注意該擴散n設計職在電麵(34)中,均勻地分佈該壓力;1 亚使電毁流入氣體擴散器(50)及該氣體人σ管線(52)及⑼的可处 性降到最低。製程氣體⑼-般包括魏體混合物,其有益於電聚的2 生’雖然氣體亦可藉由製程氣體輸人(52)引人,作為工件(3〇)择強、β 度上升的目的。關於這點,該製程氣體由—㈣(f流控繼)6^節皿 在該工件於賴㈣實際暴雜間,其供應具有高精確度之製程氣體輸入 的調節目力’以便控制該電榮的特質。當該MFC提供如此精確的控制時, 該流速則相當的緩慢。再者,例如此MFC的裝置由—最大流速值特徵化, 此速度通常非常慢。因此’認清強制加入一明破限制,有關在該_上 僅單獨地藉由流人。回填輸人⑻導致(66)依次地連結—回 填穩定室(68)。有關回填配置(54)的詳細體積及操作,將提供於下文 :。目前’足以發覺回填輸入(56)、閱(66)、回填室⑽同時配置 ^連結管子,促進製程室⑼中快速壓力上升,舉例來說,由—低” 處=壓力達-更高工件預熱壓力,不衫獨進行,就是與製程氣體以 j 2)結合。一回填室管線⑺)由一回填供給閥(72)引導至回填室⑽)。 一適當的供給管線(74)與-回填供給閥⑻的輸入連結。回填氣體㈤ 由—箭頭標示。糊-壓力感測器監測回填室(68)的壓力1某^ ALlCE-D:\PATENT\PU-068-0( 12 200535928 來β兒在‘粒至與供給閥(72)之運用回填合作之前,在該回填室中可達 一指定的起始壓力。可替換地,在該回填室中確保一控制壓力情況,可使 用一質流控制器(未顯示),經過一段已知的時間,測量流入該回填室之 氣體。認知在此領域中,一個具有原本技術的人,鑑於此整體揭示,可輕 易地實行此回填配置。將一氣體擴散器(79)放置於電漿室(34)及製程 室(32)之間,作為增加製程一致的目的,然而,該氣體擴散器並非必需 tm ° —丹I罝、,早獨地或與製程氣體]^1^ (6〇)合作,考 慮可產生,每秒超過15 _的製程室上升速率。事實上,考慮每秒超過 15陶爾或更多的平均上升速率。 。 >主意現階段有關第- B圖,其圖表地描述一改質系統實施,其一 般由參考數字(8〇)所標示。系統⑽)與第_ A圖中的系統⑽)相似, ^不需要回填配置⑼之外,並使用—單_輸人氣體擴細未顯示)。 ^,說明-勤旁路配置(82),作為選擇提賴力連通介於轉換室⑼ =程室⑼之間。旁路配置⑽包括管線⑽及—旁路閥⑽。 辦啟,赠銳製程室及轉換室之間,—賴壓力呈現 Ϊ (82)的所有構件’以便使兩室之間能 — n _的速率,實行快速壓力均等化。實際上,可考虔 率冬可實行由小於1 _和_嫩 低知執订限制,小於4秒鐘。當然,針對該相同麼力變化已士 =:::=㈣當的一流 給連結,並將一輸出(96) =適孔體供 於下文_。特麻意在轉換室⑽途將 如沒有任何限制的範例,可使用—壓力感測器與 、^^控制。 合或-阻塞閥以勤與該轉換室連通。 -動中止間結 ALiCE-D:\PATENT\PU-〇68-〇〇0i 13 200535928 目前回到第二圖及第二闻 > 式其中使用系統⑽。第二A圖相連’現在將描述—主要模 以該參考數字(1〇),而第程圖說明此模式的各種步驟,通常 式。特別注意機述概工件溫度相對時間的圖 壓力及溫度值,如此數值^有圖式,包括弟三圖,說明特定的時間、 二圖,除了下述__=成為限制,且僅為實際的範例呈現。第 式(ioia)說明製程室⑶)中與時間相對的:變ΐ 圖 明工件溫度相對時間的變化。. )祝 室⑼’ =:處Γ稱為-處理壓力,同時在㈣中標示㈣ 狡I “到10陶爾之間且可為1陶爾。最初,將一工件從轉換室(12) 門啟^ Κ32)並放置在載具上(38)。到最後,假使未完全打開時, =兩室之間的隔離/_ (44)(如箭頭(56)所示)。關_^^ ==,_閥,升降检(44)延伸在該載具的頂部表面之上(假使並 -二ΪΪ该升降/延伸位置)。同樣有關呈現開啟狀態之該隔離/開閥,將 談工株、查機^人手臂〇8)的末端作用器(2〇),插入該製程室。在 ^人丰#到6亥升降拾之上的預期位置之後’該末端作用器使該工件(該機 二置在^ Ζ轴控制連結該末端作用器)降低在該升降检上。再將該工件 哭(2〇Γ Λ检的頂端上之後’該機器人手臂從該製程室中抽取末端作用 L開門(°4^_财端個^完全輪_室中歸,關閉該隔 動該工=2=麵纽倾巾具有縣技㈣步驟她,完成移 在模式(100)的步驟(1〇3) t,描述最初工件位 =====目=峰),降低該二— /件/皿度為丁〆坪見第二圖)’時間t〇下,在加熱前。因 …I已完全溫度上升,-旦工件溫度開始從丁〇上升,該工件就 加熱的裁具,與職具—起加熱,介於赋到3贼之間。該上升的: 14 ALICE i-D:\PATENT\PU-068-0008 200535928 於n Λ體對纽熱能傳導的轉換過程。有襲卫件接下來 ^ ^具上’在製程室(32)之壓力,因此電浆室(34)快速地從Ρ0The plasma chamber or grab (34) includes a gas diffuser (50) that receives gas input from the process (52) and a backfill configuration (54). The latter is in-backfill input (56), provide _input = diffuser '⑼', pay special attention to the input ⑼ can be connected with another-"τ" Xijiji, so that the molecular single-lactate input, in order to prevent the double round Demand for human diffuser (5 ()). The term "backfill" is used to describe the situation in which the low pressure is brought to a high pressure, and-initially, the force of the force is passed ^^. Special attention is paid to the design of the diffusion n in the electrical surface (34), which evenly distributes the pressure; 1 reduces the availability of electrical destruction into the gas diffuser (50) and the gas pipeline (52) and 52 lowest. The process gas generally includes a Wei-body mixture, which is beneficial to the electropolymerization. Although the gas can also be introduced by the process gas input (52), it can be used as the workpiece (30) to select a strong and increase the β degree. In this regard, the process gas is controlled by the ㈣ (f flow control relay) 6 ^ section plate between the workpiece and the actual mixing room of the workpiece, which supplies high-precision adjustment gas eyesight of the process gas input in order to control the electric power. Qualities. When the MFC provides such precise control, the flow rate is quite slow. Furthermore, devices such as this MFC are characterized by a maximum flow rate value, which is usually very slow. So ‘recognize that a clear-cut restriction is enforced, and the only thing about this _ is by passing people off. The backfilling of the insomnia leads to (66) successive connections—backfilling the stabilization chamber (68). The detailed volume and operation of the backfill configuration (54) will be provided below:. At present, 'sufficient to find backfill input (56), read (66), backfill chamber ⑽ at the same time ^ connecting pipes, to promote rapid pressure rise in the process chamber ,, for example, from-low "= pressure reaches-higher workpiece The thermal pressure is carried out independently, which is combined with the process gas by j 2). A backfill line ⑺) is guided to the backfill chamber ⑽ by a backfill supply valve (72). An appropriate supply line (74) and-backfill The input link of the supply valve 。. The backfill gas ㈤ is indicated by the —arrow. The paste-pressure sensor monitors the pressure in the backfill chamber (68) 1 AL ^ CE-D: \ PATENT \ PU-068-0 (12 200535928 来 β 儿Before cooperating with the backfill of the supply valve (72), a specified starting pressure can be reached in the backfill chamber. Alternatively, a controlled pressure situation can be ensured in the backfill chamber, and a mass flow can be used The controller (not shown) measures the gas flowing into the backfilling chamber over a known period of time. Cognition In this field, a person with original technology can easily implement this backfilling configuration in view of the overall disclosure. The gas diffuser (79) is placed in the plasma (34) and process chamber (32), for the purpose of increasing the consistency of the process, however, the gas diffuser is not necessary tm °-Dan I 罝, early alone or with the process gas] ^ 1 ^ (6〇) Cooperation, consider the process room ascent rate that can produce more than 15 mm per second. In fact, consider the average ascent rate of more than 15 tau or more per second. ≫ The idea at this stage is related to Figure-B, which graphically Describe a modified system implementation, which is generally indicated by reference number (80). System ⑽) is similar to system ⑽) in Figure _A. ^ No need to backfill configuration ⑼, and use -Single_input Gas thinning is not shown). ^, Description-ground bypass configuration (82), as an option, the pull force is connected between the conversion chamber ⑼ = process chamber 。. The bypass configuration ⑽ includes pipeline ⑽ and-bypass valve ⑽ To do this, donate between the sharp process room and the conversion room, depending on the pressure presenting all the components of (82), so that the two rooms can -n _ rate, implement rapid pressure equalization. In fact, you can test The piety rate winter can be enforced by less than 1 _ and _ Nen Kochi subscription limit, less than 4 seconds. Of course, the needle The change of the same force has been == ::: ================================================================================================================================================================: For example, you can use-pressure sensor and, ^^ control. OR or-blocking valve to communicate with the conversion room.-Intermittent stop ALiCE-D: \ PATENT \ PU-〇68-〇〇0i 13 200535928 Now return to the second diagram and the second report where the system 其中 is used. The second diagram A is connected to 'now will be described-the main model is represented by the reference number (10), and the process chart illustrates the various steps of this model, Normal formula. Pay special attention to the pressure and temperature values of the workpiece relative to the time. Such values ^ are graphical, including the third figure, which illustrates the specific time, and the second figure, except that the following __ = becomes a limitation, and only Presentation for a practical example. The formula (ioia) describes the relative time in the process room (3): the change ΐ shows the change in the temperature of the workpiece relative to time. .) I wish the chamber ⑼ '=: where Γ is called-the processing pressure, and at the same time, ㈣ is marked in the ㈣ ㈣ I "to 10 Taoer and can be 1 Taoer. Initially, a workpiece is removed from the conversion chamber (12) door Kai ^ K32) and placed on the vehicle (38). At the end, if not fully opened, = the separation between the two chambers / _ (44) (as shown by the arrow (56)). Off _ ^^ = =, _ Valve, lift inspection (44) extends above the top surface of the vehicle (assuming that--the lift / extend position). Also regarding the isolation / open valve in the open state, we will talk about the plant, Check the end effector (20) of the machine arm (8), and insert it into the process chamber. After the position of ^ renfeng # to the desired position above the 6h lift, the end effector makes the workpiece (the machine two Placed on the ^ Z axis to control the end effector) to lower on the lift inspection. Then cry the workpiece (on the top of the 20 Γ Λ inspection) 'The robot arm extracts the end action L from the process room to open the door (° 4 ^ ^ _ Choi end a wheel _ fully return chamber, closing the compartment of the movable work surface = 2 = County, New York, having towel technology poured her step (iv), mode shift completion of step (100) (1〇3) T, Said first mesh piece bit ===== = peak), reduce the two - / member / dish degree butoxy 〆 second floor see FIG.) 'Under t〇 time, because the I ... completely before heating temperature rises, -Once the temperature of the workpiece starts to rise from Ding 0, the workpiece is heated with the cutting tool and heating tools, which is between 3 and the thief. The rising: 14 ALICE iD: \ PATENT \ PU-068-0008 200535928 The conversion process of thermal energy transfer from the n Λ body to the button. The next step is to apply the pressure in the process chamber (32), so the plasma chamber (34) quickly moves from P0.

在弟三圖中達一預熱麼力1V藉由該氣體的添加,其使範圍介於25 250陶爾,間,可充分運用於加速該工件的加熱速率。—非常快速 上升’如第二圖所7^足Ρ〇到Pl,在1〇到的時間間隔内,可藉由 二^己置(54)之運用產生。預期一將近6〇陶爾的預熱壓力是足夠的且 ^力下’大多數的益處來自改良的加熱速率,可清楚的瞭解,將由一 南塾力得到。也就是說,明確地將該壓力升高超過⑼卩賴需要更多時 同稍後降低该壓力的時間,藉以降低生產量。在該製程室内藉由開 ,回真閥(66) ’凡成如此快速的壓力上升,以便從回填儲存室⑽)中, 存在更n壓力下的壓織體。制注意細_存室通常很靠近該 ’程室。關於這點,在-充足壓力下,依照儲存室(68)尺寸製作配合一 充足氣體的體積,使該製程/電漿室結合達該預期壓力。值得特別注意,關 於此處所有具體實_,將製㈣氣翻積降到最小,可達成直接地貢 獻’增強該壓力上升的速率。 至少有兩種可執行的方法,使該快速壓力上升。第三圖說明一回 填同等化技術,其中事先上升該回填壓力,或設定_選定壓力值& (詳 見囷式101b之t〇)。以此壓力值為設定基礎,一部分在該回填室及製程室 的體,,如此在tl將兩室平衡達預熱壓力&,接著在㈣啟_閥(66)。 也就是a兒,圖式1〇1&及⑺比在^時交會。關閉回填閥(66)接著同等化, 同日恢t3到祕_填室壓力上升達L。當b顯示為65陶爾為了說明 的方便目的’可暸解此數值可由許多方式測定,將於下文巾說明,且此數 值之運用並不預期成為聞。如—可替換技術,其沒有圖式於第三圖中, 但其輕易地由第一 A圖中瞭解,該回填壓力可明確地上升達高於Psei數 值’以致於該回填室總是維持高於P1的數值。當該製程室到達p】時,為 了中止忒回填,將回填閥(66)關閉也就是說,一旦該製程室壓力上升達 销熱壓力’回填閥(66)麵閉。在任_技術的部分中,可開啟供給閥 (72) ’同時回填閥(66)關閉,再次填滿回填儲存室(68)達一預期壓 力。根據各種因素包括室内體積及流速,該流速在可體換的技術中可產生 ALICE-D:\PATENT\PU-068-0〇〇8 15 200535928 2快相壓力上升。無論如何,運縣—技術,如所需在整體的製程規 一^中,在該製程室可產生-快速動上升。特別提及在整體的製程規割 中,在任-時間點上,可運用這些技術中的任一項,當利用回填室(一, 預期在製程室⑼μ導—勤上升。在—實際的實行中,達到每秒% 陶爾的流速。由每秒15 _上升達超過15G _的壓力上升 有最佳的效果。 〃 在々驟104巾,-旦缸件溫度接近 小於該載具溫度),快速地降低在該製程室中之勤達—處理 要針對該光阻侧劑魏侧步驟。第三圖說明,從時間t】到㈣段時二 。細’在t2時’該製程室壓力快速地降低,以便職 火復達0。針對该電漿暴露步驟的處瑪力p〇,可在一動範圍擴展從 〇川到10陶爾。在t3時達到該處理虔力。同樣地當該工件溫度接近 具:二f 一預期關係時’例如,舉例來說,稍微地呈現低於該在i rlfI^ .. 電水至(34)。舉例來說,此可舉行在一時間 二‘ f少一部份該間隔之間,同時包括…4。特別提及該製程 步驟的誘因,如所述由於到達 π 衣征 利用固定的時間·,以先一達—#測值,可 / 先Μ紐執行測絲礎或可呈現兩者的結合。 钱刻及具二人程室卵達到該預期處理壓力,針對該電聚 將點燃-穩定_時:1,㈣齡網路開始旋轉到—點,此處 _,其中止在t5的時二=開始:第三圖中說明-10秒電漿 件溫度略微上升。可!^由 _t5暴露該電漿的進行時間内,該工 该電漿所產生紅件溫度上升達到最小。 )的運用’使暴路 在步驟1〇8中,古μ — 漿產生通過·程室,^ 3 止魏漿侧触,錢體用於電 力可為均等,如所需求==° _程室的壓力及該工件轉換室的壓 室之間的__ 可打開條崎程室及該轉換 拉開该升降拾並將該讀抬起在輯具的頂端 AUCE-D:\PATENT\PU-068-0i 200535928 表面之上。 古山1在f驟110中’只要開啟兩邊閥(44)並拉開升降拴(4〇),可將 w用^(2〇)拉開進入該製程室,同時將該工件轉換回轉換室(⑴。 接著步驟1〇2將另一工件轉移入該處理室並重複如上的步驟。In the third figure, a preheating force of 1V is achieved by adding the gas, which makes the range between 25 and 250 Tao, and can be fully applied to accelerate the heating rate of the workpiece. —Very fast rise 'As shown in the second figure, from 7 to 10 p0 to p1, it can be generated by the use of the second (54) in the time interval of 10 to. It is expected that a preheating pressure of approximately 60 Taur is sufficient and most of the benefits under the force are derived from the improved heating rate, and it is clearly understood that it will be obtained by a force. In other words, explicitly increasing the pressure over time requires more time and lowering the pressure later to reduce production. By opening the return valve (66) ′ in this process chamber so that the pressure rises so quickly that from the backfill storage chamber ⑽), there is a press fabric under a more n pressure. Note that the storage room is usually very close to the process room. In this regard, under a sufficient pressure, a volume of a sufficient gas is made according to the size of the storage chamber (68), so that the process / plasma chamber is combined to the expected pressure. It is worth paying special attention to, as for all the concrete practices here, minimizing the tritium accumulation can directly contribute to the enhancement of the rate of pressure increase. There are at least two ways to implement this rapid pressure rise. The third figure illustrates a backfill equalization technique, in which the backfill pressure is raised in advance, or _selected pressure value & is set (for details, see t of Equation 101b). Based on this pressure value, a part is in the body of the backfill chamber and the process chamber, so that the two chambers are balanced to the preheat pressure & at t1, and then the valve is opened (66). That is, a, the patterns 101 and ⑺ will meet at ^. The backfill valve (66) is closed and then equalized. On the same day, t3 is restored until the pressure in the filling chamber rises to L. When b is shown as 65 Tauer for the convenience of explanation, it can be understood that this value can be measured in many ways and will be explained below, and the use of this value is not expected to be heard. Such as the alternative technology, which is not shown in the third diagram, but it is easily understood from the first A diagram. The backfill pressure can clearly rise to a value higher than the Psei value, so that the backfill chamber always remains high. The value of P1. When the process chamber reaches p], the backfill valve (66) is closed in order to stop the backfilling. That is, once the pressure in the process chamber rises to the pin thermal pressure, the backfill valve (66) is closed. In the technical part, the supply valve (72) can be opened and the backfill valve (66) is closed, and the backfill storage chamber (68) is filled again to a desired pressure. According to various factors including indoor volume and flow rate, the flow rate can produce ALICE-D: \ PATENT \ PU-068-0〇08 15 200535928 2 in fast-changeable technology. 2 Fast-phase pressure rise. In any case, Yunxian—technology, as required in the overall process specification, can be generated in the process room-rapid motion rise. In particular, in the overall process planning, at any point in time, any of these techniques can be applied. When using a backfill room (1, it is expected that the process room will be guided by the industry. Rise. In-actual implementation. It achieves the flow rate of% Tauer per second. It has the best effect from a pressure rise of 15 _ per second to more than 15G _. 104 In step 104,-once the temperature of the cylinder is close to the temperature of the vehicle), fast To reduce the Qinda in the process room-the process is directed to the photoresist side agent Wei side step. The third figure illustrates that from the time t] to the second time. At 't2', the process chamber pressure is rapidly reduced so that the job fire returns to zero. The virial power p0 for the plasma exposure step can be extended from 0 to 10 Tao in a range of motion. This processing piety is reached at t3. Similarly when the temperature of the workpiece is close to the expected relationship with two: f, for example, for example, it is slightly lower than that in i rlfI ^... Electric water to (34). For example, this can be held at a time of two 'f less than one part of the interval, while also including ... 4. Special mention is made of the inducement of this process step, as mentioned, due to the arrival of the π clothing sign, using a fixed time, with the first measurement of ##, the test can be performed first or the combination of the two can be presented. Qian Ke and the two-way ventricular ovum reached the expected processing pressure, which will ignite for the electric convergence-stability_hour: 1, the age network began to rotate to -point, here_, where the stop is at t5 at time = Start: The third figure shows that the temperature of the plasma piece rises slightly in -10 seconds. However, the temperature rise of the red pieces produced by the plasma was minimized during the duration of the plasma exposure by _t5. The use of ') makes the storm in step 108, the ancient μ — the pulp is generated through the Cheng room, ^ 3 to stop Wei ’s pulp from touching, the money used for electricity can be equal, as required == ° _ Cheng room __ between the pressure chamber of the workpiece change chamber and the pressure chamber of the workpiece conversion chamber can open the sakizaki process chamber and the conversion pull away the lifting pickup and lift the reading at the top of the editing tool AUCE-D: \ PATENT \ PU-068 -0i 200535928 on the surface. Gushan 1 in step 110 ', as long as both valves (44) are opened and the lifting bolt (4〇) is opened, w (2〇) can be pulled into the process chamber, and the workpiece is converted back to the conversion chamber ( ⑴. Then step 102 transfers another workpiece into the processing chamber and repeats the above steps.

W且成t氣體以達到—快速工件加熱速率,由—種或多種下述氣 f成,敍確的組合物咖㈣_綱工件加熱速率·· Ar、He、 H2、D2、HD、HF、〇2、N,、ΜΗ、A κ ru ^ 3 N2〇 ’ 一低分子量碳氫化合物氣體(例 e; ;: 2H4'C2H6'QH8'^ C1CP Sept,?; C'C4Fs 'CHF3'C2HF2'CH^' c2hp5 ^ c2h2f4 . 率U 2 π運用特定的氣體環境加速工件的加熱及/或冷卻速 1 處ry預熱氣體’,。此製糊的其中一個益 量。在低所而的時間,同時藉以增加每小時可產生的工件數 關於該工件的加熱作用,應可體認該預細^ 丁—叫_隔’並可使用以增強冷卻間隔,如下所述。 用李统(:回Γ士四圖及第五圖與第—A圖相關,一第二模式其中可使 夫^料細說明。第四圖為—流程圖,說明此模式的各式 r h考數子(200)標示,第三圖為 ==__式。—圖式⑽)說明製程室(3二與 ==日ΓΓ)說_室(68)勤與時_應,以及一 圖式(204)况明工件溫度與時間的對應。在此第二 p,f,貫質上連續地運作轉換室(12), 預‘、、、查力 間電漿暴露之前,使用於該製程室提 0下,在工件加熱期 全地描述於上例如,舉例來a _ k及料二模式的某些觀點以完 合作,以及_ 此Μ伴可,私* 丑暫的目的。再者’應該可瞭解這 料,同時仍=====繼互相對等的 進-步r:_)的操作===的。 製程室(划,同時將該工件置於載Γ(38從該轉換室(12)移動到該 戰具(38)上。當該製程室已完全地在 ALiCE-D:\PATEN-RPU-068-0008 17 200535928 顧熱壓力下其#近載具(38)時,該工件將經歷—增強的加埶作用, 之後下降在該載具上。因此,工件溫度上升從時間t〇時的τ〇到時間㈣ τ2。 在々驟208中’關於该工件在時間h時達到該處理溫度丁2 ,在製 程室(32)中降低壓力’從Ρι到處理溫度&在此範例中,可在㈣時 間之下達成。_提及該前述預減體混合物,如所,可同時運用於 該轉換室及製程室中,為了讀以及尚未描述的理由。在其他狀況下,藉 由擴散器⑼,適當地引入這些氣體,進入該製程室為了完成該工件^ 預熱目的,崎增強溫度從㈣t2,上升的速率,就某種絲來說, 短此時間間隔。 … 在步驟WO中,在將電漿氣體引入該製程室以及電漿起燃燒之後, 在P〇下製程室(32)於\時開始電漿暴露。電襞暴露持續達時間^。接 中止電漿氣體引入。 ―、步驟212完成上升製程室壓力達P!,其可運用該預熱氣體混合執 行並^«玄工件從載具(38)舉起。如所述可運用回填配置(54),執行 -快速壓力上升,於下文中說明。特別提及在製程室壓力圖式(施)中, 在U t6的時間内產生?〇到Pl的壓力上升,在此時間内,在回填室壓力 S式(202b) 產生下滑。接下來在回填室壓力的此段下滑,伴隨回填 閥(66)關閉,如圖式(鳩)所示在^之前,&或更高的預期塵力可 儲存在該回填室中。囉地可明顯地使該回填室壓力上升高於〜,因此 該回填室料常轉高於Pl的壓力。在此案例中,該回填錢力圖式 (202b)的主要差異,屬於上升&之上的壓力,不但提供—更陡哨而且 =線性的麼力上升,從ΜΡι,與在圖式(懸)一樣更陡山肖,更線性下 滑,從匕丨到Pi。再者,在t6的時間,圖式(202a)及(2〇2b)將不會漸 近地合併,但㈣常靠近像線性斜率函數—般。此外,細填配置可用以 引導該預減體混合滅在該製程室可定製與其他氣體中混合,以便產生 一預期氣體混合物。 接著根據步驟214,在該預熱壓力下,將該工件從製程室(32)轉 移到轉換室(12)。制提及由於其暴露於該預熱塵力之下,將增強該工 ALICE-D:\PATENT\PU-〇68-〇〇〇8 18 200535928 件的冷卻_,接魏行賴雜,並在 可以此方式冷卻,在離開轉換室(]2)之前,至 =轉換室。推算該工件 冷卻效果,甚至+ i 夕3〇附加C。可增強此 熱工件上互相幫助。㈣可明二金力及此合物各自在冷卻該工件與加 性。透過_=力=^ 件的冷卻作柯提供更高度的彈 方法冷卻紅件、,^傳《4件的冷料率,如歧—預期的 人从ΛΛβ件^其傳通過以及離開該轉換室時。再者’ A卻·、θ 合物的=提供一機會針對該冷卻參數的平均較大定製冷輕體〜W and t gas to achieve-fast workpiece heating rate, composed of-one or more of the following gases f, the composition of the composition ㈣_gang workpiece heating rate · Ar, He, H2, D2, HD, HF, 〇2, N, ΜΗ, A κ ru ^ 3 N2 0 '-a low molecular weight hydrocarbon gas (example e;;: 2H4'C2H6'QH8' ^ C1CP Sept,?; C'C4Fs' CHF3'C2HF2'CH ^ 'c2hp5 ^ c2h2f4. The rate U 2 π uses a specific gas environment to accelerate the heating and / or cooling of the workpiece at a rate of 1 to preheat the gas'. One of the benefits of this paste. At a low time, at the same time In order to increase the number of workpieces that can be produced per hour, the preheating effect of the workpiece should be recognized. It can be used to enhance the cooling interval, as described below. Li Tong (: 回 Γ 士) Figures 4 and 5 are related to Figure -A. A second model can be explained in detail. Figure 4 is a flowchart showing the rh test numbers (200) of this model. The three pictures are == __ formula. —Scheme ⑽) illustrates the process room (32 2 and == 日 ΓΓ) said _room (68) on time and time _ response, and a diagram (204) states the workpiece temperature Correspondence of time. In this second p, f, the conversion chamber (12) is continuously operated in a continuous manner. Before the plasma exposure in the pre-, cha, and cha-li, it is used in the process chamber for 0 times, during the workpiece heating period. Fully described in the above example, for example, to give some ideas on the a_k and material two models to complete the cooperation, and _ this M can be, the purpose of private * ugly temporary. Moreover, 'should understand this material, while still = ==== Following each other's peer-to-peer r: _) operation === 's. The process chamber (plan, while the workpiece is placed on the carrier (38) from the conversion chamber (12) to the combat equipment (38). When the process chamber is completely in ALiCE-D: \ PATEN-RPU-068 -0008 17 200535928 When its #near the carrier (38) under thermal pressure, the workpiece will undergo an -enhancement effect, and then descend on the carrier. Therefore, the workpiece temperature rises from τ at time t0. Time ㈣ τ2. In step 208, 'About the workpiece reached the processing temperature D2 at time h, reduce the pressure in the process chamber (32)' from Pa to the processing temperature & In this example, the Achieved in time. _ The aforementioned pre-reduction mixture, as mentioned, can be used in both the conversion room and the process room at the same time, for reasons of reading and not yet described. In other cases, with a diffuser ⑼, appropriate Introduce these gases into the process chamber. In order to complete the workpiece ^ preheating purpose, Saki enhances the temperature from ㈣t2, the rate of rise, for a certain kind of wire, this time interval is short.… In step WO, the plasma After the gas is introduced into the process chamber and the plasma is ignited, it is produced at P0. The chamber (32) starts plasma exposure at the time. The duration of the exposure of the plasma is up to ^. Then the plasma gas introduction is stopped. Step 212 is completed to raise the process chamber pressure to P !, which can be performed by using the preheated gas mixture and ^ «The Xuan workpiece is lifted from the carrier (38). As mentioned, the backfill configuration (54) can be used to execute-rapid pressure rise, as explained below. In particular, in the process chamber pressure scheme (applied), The pressure rises from U to P within the time of U t6. During this time, the pressure in the backfill chamber S (202b) decreases. Then the pressure in this section of the backfill chamber decreases, and the backfill valve (66) closes. As shown in the figure (dove), before ^, the expected dust force of & or higher can be stored in the backfill chamber. The ground pressure can obviously increase the backfill chamber pressure higher than ~, so the backfill chamber material is often Pressure higher than Pl. In this case, the main difference in the backfilling force scheme (202b) is the pressure above Rise & not only provides—a steeper whistle and = a linear rise in force, from ΜΡι, As steeper as the diagram (overhang), it slides more linearly from From Pi to Pi. Moreover, at time t6, the patterns (202a) and (202b) will not be merged asymptotically, but they are often close to like a linear slope function. In addition, a fine-fill configuration can be used to guide The pre-decreased mixture can be customized to be mixed with other gases in the process chamber to produce a desired gas mixture. Then according to step 214, the workpiece is transferred from the process chamber (32) to the conversion under the preheat pressure. Room (12). The system mentioned that due to its exposure to the preheating dust, it will enhance the cooling of the ALICE-D: \ PATENT \ PU-〇68-〇〇〇8 18 200535928 pieces. Lai Zai, and can be cooled in this way, before leaving the conversion chamber (] 2), go to = conversion chamber. Calculate the cooling effect of the workpiece, and even + i xi 30 additional C. Can help each other on this hot part. Xie Keming and the compound are cooling the workpiece and the additive. Through the cooling of _ = force = ^ pieces to provide a higher degree of elasticity to cool the red pieces, ^ pass "4 pieces of cold material rate, such as ambiguity-the expected person passes from the ΛΛβ pieces ^ which pass and leave the conversion room . Furthermore, ’A but, θ compound = provides a chance to determine the average cooling capacity of the cooling parameter ~

S轉換室及該製程室同時在該賴動時, (216)該製程室,同時重複該前述順序。 ^關於第五圖及第六圖,目前說明—第三模式,可使用第一 系統(80)。在該第三模式中,愿縮轉換室(, 齙、 以便達到-設定勤值Pse,,也就是說在整體運作; = ^ = MFCΜ)用以將轉換室勤升高達該設定動值。制 “二料_力概,_該餘室,本#上無第二模式相等, =二呈現第五圖中圖式(施)及(雇)的情況。也就是說,該製程 至查力由_式(2G2a)所呈現,而鋪換室_式(遍)所呈現,將 ^進=步的說明。第六圖說養各式步驟,其構成該第三模式,通常由參 數子(300)標示。再次注意該模式的某些觀點,完全地描述於上例如, 舉例來說’升降检(40)與作用器手臂⑽—起的使用,且針對短暫的 目的,不循環隔離閥(44)。 • ^再次提及第-B目,此第三模式的精神是在該轉換室使用該設定 壓力’就某種意義來說回填製程室⑶),以便使該製程室及該轉換室均 等,至少接近該預熱壓力。亦即,該製程室壓力從處理壓力上升達預熱 壓力Pl,而轉換室(12)壓力從設定壓力下滑達預熱壓力P】。因為第一A 圖的回填室(68)可運用此方式,如上所述,關於該轉換室設定壓力值的 測定同樣適用回填室設定壓力的測定,當運用前述回填技術時。可針對該 設定壓力,測定合適數值,至少為一有利近似值,假使使用於預熱的壓力 大於使用在電漿處理的壓力(換句話說,Pl>〉p〇),舉例來說,針對一理 ALICE-D:\PATENT\PU-068-0008 19 200535928 想氣體根據波以耳定律所示· C 1 ) Psel Vtc^ p y 1 v tot 的體積以及 ㈣1細應力,為轉換室 中來自該最械力(即外程室的結合體積。特別注意在該製程室 舉例來說,在i陶爾之下' )任何貢獻,為了簡化的目的可忽略, 及—鄭啦該設定值When the S conversion room and the process room are at the same time, (216) the process room while repeating the foregoing sequence. ^ With regard to the fifth and sixth figures, the present description—the third mode, the first system (80) can be used. In this third mode, the conversion room (, 龅, to reduce the setpoint value Pse, that is, to operate in the whole; = ^ = MFCM) is used to raise the conversion room to the setpoint value. System "two materials _ force concept, _ the remaining room, there is no second mode equal on this #, = two shows the situation (sche) and (employment) in the fifth figure. That is, the process to check It is presented by _ formula (2G2a), and the shop change room _ style (pass) is presented, and the description of the steps will be given. The sixth figure shows various steps, which constitute the third mode, usually by the parameter (300 ). Pay attention again to some views of this mode, which are fully described in the above example, for example, the use of 'lifting inspection (40) and actuator arm ⑽, and for short-term purposes, do not circulate the isolation valve (44 ). ^ Mention -B again, the spirit of this third mode is to use the set pressure in the conversion room 'backfill the process room in a sense ⑶) in order to make the process room and the conversion room equal , At least close to the preheating pressure. That is, the process chamber pressure rises from the processing pressure to the preheating pressure P1, and the pressure in the conversion chamber (12) falls from the set pressure to the preheating pressure P]. Because the backfill of the first A diagram The chamber (68) can be used in this way. As mentioned above, The same applies to the measurement of the set pressure in the backfill chamber. When the aforementioned backfill technology is used, a suitable value can be determined for the set pressure, at least a favorable approximation. If the pressure used for preheating is greater than the pressure used in plasma treatment (replace In other words, Pl >> p〇), for example, for a single ALICE-D: \ PATENT \ PU-068-0008 19 200535928 Think of the gas according to the wave's law · C 1) Psel Vtc ^ py 1 v The volume of tot and the fine stress of ㈣1 are the most mechanical forces in the conversion chamber (ie, the combined volume of the outside process chamber. Pay particular attention to any contribution in this process chamber, for example, under i Taoer '), in order to simplify Purpose can be ignored, and-Zheng La should set the value

娜25細__力,其中65 陶爾到10嶋版τ,需要在_ 該分繼力I 射1 _為—典麵力。在 執製來說,在該製程室中升高該壓力,在該快速加 為、^獨將近⑼陶爾,假使該氣體環境的體積比例為如此 =,的10倍以上,可將轉換室的壓力設定為65陶爾,如此:介: ⑻陶爾^製的:__ ’在兩室之間的壓力皆耐 '…、Λ至之間分別氣體環境的差異比例以及在該製程室中針 件加熱娜,f要以不_設賴力,獨該轉換室。該轉換 16氣體%境的較高設定壓力及更大體積使用於“快速地,,升高在該製 "力達°彡預期的預熱壓裡,作為該111件的快速加熱。當然此處討論同 樣地適合關於第—圖中,回填室(68)的個,於下段中·該回填均化 的技術。 :關於第一 B圖及第五圖,與多數進行製程的工件一致,將如所見, 其最初將在步驟3〇4中推測轉換室以及製程室(32)相等於預熱壓 力Pl且在該製程室中一工件位於該處理位置。因此可同時將隔離閥(44) 及旁路闊(86)關閉並加熱工件(30)在時間t〇下T〇的溫度預熱,在時間 t2下達丁2的溫度。 月’J進步驟306,一旦該閥關閉,處理室(12)回復成設定壓力psd。 再一欠注意轉換室壓力的圖式與第五圖的回填室圖式(202b)的外觀相似。 °心為這些圖式將完全相同,至少由一實際觀點來看,假使該回填室體積以 及轉換室體積與流速近乎吻合,雖然此非必要條件且許多修飾可由此領域 20 ALICE-D:\PATENT\PU-068-0008 200535928 中熟始技能的人製造而成,#於此整體揭示。然而,為了簡潔的目的, 將假定第五圖中的圖式(2G2b)在整段時間内呈現該轉換室壓力的變化。 因此,在to後不久開始且運用MFC (92)使轉換室麼力 ㈣摊何適當的速率下,可執行此錄壓縮,且在任何合適時關:, ▲要屬換至在接下來需使4製程室從該處理壓力上升達該預熱壓力之 前,達到該設定值。 …Na 25 fine __ force, of which 65 Taoer to 10 嶋 version of τ, need to shoot 1 _ at this component force _ is-typical surface force. In terms of implementation, increase the pressure in the process chamber, and increase the pressure in the rapid addition, ^ alone near Jin Tao, if the volume ratio of the gas environment is 10 times more than this, the The pressure is set to 65 Taoer, so: Intro:: Taoer ^: __ 'The pressure between the two chambers is resistant' ..., the proportion of the difference in the gas environment between Λ and Λ and the needle parts in the process chamber Heat Na, f should not rely on the force, only the conversion room. The higher set pressure and larger volume of the 16 gas% conversion is used "quickly, raised in the system" to reach the expected preheat pressure, as the rapid heating of the 111 pieces. Of course this The discussion here is equally applicable to the number of the backfill chamber (68) in the first figure. In the next paragraph, the technology of the backfill homogenization.: Regarding the first figure B and the fifth figure, it is consistent with most of the workpieces that are processed. As can be seen, it is initially assumed in step 304 that the conversion chamber and the process chamber (32) are equal to the preheating pressure P1 and a workpiece is located at the processing position in the process chamber. Therefore, the isolation valve (44) and the The bypass (86) is closed and the workpiece (30) is preheated at a temperature of T0 at time t0, and reaches a temperature of Ding 2 at time t2. Month 'J proceeds to step 306. Once the valve is closed, the processing chamber (12 ) Returns to the set pressure psd. Again, the pattern of the pressure in the conversion chamber is similar to that of the backfill chamber pattern (202b) in Figure 5. ° These are the same, at least from a practical point of view , If the volume of the backfill chamber and the volume of the conversion chamber are close to the flow velocity Although this non-essential condition and many modifications can be made by those skilled in the field 20 ALICE-D: \ PATENT \ PU-068-0008 200535928, #is disclosed here as a whole. However, for the sake of brevity, we will It is assumed that the graph (2G2b) in the fifth figure shows the change in the pressure of the conversion chamber over the entire period of time. Therefore, starting soon after to and using MFC (92) to make the conversion chamber at a suitable rate, This recording compression can be performed, and closed at any appropriate time :, ▲ It must be changed to reach the set value before the 4 process chamber needs to be raised from the processing pressure to the preheating pressure ...

…有關時限内該工件達到該處理溫度,第五圖中圖式(施)說明製 3力(步驟3G8)從時間 Ρι到時間㈣p。降低。藉由排出作用達 Η力的下降,在—適#出口反應輕幫浦侧,其沒有在此圖中說 月,但可由第一 Α圖中的廢氣排出口(46)表示。 有關時限内製程室(32)達到p〇,如下所述,在步驟·可以一 吉^體㈣人及彳里擊魏漿,啟動光随_之卸除。此卸除間隔運轉 直到第五圖中的時間t5。 動祕= 驟312中’關於限時内該伙卸除間隔的終止,由電漿氣體流 式〔202 U ’製程室(32)從轉換室〇2)回填’以便在製程室壓力圖 ^ a ’產生從處麵力職預鍵力的快速上升。此yf力上升在 弋〔202寺3之後在日寸間t6的時候達到該預熱壓力。產生在製程室壓力圖 町降^八的壓力上升’響應在轉換室壓力圖式(2G2b)中從〜到ρι /、㈣地出現從u t6。應該體認為了制雌力增加的, 第:==己=須順應-相 其 一 (82)明確地為了此目而配置。也就是說,出口、 二。需』2閥(86)全部依照尺寸製造,共同地針對此流動的速率 不會《任何限制大1=導管’當4定該導管長度包括其間任何間,將 技能的人,由此處揭_ 2阻止讀流動。認為在此領域中-個具有原始 置。去妙 “不的輸出及以輸送進行觀點來看,能夠實行該旁路配 該製=中::2=2將依照該轉換室及製程室的相對體積而定。在… The workpiece reaches the processing temperature within the relevant time limit. The drawing (Shi) in the fifth figure illustrates the force (step 3G8) from time P1 to time ㈣p. reduce. With the decrease of the exhausting force, the response at the exit of the 适 # is light on the pump side, which is not shown in this figure, but can be represented by the exhaust gas outlet (46) in the first Α diagram. Within the relevant time limit, the process chamber (32) reaches p0, as described below. In the step, you can hit Wei Jie with one person, one person, and one person, and start the removal of light. This unloading interval operates until time t5 in the fifth figure. Action Secret = 'About the termination of the removal interval within the time limit in step 312, backfilled by plasma gas flow [202 U' Processing chamber (32) from the conversion chamber 〇2) 'so that the pressure graph in the processing chamber ^ a' Generates a rapid rise in pre-key forces from everywhere. This yf force rises to reach the preheating pressure at t6 in the day after 202 ° 3. The pressure map generated in the process chamber pressure drop ^ ^ pressure rise 'response in the switch room pressure scheme (2G2b) from ~ to ρ /, and then appear from u t6. It should be considered that the increase of female power is the first: == 己 = must conform-the other one (82) is explicitly configured for this purpose. That is, export, two. Need "2 valves (86) are all manufactured in accordance with the size, and the rate of this flow will not be" any limit is greater than 1 = conduit '. When the length of the conduit includes any time between them, the person who will skill will be revealed here_ 2 Stop reading flow. One in this field is considered to have original settings. Demystifying "No output and the point of view of conveying, the ability to implement the bypass distribution system = medium :: 2 = 2 will depend on the relative volume of the conversion chamber and process chamber.

圖的該回填室配置^ 為可達成,相#於或大於㈣賴該第-A 意該旁路配置.健ΓΓ因為可朗較高的電導傳送及構件。特別注 置與真空幫浦出口位置,以該各種圖樣顯示,自然地 ALICE-D:\PATENT\PU.〇68.〇〇〇8 21 200535928 以圖表呈現’但柯舰為_。再者,齡魏^視麵擇的裝置。 也就是說,可裝配隔離閥(44)順應該預期流動速率。仍如另一替換範例, 可結合該旁路配置及隔闕朗,提供該回填流_分佈。制提及由於 在較大流速的結果,在此回填步驟期間,提供一避免粒子引入及/或妨礙的 考量,如同任何其他高速流動步驟—樣。因此,出σ位置、靜及 可以此方式裝配。 工 一 在步驟314中將該處理工件從製程室(32)移除,同實在製程室 中(32)將另一工件從該轉換室移動到該處理位置。 、/關於第-Α圖及第-Β® ’已經描述許多模式,射可操作最具 代表的系統(1G)及⑽),將進-步描述關於升降拾⑽)運作的觀點: 應該體認可藉由該升降拾的上升、下降或結合完成該預熱作用。如此結合 包括,舉例來說’(始升降拴上升,之後升降拾下降的結合⑻針對隨^ 製程步驟’升降松上升接著升降拴下降,之後升降拾上升的結合㈣針對 升降Μ升讀升_下降的結合,或㈣針對隨後製程 乂驟,升降拴下降接者升雜上升,之後升降拴下降的組合。因此,預期 -寬廣的適應性’關於該升降拴的使用。該特定選擇可藉由該預·程社 職。獅可允糊柯咖,達成侧麵製微 果。應柯以體會在勤快速變化期間’使該升降拴上升(支擇該工件), 可降低該工件在該工件支樓結構上的移動(位移其位置)。當亥升降 拴上/下組合可_應祕於—製程室巾—單彳狂件,設計產生—單獨工 件’或針對-製程室設計,以對應及/或連續組合,處理兩個或多個工件。 留意目前針對第七圖,其說明—系統根據本發明所製造,且一般 由該參考數字()所表示。系統(彻)與前述系統相似,除了其運用 ,重=製程平台之外。因此,—“a”附加於該項參考數字後,表示與該 第-製程平台的結合’而—‘V’附加於該項參考數字後,表示與該第二 製程平台的結合。製程平台位於—共享製程室(32,)的範圍内,如 此兩工件暴露於該相_力環境。因此,如上所述,認賴於系統(10) 及⑽)可同樣地應用於系統⑽),除了該工件可成對處理之外,以便 增強系統生產量。亦說8时_⑻的崎,軸不需制時提供該回 ALICE-D:\PATENTAPU-068-0008 22 200535928 填及旁路配置。 本發明以詳細地於上文中說明,應該可體認在—系列工件的製程 :月門在魏私至從不需要上升該製程室壓力,超過用以預熱之該壓力。 認為如此絲將視域低純生產量。0此,完全地避免在該製程室中, 使用任何更高_力(高於該預顯力)。再者,通常可非常迅速完成變 化讀程麵力’使其介於該預熱及處力之間,不論簡力是否為上 =或下降。尤其,一回填方法的使用,從任一回填儲存配置及/或從該轉換 室利用回填是非常有利於該轉換室壓力從該處理壓力到該The configuration of the backfill chamber in the figure is achievable, which is equal to or greater than that of the -A meaning that the bypass configuration. Jian ΓΓ because of the higher conductivity transmission and components. The special note and the position of the vacuum pump exit are displayed in these various patterns. Naturally, ALICE-D: \ PATENT \ PU.〇68.〇〇〇8 21 200535928 is shown in a graph, but Ke Jian is _. Moreover, Ling Wei ^ the device of visual choice. That is, the assembleable isolation valve (44) conforms to the expected flow rate. As still another alternative example, the backfill flow_distribution can be provided in combination with the bypass configuration and the partition. As a consequence of the higher flow rates, during this backfilling step, considerations are provided to avoid particle introduction and / or obstruction, as with any other high-speed flow step. Therefore, the σ position, static and can be assembled in this way. In step 314, the processing workpiece is removed from the processing chamber (32), and another workpiece is moved from the conversion chamber to the processing position in the processing chamber (32). 、 / About Figures-Α and -Β® 'Many modes have been described, the most representative systems (1G) and ⑽) can be operated, and the description of the operation of lifting and lowering will be further described: should be recognized The preheating effect is completed by the ascent, descent, or combination of the lifting pickup. Such a combination includes, for example, the combination of “(starting lifting and lowering, then lifting and lowering). For the ^ process step 'lifting and loosening, then lifting and lowering, and then the combination of lifting and rising, reads ascending and falling. Combination, or for a subsequent process step, the combination of lifting and lowering the riser, then the combination of rising and falling, and then the lifting and lowering of the combination. Therefore, it is expected-broad adaptability 'about the use of the lifting bolt. This particular choice can be made by the The pre-process agency job. Lion can allow Koca to achieve side effects. Ying Ke can realize that during the rapid change of the work, 'lifting the lifting bolt (selecting the workpiece) can reduce the workpiece in the workpiece branch. Structural movement (displacement of its position). When the combination of the hoisting and lowering of the hoisting and lowering bolt can be _ should be secreted in-process room towel-a single piece of mad piece, designed to produce-separate work piece 'or directed-process room design to correspond and / Or continuously combine to process two or more workpieces. Note the current description of the seventh figure—the system is manufactured according to the present invention and is generally represented by the reference number (). The system is similar, except for its use, except for = process platform. Therefore,-"a" is appended to the reference number, which indicates the combination with the-process platform, and-'V' is appended to the reference number , Indicates the combination with the second process platform. The process platform is located within the range of the shared process room (32,), so that the two workpieces are exposed to the phase environment. Therefore, as mentioned above, it depends on the system (10) And i) can be applied to the system i) in the same way, except that the workpieces can be processed in pairs in order to increase the system throughput. It is also said that at 8 o'clock, the shaft is provided when the shaft is not required. ALICE-D: \ PATENTAPU-068-0008 22 200535928 Fill and bypass configuration. The present invention is explained in detail in the foregoing, and it should be recognized that the manufacturing process of a series of workpieces: the moon door is in Weishui to never need to increase the pressure of the process chamber, which exceeds the pressure for preheating. It is thought that such silk will see low-purity production. 0 This completely avoids using any higher force (higher than the pre-display force) in the process chamber. Moreover, it is usually possible to complete the change of the reading force very quickly so that it is between the preheating and the processing force, regardless of whether the simple force is up or down. In particular, the use of a backfill method from any backfill storage configuration and / or the use of backfill from the conversion chamber is very beneficial to the conversion chamber pressure from the processing pressure to the

昇I在該預熱壓力下一起進行加熱作用,可預期提高观,的系t 產里,取決於處理時間。再者,可使用任何預期組合,從一回填儲存室及 從轉換室=回紅程,雕—連續或對應方式,個該分回填源。 」而刖述932專利描述—AVA系統,預期使資金的耗損降到最 低’認為本發明提供許多優點,超越一槪系統的使用。舉例來說,藉由 =恢_械勤、或部分過高㈣/未負繼力的需求,來增強系統生 2 ’接者處理各個工件。本發明在賴力下轉移至賴程室或類製程 至中移出’其在該工件預熱壓力之下或低於該壓力,藉以減少若干壓力變 化’ ^由該,932專利的方法所指示。再者,於上文所述,當該工件回到該 轉換室時,本申請書提供冷卻作用的定製。 乂 厭扁Γ出該前先技術認知上的缺失,在此得到許多啟發,當從該處理 ”矛到-亥預熱麼力時’藉此可永遠地,以非常快速的方式升上製程室 2力。再者’關於整體過程規劃所提供的壓力變化,本發明提供—簡潔及 方法’包括回填作用的顧’就某種意義來說,無法從該先^術 可明白至少認同該下列說法,能夠由該先前描述變成可能。 1.-種方法,在-㈣統中處理過程作為至少—個工件處 2該系統具有至少—轉換室以及—製程室,如此在該轉換室中的轉換室 在該製程室中的製程室壓力可各自變化,並可將工件移到該轉換 =及。亥衣程室之間’該系統進—步包括—製程氣體調節配置,至少在—電 水處理過針以—特定流速,用以提供製程氣體達該製程室,其能夠以一 ALICE-D:\PATENT\PU-〇68-〇〇〇8 23 200535928 最大的流速提供該製程氣體,一方法包括·· a) 平衡該無翅力及該製程錢力達—處雜力 件加諸於一電漿處理過程; 在5亥處將該工 b) 在該處理屢力下,從該轉換室將該工件轉移至該製 程室勤)達麵同時在一動上升速率下,上升該製 ”、、、,果在無提賴職麵力的敎下 d)降低该製程室麼力達該處理麼力,·同時 過程。啦少接近該處理勤及該處理溫度下,暴露該轉至該電渡處理 15陶爾ί.如申請專利範圍第1項的方法,其中該壓力上升速率每秒至少達 θ 3。如申請專概圍第1項的方法,其巾該工敎撐且制 用其中該電|處理過程’以該預熱作用及暴露作用共同移除: 、4·如中料利範HI第3項的方法,在該處理溫度下,其中該 免理過私產生-電漿,針對從該基質移除該光阻餘刻層所定製。Λ 勺枯」·如巾請專利範圍第1項的方法,其中該工件由—载具支撐,並 包括加熱該載具用以預熱該工件。 達―至少6·固專利範圍第5項的方法,其中加熱作用包括加熱該載具 陶爾的_如中請專利範圍第1項的方法,其中該處理壓力介於_到川 8·如申請專利範圍第w的方法,其中該處理壓力為1陶爾。 挪圍第1 _方法,其中其懷壓力介於25到 10·如申請專利範圍第1項的方法,其中該預熱壓力至少6〇陶爾。 π·如申料利範圍第丨項的方法,其中預熱作用包括引導一麵 ALICE-D:\PATENTAPU-068-0008 24 200535928 氣體混7進入該製程室,用以增強該工件的溫度上升速率。 12·如申請專利範圍第u 部分該預熱氣體混合物。 、、彳、八匕括利用氦氣作為至少 以選擇與該法,包括裝配一回填儲存配置,用 流動,用以選擇產生氣體::加在藉=成該額外製程室輸入 起包括,利用從該回填儲存配置的===件與增加該製程室愿力一 室達該預鍵力。 、以线體輸人軸,回填該製程 體料-14· ^申明專利車巳圍第U項的方法,其中回填作用包括利用, =以_額外製程室氣體輸入流動一 ^ 處理過程,,體生—«,作為該電襞 程氣體進入該製程室。 心_擴放态,用以進一步引導該製 16,如申請專利範圍第13項 包括一回填儲存以及在-勤下將回魏體儲;;=配相填儲存配置, 話說在大於一目嶋的勤下,將回填該製程子室Γ回填儲存室令,換句 力選擇作為該 處理溫度,作為下—步驟之使用。W缸件期間加熱該工件達-18·如申請專利範圍第13項 回填屡力與該製程室在—處理勤τ升達==__存室中之 該選定值且1卢棟厭开違一選疋值,換句話說遠小於 度,:填 意義來說,其使該回填屋力及該處理室麼===儲存室’就某種 為下一步驟使用於增強該工件的加熱速率力料,至少達該預熱屡力,作 仪如申請專利範圍第18項 在該回填期間提供至少不含製程氣體。 ^程氣體調節配置, 见如申請專利範圍第13項的方法,其中在該製程室中該塵力的 ALJCE-D: \PATENT\PU-068-0008 200535928 上升速率的範圍介於每秒15到150陶爾Q 21.如申請專利範圍第13頊 室引導該壓力上升速率達每秒30_。〜用包括在該製程 時處理每牛申請專利範圍第1項的方法,包括根據步驟⑴到⑷同 理-系歹Γ工Γ請專利範圍第1項的方法,包括根據步驟⑴到⑷處 製程室中的製程室壓力可久白·、, 冊至座刀以及在该 之間財將辑侧_齡及該製程室 二=::=程氣體咖 處理愿置至少用以控制該製程室!力,降低該製程錢力達一 _賴工件加諸於―魏處理舰,且辦上升該製程室 力上升置合作達高於該處理勤之預熱壓力,在一塵 速率’結果在無提升該轉換室麼力的情況下,導致至 :::::室氣體輸入流動,使進一 該製程室程室忠㈣賴該工料-處理溫度,與上升 留的轉換_至===預熱壓力’利用該第一配置與該殘 理麼力,, 處壓力,如此可降健製程室壓力達該處 漿處理過財。V在該處力處理溫度之下,將該工件暴露在該電 秒陶2爾'如申請專利範圍第%項的裝置,其中該壓力上升速率至少每 介於〇Γ到利範圍第24項繼’其中其中該處理壓力的範圍 27·如申4專利範圍第24項的裝置,其中該處理壓力為1陶爾。 i-D:\PATENT\PU-〇68-〇〇〇8 26 200535928 25到25= 十输购24項购,㈣刪力_介於 29·如申請專利節圖 工件。 月24項的裝置’裝配用以同時地處理一對的 加工-系列工月專利乾圍弟24項的裳置,包括根據步驟(a)到(e) 儲存配置,用以選ϋϋΐζ項的裝置,其中該第一配置包括-回填 該額外製程室鐘輸人流關力,制於挑選细填作用,當 力。 私至壓力由該處理壓力達該預熱麼 擴散器,用以引導該額外2 裳置’其中該回填配置包括-氣體 幻·如申請專利==流動進入該製程室。 生器,用以產生電漿,作為、的裳置,其中該製程室包括一電漿產 該氣體咖,4=====崎晴程氣體及 大於該預遞力時,其回填該製=子y回填氣體,換句話說當一回賴力 回填儲道申請專利範圍第34項的裝置,其中包括一控制配置,在該 、:η 致相填動與在—處麵力τ的触冑,上升達一選定 力時,在該處加敎該工件力同樣小於該預熱麼 署兮门枯―士…牛達處〉皿度,其後藉由以塵力連通該製程室放 儲存㈣填該製程室,就隸意義來說,其使該回力及該處 ^至昼力鱗,達該預遞力,作為下_步驟使用於增賴工件的加熱速 ^6· ^申,專利㈣第35項的裝置,其巾在細填期間,該製程 軋體调節配置至少提供不含製程氣體。 37· 一種方法,在一個系統中,用於處理至少-個工件,該系統具 v轉換至以及㈣至,如此在該轉換室中的轉換室壓力以及在該 ALICE-D: \P ATENT\PU-068-0008 27 200535928 ^室各自控制’並可將工件移到該轉換室及該製程室 以一特定m= ::製程氣體·配置’至少在—電漿處理過程中 提供該製程氣體,—方:=轧體達遠製程室’並其能夠以-最大的流速 製程室力,並協力地將該工件移動到該轉換室及該 溫度,如此在該製程室中將該工件加諸於—處理過程, 小於竹 "換句话祝在使其達到該處理溫度之後,-處理麼力 就某種意義來說’其產生不超過該預鍾力的一最大 Υ力’運用小於大氣壓力之預熱壓力的值,同時在製程室令運用__ 嗎蝴麵力,碗升‘=情 動,盆部分以—輸入流動速率,運用一額外製程室氣體輸入流 八,達该製程室的整體輸入速率大於該最大流速。 至少為請專利顧第37項的方法,其中該動上升的速率每秒 ,其中操作過程包括將該轉換 ’其中其中操作過程包括將該 39. 如申請專利範圍第37項的方法 室壓力維持在該處理壓力下。 40. 如申請專利範圍第37項的方法 轉換室壓力維持在該預熱壓力下。 41·種配置’在-個系統中,用於處理至少一個工件根據一多步 驟的整體過程,在-製程室巾以_預顯力,預熱該工件達—處理溫度, 其後,在該製程$中以-處理壓力,將該工件暴露於_電漿,且至少接近 該處理溫度,該處理壓力小於·賴壓力,如此在多步驟的整體過程期 間,在一個或多個點上,該製程室壓力必定從該處理壓力上升至少達該預 熱壓力,該減進-步包括-製程氣體調節配置,至少在將該工件暴露於 該電㈣間,以-特定流速,用以提供製減體達該製程室,並其能夠以 一最大的流速提供該製程氣體,配置形成部分該系統,該配置包括: 一配置用以提升該製程室壓力,在該多步驟的整體過程中從該處 理壓力至少提升達預熱壓力,藉由以一輸入流率,提供一額外製程室氣體 ALICE-D:\PATENT\PU-068-0008 28 200535928 輸入_,其制達該製程室磐體輪人流速大於該最大流速。 製程室轉齡巾轉換錢力以及在該 =至中,“勤可各自變化’並可將工件移_轉換室及 之間,且在-預熱壓力下加熱該工件達—處理 於一處理過程,亦即一處理溫度小於該預熱溫度, 該製程购,㈣擇回填 散器,用以料-回填置’其中朗填配置包括—氣體擴 料氣的㈣^水 部分該處輯程,—賴㈣及該氣體 擴放乳的襄配,引導該電漿氣體進入該製程室。 回填储存45用專利範圍第42項的裝置,其中該回填儲存配置包括一 該預顯力時,回Zit。’儲存一回填氣體,換句話說回填壓力大於 存室中二包括—控制配置在該回填儲 話說當該處力小二=力下,製程室’上升達-選定值,換句 儲在,_,、 值%1塌力連職製織藉由放置該回填 等,至小、二这程室,就某種意義來說,使該回填壓力及該處理室壓力均 專至切__力,作為下—步驟使用於增強該工件的加熱速率。 有至少-4L=方法’在一個系統中’用以處理至少一個工件,該系統具 製程室中㈣製程室κ在該轉換室中的轉換室m力以及在該 之間,^ 力可各自變化’並可將工件移_轉換室及該製程室 以一拉二錢步包括—製程氣體調節配置’至少在-電漿處理過程中 供該製程氣體達該製程室’其能夠以—最大的流速提 件加室壓力及該製程望力達—處職力,在該處將該工 ALICE-D:\PATENT\PU-068-0008 29 200535928 b)共同平衡該轉換室塵力及該 件達該製程室; 至壓力,從該轉換室轉移該工 C)在該製程室下以該賴勤 Φ降低該製程室勤達該處 —#達—處理溫度; ; 處理勤,同時該轉換室仍然至少為該預 熱壓力 e) 至少接近該處理壓力及該處 理過程; 度下,將該工件暴露於該電漿處 f) 在-壓力上升速率下,提升該 少部分藉由-輸人流率,額外製程 ^職壓力,結果至 程室的整體輸入流速大於該最大流速;同時、认流動’使其到達該製 g) 共同提升該製程錢力,從該製 -47 ° 秒15陶爾。 八中°亥壓力上升速率至少每 收如申請專利範圍第47項的方法 以選擇與該製程室連通之壓力,作為在該製程室中選擇置用 升’並在_力上升速率下將該製程室壓力提升達該處理壓=力上 該回填儲細置’回填該冑程冑賴職勤。 ^利用 5〇·如申請專利範圍第49項的方法,其中裝配該回填儲存 -回填儲存以及包括在—壓力下在該回填儲存室回 ^ 即-壓力大於目標壓力時,則回填該製程室。 日真孔體’亦 專利範圍第%項的方法,其中回填作用使在該回_ 存的回艱力與在該處理壓力下之製程室,上升達_選定值,其處理壓力 小㈣選定側時亦小於該預顯力,在魏加熱缸件辆處理溫度, 其後以壓力連通職程室放㈣回填儲存,就某種意義來說,其使該二 壓力及該處理室壓力平衡達至少該預熱壓力,作為下—步驟使用於該 工件的加熱速率。 S ^ 52·如申請專利範圍g 49項的方法,其中回填作用包括在該製程 室中引導一壓力上升的速率,使其範圍介於每秒1〇到15〇陶爾。 53.如申請專利範圍帛47項的方法,其中該工件支樓一光阻侧 ALICE-D:\PATENT\PU-068-0( 30 200535928 ^其中裝配該預熱及暴露步驟,糊該電漿處理過程共同移除該光阻餘 54.如申請專利範圍第53項的方法,其中該電 電槳,在該處理溫度下定製用以從該基材上移除該光阻爛層。王— 55·㈣請專利範圍第47項的方法,其㈣卫件由: 同時包括加熱該載具用以預熱該工件。 執一叉棕, 具達至===利範圍第55項的方法,其中加熱作用包括加熱該栽 別陶爾I!;巾請專利範圍第47項的方法,其中該預熱壓力介於Μ到 爾。58,如申請專利範圍第47項的方法,其中該預熱壓力至少為⑹陶 埶、曰人二Γ申請專利範圍第47項的方法,其中預熱作用包括引進一預 …—讀進人職程室,用以該讀溫度上相稱。 預熱氣體咖㈣59娜法,包獅—細為部分該 理-對工二牛如申請專利範圍第47項的方法’包括依據步驟⑷至⑻同時處 系列工件62。·如申請專利範圍第47項的方法,包括依據步驟⑷至⑻處理― 有至少方法,在—個魏中,用以處理至少—個工件,該系統具 ttr?製程室’如此在該轉換室中的轉換室動以及在該 =至❼程室屢力可各自變化,並可將工件移到該轉換室及該 之間,一方法包括·· 1至 a) 從該製程室以麼力隔離,變化該轉換室塵力達一選定慶力值,亦 p大於預熱麼力,在該處加熱該工件達一處理溫度; b) 最初與該製程室至少在小於該預熱壓力之處理麼力下,平衡咳 及該製程室之_力,如此該雜力回填該製程室至少達該預 ALICE-D:\PATENT\PU-068-0008 31 200535928 程室 〇共同平衡該壓力達該預熱壓力,將該工件從該轉換室移動到該製 d) 在該製程室下以該預鍾力,酿該工件達—處理溫度; 同時 e) 降低該製程室Μ力達魏_力,從該轉換室動=力隔離; 理過程 。幻至少接近該處賴力及該處理溫度下,將該工件暴露於該電浆處 64·如申請專利範圍第63項的方法,進一步包括·· 離 一 g)在平衡該轉換室及製織之間_力後,並由該製程室以 從该預鐘力上升該轉換室慶力達該選定值; 件暴露於㈣«理難之後,該餘室至 壓力,同時與在該選定塵力值之轉換室,再次平衡該轉換室及該製= 間的勤,如此該敎壓力值使該製織_賴預熱愿力· 該處理sr處理壓力轉換該工件達該轉移室,協力平衡該轉換室壓力及 65·如申請專利範圍第64項的方法,進一步包括: J)再次平衡之後,重複步驟(c)到⑴針對至少一個額外工件。 糊P66·如申請專利範圍第63項的方法,其中一隔離閥選擇提供介於 ίΐπ時亥製程室之間的壓力連通,且其中該平衡作用包括打開該隔離 閥同時移動該工件通過該隔離閥。 八士 67·如申請專利範圍第Μ項的方法,其中一旁路配置選擇地提供 =雜換$及該製程室之_壓力連通,使麟該平衡侧以及一隔離 轉換室及該製程室之間’提供至少用以移動該工件,介於該轉換 至及该製程室之間。 68·如申凊專利範圍冑63項的方法,包括以挑選該預設壓力為根 據,至少部分在該製程室的製程室壓力及在該轉換室的一轉換室塵力。 I 69•-種配置’在-個系統中,用於處理至少一個工件,該系統具 制…轉換至以及一製程室’如此在該轉換室中的轉換室塵力以及在該 衣私至中賴程室動可各自變化,並可將讀移到該轉換室及該製程室 ALICE-D:\PATENT\PU-068-0008 32 200535928 之間,一配置包括: 一第-配置用以變換該轉換室壓力,從該製程 該預熱壓力之敎壓力值,在魏加_轉至少達_處理溫度:==於 n置用財衡轉換室及該製程室與最初—小於 =之處理壓力下的製程室,如此在賴熱壓力下,該敎壓力回填該製= 至0 70.如申請專利範圍第69項的裝置,包括一隔離閥,透過該Lithium I is heated together under this preheating pressure, and it is expected that the yield will increase depending on the processing time. Furthermore, any desired combination can be used, from a backfill storage room and from a conversion room = back to the red process, carving-continuous or corresponding manner, this should be the backfill source. The description of the 932 patent—the AVA system—is expected to minimize capital losses. It is believed that the present invention provides many advantages beyond the use of a single system. For example, the system can enhance the system's processing of each piece of work by means of recovery, or partly excessively high demand. The present invention is transferred to a process chamber or a similar process to a mid-removal process under the reliance force, which is under or below the preheating pressure of the workpiece, thereby reducing a number of pressure changes, as indicated by the method of the '932 patent. Furthermore, as mentioned above, this application provides customization of the cooling effect when the workpiece returns to the conversion chamber. I hate it because of the lack of previous technical cognition, and I get a lot of inspiration here. From this process, "spear to -Hai warm-up power" can be raised to the process room forever, very quickly. 2. Force. Furthermore, regarding the pressure change provided by the overall process planning, the present invention provides-succinct and method 'including backfilling Gu'. In a sense, it is impossible to understand at least the following statement from the prior art Can be made possible from the previous description. 1. A method in which the process is treated as at least one workpiece 2 The system has at least a conversion room and a process room, so the conversion room in the conversion room The pressure in the process chamber in the process chamber can be individually changed, and the workpiece can be moved to the conversion = and. Between the Haiyi process chamber 'the system further includes-process gas adjustment configuration, at least-after the electric water treatment The needle provides the process gas to the process chamber at a specific flow rate, which can provide the process gas at a maximum flow rate of ALICE-D: \ PATENT \ PU-〇68-〇〇〇8 23 200535928. A method includes: A) balance the nothing Wing force and the process Qianlida—additional force components are added to a plasma treatment process; the job is performed at 5b; b) the workpiece is transferred from the conversion chamber to the process chamber under repeated processing forces; (Qin) At the same time, the rise of the surface at the same time, the system is raised, ",,,, and so on, without the help of the work force d) to reduce the process room to reach the processing capacity, the simultaneous process. At a temperature close to the processing temperature and the processing temperature, the method is exposed to the electric treatment. The method according to item 1 of the patent application scope, wherein the pressure rise rate is at least θ 3 per second. For example, if you apply for the method of enveloping item 1 in its entirety, the worker will use the electric | treatment process to remove it together with the preheating and exposure effects: 4, such as the middle class Lee Fan HI item 3 The method, at the processing temperature, in which the unreasonable over-generating-plasma is customized for removing the photoresist residual etching layer from the substrate. The method of claiming item 1 of the patent scope, wherein the workpiece is supported by a carrier, and includes heating the carrier to preheat the workpiece. Up to-at least 6. The method of the patent scope of item 5, wherein the heating effect includes heating the carrier Tao's _ such as the method of the patent scope of the first item, wherein the processing pressure is between _ to Sichuan 8. The method of patent scope w, wherein the treatment pressure is 1 tau. The No. 1 method, in which the pregnant pressure is between 25 and 10. The method in item 1 of the patent application range, wherein the preheating pressure is at least 60 Tao. π · The method of item 丨 in the application range, wherein the preheating effect includes directing ALICE-D: \ PATENTAPU-068-0008 24 200535928 gas mixture 7 into the process chamber to enhance the temperature rise rate of the workpiece . 12. Preheat the gas mixture as described in section u of the patent application. The use of helium as a minimum to select and use the method, including assembling a backfill storage configuration, using flow to select the generation of gas :: add in the borrow = into the additional process room input includes, use from The === pieces of the backfill storage configuration and increase the process chamber's willingness to reach the pre-bond force. 1. Use the line body to enter the axis and backfill the process body. -14 · ^ Declares the method of the patented car siege U item, in which the backfill function includes the use of, = _ additional process chamber gas input flow ^ processing process, body Health— «, enters the process chamber as the electrical process gas. The expanded state is used to further guide the system16. For example, item 13 of the scope of the patent application includes a backfill storage and a back-to-back Wei storage;-= matching fill storage configuration, in other words Under the circumstances, the process chamber Γ will be backfilled, and the storage chamber order will be backfilled. In other words, the processing temperature is selected as the processing temperature and used as the next step. The workpiece was heated during the W cylinder up to -18. If the 13th backfill in the scope of the patent application is repeatedly filled with the process room, the selected value in the storage room = = __ and 1 Lu Dong hates to violate. Select a threshold value, in other words, far less than the degree: in the sense of filling, which makes the backfilling force and the processing room === storage room 'is some kind of next step used to enhance the heating rate of the workpiece The force is at least equal to the preheating and repetitive force. As the item 18 of the patent application scope, the instrument provides at least no process gas during the backfilling period. ^ Process gas adjustment configuration, see the method as described in item 13 of the patent application range, wherein the dust ALJCE-D: \ PATENT \ PU-068-0008 200535928 in the process chamber rises in the range of 15 to per second 150 Taoer Q 21. If the patent application scope of the 13th chamber, the pressure rise rate reaches 30_ per second. ~ The method including processing the first item of the patent scope of each cow during the process, including the method according to steps ⑴ to--the same method, the first method of the patent scope, including the process according to the steps ⑴ to ⑷ The pressure of the process chamber in the room can be long and white, and the book is set to the seat knife and between the senior and the senior side and the process chamber II = :: = Process gas processing is willing to set at least to control the process chamber! Force, reducing the cost of the process. Lida _ Lai workpieces were added to the Wei processing ship, and the force of the process room was increased to set the preheat pressure higher than the processing temperature. At the same time, the result was no improvement. Under the condition of the conversion chamber, the gas input flow to the :::::: chamber is caused to flow into the process chamber, and the process chamber is relied on the work material-processing temperature, and the conversion of the rising and remaining _ to === preheating pressure 'Using the first configuration and the residual force, the pressure can be reduced, so that the pressure in the process chamber can be reduced to the point where the pulp is processed. V. Under the force processing temperature, the workpiece is exposed to the device of the second item of the patent application range, such as the device of the patent application, wherein the pressure rise rate is at least every 'Among them, the range of the processing pressure is 27. The device according to item 24 of the scope of the patent No. 4, wherein the processing pressure is 1 Tauer. i-D: \ PATENT \ PU-〇68-〇〇〇8 26 200535928 25 to 25 = 24 purchases of ten losers, delete force _ between 29. As shown in the patent application section. 24-month device 'is assembled to simultaneously process a pair of processing-series work-month patents for the siege of 24 items, including a device for storing the configuration according to steps (a) to (e) to select the ϋϋΐζ item The first configuration includes-backfilling the additional process room clock with a low flow rate, which is based on the selection of the fine filling effect. The private pressure is from the processing pressure to the pre-heated diffuser to guide the additional 2 units, where the backfill configuration includes-gas magic, such as applying for a patent == flowing into the process chamber. The generator is used to generate plasma, as the clothes, where the process chamber includes a plasma to produce the gas, 4 ===== Qi Qingcheng gas and greater than the pre-delivery force, it backfills the system = Sub y backfill gas, in other words, when a back force backfill storage channel applies for the device in the scope of patent application No. 34, which includes a control configuration, where: η causes phase filling and contact with surface force τ at- Alas, when the rising force reaches a selected force, the force of the work piece is also smaller than the preheating force. The door is dry, and the temperature is equal to the degree. Then, the dust is connected to the process chamber for storage. ㈣Filling the process chamber, in the sense of the meaning, it makes the return force and the place ^ to day force scale reach the pre-delivery force, as the next step to increase the heating speed of the workpiece ^ 6 · ^ application, patent装置 In the device of item 35, during the fine filling of the towel, the process rolling body adjustment configuration provides at least no process gas. 37 · A method for processing at least one workpiece in a system with v-transition to and ㈣-to, so that the transition chamber pressure in the transition chamber and the ALICE-D: \ P ATENT \ PU -068-0008 27 200535928 ^ The chambers are individually controlled and can move workpieces to the conversion chamber and the process chamber with a specific m = :: process gas · configuration'at least in the process of plasma processing,- Fang: = Rolling body far away process chamber 'and it can process the chamber force at the maximum flow rate, and move the workpiece to the conversion chamber and the temperature in concert, so that the workpiece is added to the process chamber— The treatment process is less than bamboo " In other words, after making it reach the treatment temperature,-the treatment force is in a sense that 'they produce a maximum force which does not exceed the pre-clock force'. The value of the preheating pressure and the use of __ in the process room. Butterfly surface force, bowl rise '= emotional, the basin part with-input flow rate, using an additional process room gas input flow eight, to reach the overall input of the process room The rate is greater than this maximum flow rate. At least for the method of patenting Gu Item 37, in which the rate of movement rises per second, wherein the operation process includes the conversion 'wherein the operation process includes the 39. As the method of patent application No. 37, the method chamber pressure is maintained at This process is under pressure. 40. The method according to item 37 of the scope of patent application The pressure in the switching chamber is maintained at this preheating pressure. 41 · Configurations' In a system, used to process at least one workpiece according to a multi-step overall process, the pre-processing chamber towel is used to preheat the workpiece to a processing temperature, and thereafter, in the In the process $, the processing pressure is exposed to the plasma, and at least close to the processing temperature, the processing pressure is less than the pressure, so during the multi-step overall process, at one or more points, the The pressure in the process chamber must rise from the processing pressure to at least the preheating pressure. The reduction step includes a process gas adjustment configuration, at least when the workpiece is exposed to the electric chamber, at a specific flow rate to provide the reduction. It reaches the process chamber and can provide the process gas at a maximum flow rate, and is configured to form part of the system. The configuration includes: a configuration to increase the pressure of the process chamber, and from the processing in the multi-step overall process The pressure is increased at least to the preheating pressure by providing an additional process chamber gas at an input flow rate: ALICE-D: \ PATENT \ PU-068-0008 28 200535928 more than the The maximum flow rate. In the process room, the age-changing towel is converted into money and in this = to, "the work can be changed individually" and the workpiece can be moved to the conversion room and between, and the workpiece is heated under-preheating pressure to-processed in a processing process That is, if a processing temperature is lower than the preheating temperature, the process is purchased, and a backfiller is selected for material-backfilling, where the landfill configuration includes-the water part of the gas expansion gas. Lai Li and the gas expansion milk distribution guide the plasma gas into the process chamber. The backfill storage 45 uses the device in the 42nd patent scope, where the backfill storage configuration includes a pre-display force, return to Zit. 'Storing a backfill gas, in other words, the backfill pressure is greater than the second in the storage chamber. Including-the control is configured in the backfill storage saying that when the force at the place is less than 2 = the force, the process chamber' rises to-the selected value, in other words stored in, _ The value of 1% of the force of the collapsed company is placed by the backfill, etc., to the small and second process chambers, in a sense, the backfill pressure and the processing chamber pressure are made to __force, as The next step is used to enhance the heating rate of the workpiece. There is at least -4 L = method 'in a system' for processing at least one workpiece, the system has a process chamber, a process chamber, a transition chamber m in the transition chamber, and the force in the transition chamber. Move the workpiece to the conversion chamber and the process chamber in one pull and two steps. The process gas adjustment configuration 'at least during-the plasma processing process for the process gas to reach the process chamber' can be added at the maximum flow rate. Pressure of the room and the manufacturing process—the work force, where the worker ALICE-D: \ PATENT \ PU-068-0008 29 200535928 b) collectively balance the dust in the conversion room and the piece reaching the processing room; To the pressure, transfer the worker from the conversion room C) Under the process room, use the Laiqin Φ to reduce the process room to the place — # 达 — processing temperature;; the processing room, while the conversion room is still at least the Thermal pressure e) at least close to the processing pressure and the processing process; at a degree, the workpiece is exposed to the plasma; f) at a pressure increase rate, the small portion is increased by the-input flow rate, additional processes Pressure, as a result, the overall input flow rate to the process chamber is greater than the maximum flow rate At the same time, it recognizes the flow to make it reach the system g) to jointly improve the process power, from the system -47 ° seconds 15 Taoer. Eighth ° ° pressure rise rate at least every time as received in the scope of the patent application No. 47 The method is to select the pressure that is in communication with the process chamber, and select the liter to be used in the process chamber, and increase the process chamber pressure to the processing pressure at the rate of _force rise to the processing pressure = force on the backfill storage detail.胄 程 胄 赖 职 勤. ^ Using 50. The method as described in the scope of patent application No. 49, in which the backfill storage-backfill storage is assembled and including back pressure in the backfill storage room under pressure-that is, when the pressure is greater than the target pressure Then, the process chamber will be backfilled. The "Nisshen hole body" is also the method of the item %% of the patent scope, in which the backfill effect makes the recovery force stored in the backhaul and the process chamber under the processing pressure rise to the selected value, The processing pressure is smaller than the pre-display force when the side is selected. The temperature is processed in Wei heating cylinders, and then the pressure chamber is connected to the service room for backfill storage. In a sense, it makes the two pressures and The processing chamber is pressure balanced to at least Preheating pressure, as the next - the step of using a heating rate in the workpiece. S ^ 52. The method of claim 49, wherein the backfilling effect includes directing a rate of pressure rise in the process chamber so that it ranges from 10 to 15 Taos per second. 53. The method of applying scope 47 items, in which the photoresistor side of the workpiece branch ALICE-D: \ PATENT \ PU-068-0 (30 200535928 ^ where the preheating and exposure steps are assembled, and the plasma The photoresist residue is collectively removed during the process. 54. The method according to item 53 of the patent application scope, wherein the electric paddle is customized to remove the photoresist layer from the substrate at the processing temperature. Wang — 55 The method of claiming the scope of the patent, the guard piece is: it also includes heating the carrier to preheat the workpiece. Holding a fork, the method of reaching the scope of the scope of ==== 55, of which The heating action includes heating the planted Bel-Tauer I !; the method of claim 47 in the patent scope, wherein the preheating pressure is between M to 60. 58, such as the method of the scope of patent application 47, wherein the preheating pressure At least the method of applying the 47th patent scope of Tao Tao and Ren Eryi, in which the preheating effect includes the introduction of a pre -... reading into the job room to match the reading temperature. Preheating gas ca 59 # Law, Bao Shi-detailed part of the theory-the method of applying for the 47th scope of the patent application of Gong Erniu, including Steps ⑷ to ⑻ are at the same time in the series of workpieces 62. · If the method of scope 47 of the patent application includes processing according to steps ⑷ to ―-there is at least a method, in one Wei, for processing at least one workpiece, the system has ttr? Processing chamber 'so that the switching chamber in the switching chamber and repeated forces in the = to process chamber can be individually changed, and the workpiece can be moved between the switching chamber and between, a method includes ... 1 to a) Isolate from the process chamber with a force, change the dust force of the conversion chamber to a selected value, and p is greater than the preheating force, and heat the workpiece there to a processing temperature; b) Initially separate from the process chamber At least under the treatment force lower than the preheating pressure, balance the coughing force of the process chamber, so that the back force fills the process chamber at least to the pre-ALICE-D: \ PATENT \ PU-068-0008 31 200535928 process Chamber 0 jointly balances the pressure to the preheating pressure, moves the workpiece from the conversion chamber to the process d) brews the workpiece to the processing temperature with the pre-clock force under the process chamber; and e) reduces the process The force of the chamber M is up to the force of the force. From this conversion chamber, the force = force isolation; At least close to the force of the place and the processing temperature, the workpiece is exposed to the plasma. 64. If the method of the patent application No. 63, the method further includes the step of isolating the conversion chamber and the fabric After the period of time, the process chamber will rise from the pre-clockwise force to the conversion chamber to reach the selected value; after the part is exposed to the problem, the remaining chamber will reach the pressure, and at the same time as the selected dust force value In the conversion room, the balance between the conversion room and the system is balanced again, so that the pressure value makes the weaving _ lai preheating force · the processing sr processing pressure converts the workpiece to the transfer room, and work together to balance the conversion room Pressure and 65. The method of claim 64, further comprising: J) After rebalancing, repeat steps (c) to 步骤 for at least one additional workpiece. Paste P66 · As in the method of applying for the scope of patent No. 63, one of the isolation valves chooses to provide pressure communication between the process chambers, and the balancing effect includes opening the isolation valve while moving the workpiece through the isolation valve . Baishi 67 · If the method of the scope of application for patent M, a bypass configuration selectively provides = miscellaneous exchange $ and the pressure connection of the process chamber, so that between the balanced side and an isolation conversion chamber and the process chamber 'Provided at least to move the workpiece, between the transition to and the process room. 68. The method of claim 63 of the patent scope includes selecting the preset pressure as the basis, at least part of the process chamber pressure in the process chamber and a conversion chamber dust force in the conversion chamber. I 69 • -configuration 'in a system for processing at least one work piece, the system is equipped with a ... to and a process room' so the dust in the conversion room in the conversion room and in the clothing Lai Cheng room movement can be changed individually, and the reading can be moved between the conversion room and the process room ALICE-D: \ PATENT \ PU-068-0008 32 200535928, a configuration includes: a first-configuration to change the Switching chamber pressure, from the pressure value of the preheating pressure of the process, at least in Weijia _ turn up to _ processing temperature: == the use of the Caiheng conversion chamber and the process chamber and the initial-less than = processing pressure The process room, so under the hot pressure, the pressure is backfilled by the system = to 0 70. If the device in the scope of patent application 69, including an isolation valve, through the

在=換室及該製程室之_移動,以及裝配選擇提供介於該轉換室及該 製程至之間的壓力連通,作為壓力平衡。 71·如申請專利範圍第69項的裝置其中一旁路配置選擇提供 該轉換錢該製程室之間的壓力連通,藉以運用該平衡以及—隔離間介於 5亥轉換至及謂程室U少提供作為該工件透過雜換室 之間的移動。 狂至 種H在-個系統中,用以處理至少—個工件,該系統具 有至^-轉換室以及-製程室,如此在該轉換室中的繼室壓力以及在該 製程室中的製程室壓力可各自㈣,並可將工件移到該轉換室及該製程室 之間,一方法包括: 操作至少該製程室壓力,並協力地將該工件移動到該轉換室及該 製程室之間,如此該在該製程室中將該工件暴露在—預鍾力下,用以加 熱該工件達-處理溫度,如此在該製程室幅該工件加諸於—處理過程, 至少在-處理壓力下’換句話财使其達_處理溫度之後,—處理壓力 小於該該預顯力’就某種絲來說,其產生^超過顧遞力的一最大 製程室壓力小於大_力之預題力值但大於該處理壓力,同時操 作過程包括提升該轉換錢力達—敎值,其後鍾力連通該轉換室及該 製程室之間’其導致在該轉換㈣壓力從_敎值下降達該預麵力,就 某種意義來說’其啤程室,續使歸程室義力從該處理壓力上 升達該預熱壓力。 73.如申請專利範圍第72項的裝置,包括啟動該工件介於該轉換 至及4衣&至之間的移動’有_轉換錢力降低在介於該選定值及該預 ALICE-D:\PATENT\PU-068-0008 33 200535928 熱墨力之間的該範圍内。 於該轉換室及該置選擇提供介 間,~=:::= 75· —種方法,利用一具有至少一轉換室及— 數=牛’如此在該轉換室中的轉換室射以及在該製程室中的製程 控’且可將各個玉件在該轉換室及該製程室之間移動,該系统進 i括-製簡體配置,至少在一電漿處理 _ ; 二=1 製程氣體達該製程室,其能夠以—最大的流速提供該^t’ 換室及少該製程室氣麟力,郷力地職第—碎軸到該轉 六下4 間’如此該在該製程室中將該第一工件暴露在-預埶壓 -處二件達—處理溫度,如此在該製程室中將該工件加諸於 义一卢 在—處理壓力下’換句話說在使其達到該處理溫度之 1壓力=理:力小於該該預熱壓力,就某種意義來說,其產生不超過該預 熱勤的-最大製程㈣力,姻小於大氣勤之預鍵力值,同時在製 =中運用-壓力增加的速率觀處理壓力達贿鍾力,衫提升該轉 換室麼力的情況下,結果至少部分以—輸人流動速率,運用_額外製程室 氣體輸入流動,其使到達該製程室的整體輸人速率大於該最大流速。 76.如申請專利範圍第75項的方法,進一步包括: 藉由連續操作該轉換室麼力及該製程室麼力,處理接下來的多數 牛且〆、同地使β玄接下來的工件各自移動介於該轉換室及該製程室之 間:如此在該製程室中將該之後的多數场暴露在該預熱壓力下,用以加 絲-接下來職工件達域理溫度,因此將在製程室巾至少在該處理壓 力下,將後來的工件加諸於該處理過程,在至少以該方式達到該處理溫 X利用〗於大氣壓力的預熱壓力值,產生不超過該預熱壓力的最大製程 室壓力。 77. 申請專利範圍第75賴方法,其中操作_包括將該轉換 ALICE-D:\PATENT\PU-068-0008 34 200535928 至壓力至少維持在該處理壓力,同時處理該多數工件。 汛如申請專利範圍冑75項的方法,其中操 室勤至少維持在該處顧力之τ數工件亥轉換 於該處理申請專利範圍第75項的方法,包括同時將多數該工件加諸 數工件方法’彻—具有至少—轉換室及—製程室之純處理多 可各室中的轉換錢力以及在該製程室中的製程室壓力 動,^法包^可將多數工件的每一工件在該轉換室及該製程室之間移 及雙程室氣力,並協力地將該工件移動到該轉換室 、U至之間,如此該在該製程室帽紅件暴露在_職壓力下 =熱母―蹲達""處理溫度,如此在該製程室中將該工件加諸於-處理 少在—處職力下,換句織在使其朗魏理溫叙後,一處 -=,_力,就某種意義來說,其產生不超過該預熱塵力的 力’利用小於大氣勤之預熱壓力值,且操作過程包括在 才目關1理母-工件方面,壓力鄕該轉齡,介於_敎壓力及該預 壓2之間,該壓力循環至少部分起因建立於該轉換室及該製程室之間的 力連通,因此使用該選定壓力回填該製程室。 以J1· Μ料纖圍第80項的方法,包減動該工件介於該轉換 敎厥至之間的移動’有關該轉換室壓力降低在介於該選定值及該預 熱壓力之間的該範圍内。 τμ 82. -種配置’利用一具有至少一轉換室及一製程室之系統處理多 二牛’如此在該轉換室中的轉換室壓力以及在該製程室中的製程室壓力 I各自變化’且該讀可在錢賴室及雜程室之間透過—隔 動’ 一配置包括: —旁路配置用以挑選提供介於該轉換室及該製程室之間的壓力連 、,作為其間的壓力平衡,而不需要使用該隔離閥。 83. 如申請專利範圍第82項的配置’其中該旁路配置包括一旁路 伐用以選擇控制該轉換室及該製程室間的壓力連通。 ALICE-D:\PATENT\PU-068-0〇〇g 35 200535928 該製程室巾圍第83項的配置,其巾裝配該旁路配置包括在 至夕母秒15陶爾之速率的壓力增加。 壓力上升,在^專利祕第83項的配置’其中裝配該旁路配置感應一 爾的壓力增;從該處理勤到該預熱動,由每秒大於30陶 換室壓力與!^處圍第82項的配置,包括一控制配置用以使該轉 定值,且ϊ 該製程室,上升達—選定值,亦即小於該選 介於物2 職壓力,該工件德處加熱達-處理溫度,其後由 義二,其===間旁路配置的開口 ’回填該製程室’就某種意 下一步驟使胁該理麵力平衡達至少該預熱壓力,作為 ^ .種配置’在一系統中,利用一具有至少-轉換室及-製程宇 的製程ΐΐ里變Γ在該轉換室中的轉換室勤以及在該製程室中 -隔了=3括且駐件可在在該轉換室及該製程室之間透過 通,用以選擇提供該轉換室及該製程室之間的勤連 加速率。…、益力,感應在該製程室令至少每秒15陶爾的動增 队如申請專利範圍帛8 室麼力與在-處_力下輔《,1料;==己置,使該轉換 且亦小於-預熱勤,在該處加熱該工件達一處理溫戶,盆選定值 2 口回填該製程室,就某種意義來說,其^離 力’作為下-步驟使—的二: 之系統處理多數Γ件統中’利用一具有至少一轉換室及—製程室 的製程室屡力H變換室屡力以及在該製程室甲 -隔離間移動,一配置包括·广件可在在該轉換室及該製程室之間透過 勤上升一回填配置選擇與該製程室之勤連通,用以感應在該製程室之 ALICE-D. ^ATEHT\Pfj-068-0008 36 200535928 90.如申請專利範圍第89項的配置,其中該 散器,裝配用以感應由該回填配置之回填氣體。、I括飞體擴 儲c 1如Γ請專利範圍第89項的配置,其中該回填配置包括—回填 儲存至,在-大於目健力的勤下,儲存—回填氣體,回填該製程室。、 力在請專利範圍第%項的配置,其中該目標勤為-麟壓 工件在⑽加,、、、社件’至少達—處理溫度,作為τ—步驟以處理該 93·如申請專利範圍帛91項的配置,其中該回填配 一 ㈤,用啸繼通轉換室及該製程室之間_力。、。回^ 攀 94·如申請專利範圍第%項的配置,包括一控 填儲存室,回填壓力與在-處理覆力下之製程室,上升達—選定】二回 小於该選定值’且料於—職勤,該辑麵處加 。「 驟,增強該!^回力該處理壓力均等達該預熱動,用於下—步 感‘咖填配置,用以 饞處理溫度 =如將申在第90項的配置,其中裳配該系統,用以在該 φ霞之前,加執:;工:衆中,且在製程室中將該工件暴露於該 言亥電聚,形成部;:該=====電ΐ霞體產生 體。引導末自相填配置之回填氣體,且其進一步裝配用以引導該電裝氣 構件料雖=^縣—物㈣频實_ m具有攸各別定位的 呈現各種具有該多樣構件的特定配置 的多種方式修ΐ 者 於此處的方法,:"吏用無任何限制 舉例來說,彳 a斤玉理、修正及再次組合該各式步驟。 在取的反應或以限定關係,針對—特定事件,«生 特疋事件㈣娜⑼咖上,細娜祕1、壓力^ ALICE- d:\PATENT\PU-068-0008 37 200535928 度的觀點界定。如另一範例,以本發明 共同轉換室時,可操作兩個或兩個以上轉換室,盘此 說,可顯而易見提供該_«揭示的相。==。如前所 及不限制眾多不同的修改方式,同時運用多數同的裝配以 而不背離本發_神及領。M,可__例==月, β兄明且不具任何限制,同時本發明並不娜 ,丨、、= 該申請專利的範圍内修正。 Α即之錢’但至少可在 【圖式簡單說明】The _movement in the change chamber and the process chamber, and the assembly options provide pressure communication between the switch chamber and the process to as a pressure balance. 71 · If one of the devices in the scope of patent application 69 is used, one of the bypass configurations chooses to provide the pressure communication between the conversion room and the process room, so as to use the balance and-the isolation room is between 5 hrs and the travel room U is less provided. As the workpiece moves between the miscellaneous chambers. In a system, it is used to process at least one workpiece. The system has a conversion chamber and a process chamber, so the relay pressure in the conversion chamber and the process chamber pressure in the processing chamber. The workpieces can be individually moved and the workpiece can be moved between the conversion chamber and the process chamber. A method includes: operating at least the process chamber pressure and cooperatively moving the workpiece between the conversion chamber and the process chamber, and so on The workpiece is exposed to a pre-clock force in the process chamber to heat the workpiece to a processing temperature, so that the workpiece is applied to the processing process in the process chamber, at least under the processing pressure. In a word, after it reaches the processing temperature, the processing pressure is less than the pre-display force. For a certain type of wire, it produces a maximum process chamber pressure that exceeds the Gu Ding force and is less than the large pre-force value. But it is greater than the processing pressure, and the operation process includes increasing the conversion pressure to the threshold value, and then the clock force communicates between the conversion room and the process room. Vigor, in a sense Beer which process chamber, so that continued force of the return journey from the chamber sense SITA treatment pressure to the preheating pressure. 73. The device according to item 72 of the scope of patent application, including initiating the movement of the workpiece between the transition to and the 4 & to 'have_ conversion money power is reduced between the selected value and the pre-ALICE-D : \ PATENT \ PU-068-0008 33 200535928 Within this range between thermal ink power. In the conversion room and the option to provide the intermediary room, ~ = ::: = 75 · — a method using a conversion room with at least one conversion room and the number = cow 'so shot in the conversion room and in the The process control in the process room 'can move each jade piece between the conversion room and the process room. The system includes a simplified configuration of the system, at least one plasma processing_; two = 1 process gas to the Process room, which can provide the ^ t 'change room at a maximum flow rate and reduce the strength of the process room, and work hard—the broken shaft to the turn six times and four rooms', so it should be in the process room. The first workpiece is exposed to the pre-pressing pressure at two pieces to the processing temperature, so that the workpiece is applied to the process in the process chamber under the processing pressure, in other words to bring it to the processing temperature. 1 pressure = reason: the force is less than the preheating pressure, in a sense, it produces a maximum process force that does not exceed the preheating time, which is less than the pre-bonding force value of the airtime, and is in the process = In the application-the rate of increase in pressure is used to deal with the pressure of the bridal force, and the shirt will improve the force of the conversion room. At least partially - input flow rate, use of additional process chamber gas _ input flow, which makes the overall input rate reaches the process chamber is greater than the maximum flow rate. 76. The method according to item 75 of the patent application scope, further comprising: by continuously operating the conversion chamber force and the process chamber force, processing the next majority of cattle and the same work to make the next work of β Xuan The movement is between the conversion room and the process room: so that most of the subsequent fields are exposed to the preheating pressure in the process room for wire-feeding-the next time the worker parts reach the domain temperature, so At least under the processing pressure, the processing chamber towel applies the subsequent workpieces to the processing process, and at least in this way reaches the preheating pressure value of the processing temperature X utilization at atmospheric pressure, and generates a pressure that does not exceed the preheating pressure. Maximum process chamber pressure. 77. Method 75 of the scope of patent application, where operation_ includes the conversion ALICE-D: \ PATENT \ PU-068-0008 34 200535928 to maintain the pressure at least at the processing pressure while processing the majority of the workpiece. Xun Ru applied for a method with a scope of 75 items, in which the operating room was maintained at least at the τ number of workpieces. This method was converted to the method for processing item 75 of the scope of the patent application, including adding a large number of the workpieces to the workpiece at the same time. The method 'completely has the pure processing of at least the conversion chamber and the process chamber. The conversion power in each chamber and the pressure of the process chamber in the process chamber are used. Move between the conversion room and the process room and the double-pass room air force, and work together to move the workpiece between the conversion room and U to, so that the red part of the cap in the process room is exposed to _ job pressure = heat Mother-squat " " processing temperature, so in the process room, the workpiece is added to-processing less than-under the work force, in other words woven after making Lang Wei Li Wenshu, a-=, _ Force, in a sense, it generates a force that does not exceed the preheating dust force, 'uses a preheating pressure value that is less than atmospheric pressure, and the operation process includes only the objective of the mother-workpiece, the pressure should be turned Age, between _ 敎 pressure and the pre-pressure 2, the pressure cycle at least Points due to the establishment of communication between the power chamber and the conversion of the process chamber, so the use of the selected backfill the process chamber pressure. Using the method of J1 · M material to enclose the 80th item, including reducing the movement of the workpiece between the transitions and the transition between 'the pressure of the transition chamber is between the selected value and the preheating pressure. Within that range. τμ 82.-a configuration 'using a system with at least one conversion chamber and a process chamber to process more than two cows' so that the pressure of the conversion chamber in the conversion chamber and the pressure I of the process chamber in the processing chamber each vary' and The reading can be transmitted between the Qianlai room and the miscellaneous process room.-The configuration includes:-the bypass configuration is used to select and provide the pressure connection between the conversion room and the process room as the pressure in between. Balance without using the isolation valve. 83. The configuration of item 82 in the scope of the patent application, wherein the bypass configuration includes a bypass cut to selectively control the pressure communication between the conversion chamber and the process chamber. ALICE-D: \ PATENT \ PU-068-0〇g 35 200535928 The configuration of the towel room item 83 of this process chamber, and the bypass configuration of this towel configuration includes pressure increase at a rate of 15 tauer to the mother's day. The pressure rises, in the configuration of item 83 of the patent, where the bypass configuration is installed to sense the pressure increase; from the processing to the preheating, the pressure of the chamber is changed by more than 30 seconds per second. The configuration of item 82 includes a control configuration to make the transfer setting value, and ϊ the process chamber, rise up to the selected value, that is, less than the pressure of the selected job, and the workpiece is heated up to-processing The temperature, followed by Yi Er, its === bypass configuration opening 'backfill the process chamber' is the next step in some way to balance the surface pressure to at least the preheat pressure, as a configuration. 'In a system, use a manufacturing process with at least-conversion room and-process Yu change the conversion room in the conversion room and in the process room-separated = 3 and the resident can be in the There is a communication between the conversion room and the process room, and it is used to select and provide the acceleration rate between the conversion room and the process room. …, Yili, induction in the process room to make at least 15 Taoer per second, such as the patent application scope of the 8th room and the power of the-and _ force under the auxiliary ", 1 material; = = own set, so that The conversion is also less than-preheating time, where the workpiece is heated to a processing temperature, the selected value of the pot 2 backfills the process chamber, and in a sense, its detachment force is used as the next step. Two: The system handles most of the systems. 'Using a process room with at least one conversion room and a process room, the H-change room, and the move in the process room A-isolated room, a configuration includes Between the conversion room and the process room, a backfill configuration option is selected to communicate with the process room, to sense ALICE-D in the process room. ^ ATEHT \ Pfj-068-0008 36 200535928 90. For example, the configuration of the 89th aspect of the patent application, wherein the diffuser is equipped to sense the backfill gas from the backfill configuration. I. Enclose the expansion of the flying body c 1 such as the configuration of item 89 of the patent scope, where the backfill configuration includes-backfill storage to, and-under the greater than Mu Jianli's service, store-backfill gas, backfill the process room. The force is in the configuration of the item% of the patent scope, where the target is-the pressure of the workpiece is increasing, the processing temperature is at least up to the processing temperature, as the τ-step to process the 93. Such as the scope of patent application The configuration of item 91, of which one is filled for backfilling, and the force is used between the Xiao Jitong conversion room and the process room. . Back to the top 94. If the configuration of the item% of the scope of the patent application includes a controlled filling storage room, a backfill pressure and a process room under the-processing force, up to-selected] two times less than the selected value 'and expected — Duty, add to this series. "Instantly, strengthen this! ^ Return power, the processing pressure is equal to the preheating, and it is used for the next-step sense 'coffee filling configuration for processing temperature = such as the configuration in item 90, which is equipped with the system Before the φ Xia, add :: Worker: Zhongzhong, and in the process room, expose the workpiece to the language, gather the forming part ;: The ===== 电 ΐ 霞 体 生产 体Guide the backfill gas at the end of the phase filling configuration, and its further assembly is used to guide the electrical charging gas component material. Although the county has different positioning, it presents various specific configurations with the various components. There are many ways to fix it. Here is the method: "Without any restrictions, for example, I can manage, modify, and combine the various steps again. In the response or in a limited relationship, for- A specific event, «Birth of a special event, on Na㈣ka⑼, Xi Na Mi1, pressure ^ ALICE- d: \ PATENT \ PU-068-0008 37 200535928 degree definition. As another example, the present invention is commonly converted Room, two or more conversion rooms can be operated, and in that case, the _ « The phase. ==. As mentioned above, it does not limit many different modification methods, and uses the same assembly at the same time without deviating from the hair_God and collar. M, 可 __ Example == Month, β brother is clear and does not have Any restrictions, and the present invention is not satisfied, 丨 ,, = Amendment within the scope of the patent application. Α is the money ', but at least can be explained in [Schematic Illustration]

瞭解本發日Γ藉由參考接下來詳細的描述與該下述相關的圖式概要描述 ij τ圖為—個去除外殼關式,從正面來看,為根據本發明f _ μ帛―B圖為一去除外殼圖樣的圖式’從正面來看,為根據本發明 Γ轉處理系統,其中提供—旁路配置,用以從該轉換室回填 至该製程室。 、 第二圖為一流程圖式,說明一種方式,其中可使用第一 A圖的系 統’保留其中該轉換室,至少在—處理勤下,在該製程室中將該工件義 露於電漿處理期間。 * 第二圖為一說明製程室壓力、回填室壓力及工件溫度相對時間的 圖表,基於第二圖中該流程圖。 第四圖為一流程圖式,說明一種方式,其中可使用第一 A圖的該 系統,保留其中該轉換室,至少在—預熱動下,使該工件暴露於該製程 室中’增強工件的加熱作用。 第五圖為一說明製程室壓力、回填室壓力及工件溫度相度相對時 間的圖表,基於第四圖中該流程圖。 弟圖,為一流程圖式,說明一種方式,可使用於第一 B圖的系 統中’以致於該轉換室從該處理壓力回填該製程室,達該預熱壓力。 第七圖為一去除外殼圖樣的圖式,從正面來看,為根據臬發明所 38 ALICE-D:\PATENT\Pa〇68^〇〇〇8 200535928 製 旁路 配另-具體實施例,其中提供雙重製程平台以及· 、直’任一項或兩者皆可用於回填該製程室。 l主要元件符號說明】Understand that this day, Γ By referring to the following detailed description, the diagram related to the following is briefly described ij τ is a shell-removal type. From the front, it is f_ μ 帛 -B according to the present invention. A schematic diagram of a shell removal pattern, viewed from the front, is a Γ transfer processing system according to the present invention, in which a bypass configuration is provided for backfilling from the conversion chamber to the process chamber. The second diagram is a flow chart illustrating a way in which the system of the first A can be used to 'reserve the conversion room, at least under the processing room, and expose the workpiece to the plasma in the process room. During processing. * The second figure is a chart illustrating the relative pressure of the process chamber pressure, backfill chamber pressure, and workpiece temperature, based on the flowchart in the second figure. The fourth diagram is a flowchart illustrating a way in which the system of the first A can be used, and the conversion chamber is retained, at least under pre-heating, to expose the workpiece to the process chamber. Heating effect. The fifth graph is a graph illustrating the relative time between the pressure in the process chamber, the pressure in the backfill chamber, and the relative temperature of the workpiece, based on the flowchart in the fourth graph. This figure is a flow chart illustrating a method that can be used in the system of the first B 'so that the conversion chamber backfills the process chamber from the processing pressure to the preheating pressure. The seventh figure is a diagram with the shell pattern removed. Viewed from the front, it is another bypass-specific embodiment according to 38 ALICE-D: \ PATENT \ Pa〇68 ^ 〇〇〇200535928 of the invention. Provide a dual process platform, and either or both can be used to backfill the process room. l Symbol description of main components]

~~——^ 10 ~~——__ system 系統 12 transfer chamber 轉換室 14 robot 機器人 18 arm 支架 20 --' effector (paddle) 作用器(槳狀物) 22 pattern 模型 30 ~~—-^_ semiconductor workpiece 半導體工件 32 ~~—— plasma-processing chamber 電漿製程室 34 ~~^^^ plasma source 電漿源 36 ---— plasma 電漿 38 susceptor 載具 40 lift-pins 升降拴 42 ~~'—^_ passage 通道 44 ~^^ valve 閥 45 ~~—^__ arrow 箭頭 46 ~~—^^ exhaust port 廢氣口 48 ~~—^___ gas 氣體 50 ~—^^__ diffuser 擴散器 52 ~—^^ gas input 氣體輸入 54 -^^ backfill arrangement 回填配置 56 --—~^_ backfill input 回填輸入 58 ^--- process gas 製程氣體 60 ~~—^__ Mass Flow Controller 質流控制器 66 backfill valve 回填閥 39 ALICE-D:\PATENT\PU-068-00〇8 200535928~~ —— ^ 10 ~~ ——__ system system 12 transfer chamber 14 robot robot 18 arm bracket 20-'effector (paddle) 22 pattern model 30 ~~ —- ^ _ semiconductor semiconductor workpiece 32 ~~ —— plasma-processing chamber 34 ~~ ^^ plasma source plasma source 36 ----- plasma plasma 38 susceptor carrier 40 lift-pins ^ _ passage 44 ~ ^^ valve 45 ~~-^ __ arrow arrow 46 ~~-^^ exhaust port 48 ~~-^ ___ gas 50 ~-^^ __ diffuser 52 ~-^^ gas input 54-^^ backfill arrangement 56 --- ~ ^ _ backfill input 58 ^ --- process gas 60 ~~-^ __ Mass Flow Controller 66 backfill valve 39 ALICE-D: \ PATENT \ PU-068-00〇8 200535928

68 backfill ballast chamber 回填穩定室 70 chamber line 室内管線 72 supply valve 供給閥 74 supply line 供給管線 76 backfill gas 回填氣體 78 pressure sensor 壓力感應器 79 diffuser 擴散器 80 system 系統 82 bypass arrangement 旁路配置 84 piping 管線 86 bypass valve 旁路閥 90 computer 電腦 92 MFC 質流控制器 94 input 輸入 96 output 輸出 100 system 系統 101a first plot 第一圖式 101b second plot 第二圖式 102 third plot 第三圖式 200 system 系統 202a plot 圖式 202b plot 圖式 300 third mode 第三模式 400 system 系統 40 ALICE-D:\PATENT\PU-068-000868 backfill ballast chamber 70 chamber line indoor line 72 supply valve 74 supply line supply line 76 backfill gas 78 backfill gas 78 pressure sensor 79 diffuser 80 diffuser 80 system 82 bypass arrangement 84 piping line 86 bypass valve 90 computer computer 92 MFC mass flow controller 94 input 96 output 100 system 101a first plot 101b second plot second diagram 102 third plot third system 200 system 202a plot 202b plot 300 third mode 400 system 40 ALICE-D: \ PATENT \ PU-068-0008

Claims (1)

200535928 十、申請專利範圍: 1·一種方法,在一個系統中,利用一處理 理,該系統具有至少-轉換室以及—製程室,如此在===件處 壓力以及在該製程財的製織壓力可各自變化,並畔 =該製程室之間’娜進-步包括—製程_節配置 备处理過M 特定流HX提供製減體達該製程室,1 最大的流速提供該製程氣體,一方法包括: -犯夠以一 件加諸罐力達—她力,切處將該工 b)在該處理壓力下,從該轉換室將該工件轉移至該製 C)預熱該工件達—處理溫度,同時在—勤上升 ’ 程㈣力達-預顏力,結果在無提升轉換力的’上升該製 以一輸入流速,由一額外萝裎宮 月况下,至少部分 入速率大於該最大流速_,使進人該製麵的整體輸 Φ降低該製程麵力_處麵力,· _ 過程。e)至少接賴處力及該處顧灯,暴露該工件電聚處理 15陶爾^如申清專利關第1項的方法’其中該壓力上升速率每秒至少達 層,且利用其1項的方法,其中該卫件支撐—光隨刻 阻钱刻層。 ’程,以該預熱作用及暴露作用共同移除該光 4·如申請專利範圍第3項的方法,在 處理it程產生―賴,針 度下,其中該電漿 5·如申請專利範圍第;=3_所定製。 包括加熱該栽具用以預熱該工件。、’ 、中缸件由-載具支樓,並 達—至少專利範圍第5項的方法’其中加熱作用包括加熱該載具 7·如申請專利範圍第1項的方法,其中該處理壓力介於_到10 ALICE-D.\PATENT\PU-068-0008 41 200535928 陶爾的範圍。 &如申請專利範圍第丨項的方法,其中該處理麼力為丨陶爾。 到则爾第1項的方法,其中其中麵力介於25陶爾 爾。H)·如申請專利範圍第】項的方法,其中該預熱壓力至少為仞陶 請專·圍第1獅方法,其巾賴侧包括引導-預敎 —物進入該製程室,用以增強該工件的溫度上升速率。貝,、、、 12·如申請專利範圍第u項的方法,i γ 部分該預熱氣體混合物。 ’、 ]用w作為至少 以選擇圍通第,1在項::法,包括裝配一回填儲存配置,用 流動’用以選擇產生氣體的增該額外製程室輸入 起包括,利用從該回填儲』置^件與增加該製程室勤-室達該預熱麼力。 、卜g至乳體輸入流動,回填該製程 體擴散器 方法,其中回物包括利用一氣 該製程室中。 £至風體輪入流動,從該回填儲存配置進入 5·如申凊專利範圍第項 處理過程的部分,運用該製程氣體及:—電漿,作為該電漿 程氣體進入該製程室。 °域體擴散器,用以進-步引導該製 包括-法,裝_填儲存配置, 話說在大於-目標壓力的麼力下,將回==亥回填健存室中,換句 處理溫度,作為下—步驟之使用。$ &理缸件_加熱該工件達-队如申請專利範圍第13項 回填塵力與該製程室在_處 ’’匕括使在該回填儲存室中之 下,上升達-選定值,換句話說遠小於 ALICE-D:\PATENT\PU-068-0008 200535928 該選定值且其處理勤亦小於—預減力,在該處加熱該工 ^ ’其後__包括以壓力連通該製程室,安裝該回填儲存室二-意義來說,其使該回填壓力及該處理室壓力均等,至少達該預熱壓H 為下一步驟使用於增強該工件的加熱速率。 、 乍 19.如申请專利範圍第π項的方法,其中該製 在該回填_提供至少不含製減體。 體調祕置, t斗、“Ή請專利範圍第13項的方法’其中在該製程室中該壓力的 上升速率的範圍介於每秒15到150陶爾。200535928 10. Scope of patent application: 1. A method, in a system, using a processing principle, the system has at least-conversion room and-process room, so the pressure at the === pieces and the manufacturing pressure of the process property Can be changed separately, and parallel = 'Nanjin-step includes between the process chambers-process_section configuration prepared to process M specific stream HX to provide a reduction body to the process chamber, 1 maximum flow rate to provide the process gas, a method Including:-Committing to apply force to the tank with one piece of force to cut the work b) Under the processing pressure, transfer the workpiece from the conversion chamber to the manufacturing system C) Preheat the work piece to process The temperature, at the same time-Qin Rising 'Cheng Yi Lida-pre-looking force, as a result, the "Rising" system without lifting conversion force at an input flow rate, from an extra Lomo Palace monthly conditions, at least part of the rate of entry is greater than the maximum The flow velocity _ makes the overall input Φ entering the surface to reduce the surface force _ at the process, and _ process. e) At least relying on the strength and the light at the place, exposing the workpiece to electro-condensation treatment 15 Taoer ^ The method of applying for the first item of the patent of Qing Dynasty 'where the pressure rise rate reaches at least one layer per second, and one item is used The method, in which the guard supports-light blocks the layer of money as the moment passes. In the process, the light is removed together with the preheating effect and the exposure effect. 4. The method of item 3 in the scope of the patent application, which is generated in the process of it processing-under the needle degree, of which the plasma 5. The scope of the patent application No. = 3_ customized. Including heating the implement for preheating the workpiece. ", The middle cylinder is made of-carrier support, and up to-at least the method of the scope of the patent No. 5" wherein the heating effect includes heating the carrier 7. If the method of the scope of patent application No. 1, wherein the processing pressure medium In the range of _ to 10 ALICE-D. \ PATENT \ PU-068-0008 41 200535928 Taour. & The method according to the scope of patent application, wherein the processing force is Tao. The method to No. 1 of Zeer, in which the surface force is between 25 Taoer. H) · The method according to item [Scope of the patent application], wherein the preheating pressure is at least 仞 Taozhuan · Wai No.1 Lion method, and the towel side includes guiding-pre-heating-material into the process room to enhance The temperature rise rate of the workpiece. B ,,, 12 · As in the method of applying for item u of the patent scope, part i γ of the preheated gas mixture. ',] Use w as at least to select the encirclement, 1 in the item :: method, including assembling a backfill storage configuration, using flow' to select the gas produced to increase the additional process chamber input includes including using the backfill storage ^ Place the pieces and increase the process room time-room to reach the preheating force. 2. Flow from the input to the breast to backfill the process volume diffuser method, where the return includes using a gas in the process chamber. From the wind to the wind, it flows in from the backfill storage configuration and enters the processing process of item 5 in the scope of the patent application, such as the process gas and:-plasma, as the plasma process gas into the process chamber. ° Domain volume diffuser, which is used to further guide the system including -method, loading and filling storage configuration, that is, under the force greater than -target pressure, it will be returned to the storage room, and the temperature is changed in other words. As the next step. $ & management cylinder_heating the workpiece up to-if the team applied for the 13th backfill dust force of the patent scope and the process room is at _ place, the bottom of the backfill storage room will rise up to the selected value, In other words, it is much smaller than ALICE-D: \ PATENT \ PU-068-0008 200535928 the selected value and its handling capacity is also less than-pre-reduced force, heating the work there ^ 'Then __ includes the process by pressure Chamber, the backfill storage chamber is installed. In a sense, it equalizes the backfill pressure and the processing chamber pressure to at least the preheating pressure H as the next step for enhancing the heating rate of the workpiece. 1. At first 19. If the method of the scope of application for patent π is applied, the system shall provide at least no reductions in the backfill. The method of adjusting the body tone, the method of "please claim the scope of patent No. 13", wherein the pressure rising rate in the process chamber ranges from 15 to 150 Taoer per second. ^如中請專利範圍第13項的方法,其中回填作用包括在該 至引v该壓力上升速率達每秒30陶爾。 (a) 5,J ^ 一^ 23·如申請專利範圍第!項的方法,包括根據步驟⑷到⑷声 理一糸列工件。 处 2《-種裝置,在-個系統中,用以處理至少一個工件,該系㈣ ^至少-轉換室以及—製程室’如此在該轉換室中的轉換室壓力以及妇 =室中的製程室壓力可各自變化,並可將轉移到該轉換室及該製程旁 f系統進—步包括-製程氣體調節配置,至少在-賴處理過程冲 定流速’㈣提供製减體達該製程室,魏如—最大 供该製程氣體,一裝置包括: B -第-配置至少用以控制該製程室壓力,降低該製程室壓力達一 处理壓力’在魏·工件加諸於—電漿處理過程,且着上升該製程室 壓力’與該製域體調節配置合作達高於該處雜力之職壓力,在一 ^ 力^升速率,結果在無提升該難錢力的情況下,導致至少部分以一輕 入流速’由-額外製程室氣體輸人流動,使進人該製程室的整體 ^ 大於該最大流速;同時 ^ 一第二配置在該製程室忠勇以預熱該工件達一處理溫度,與上升 ^製程室壓力合作,從該處理壓力達該麵壓力,該第-配置與該殘 的轉換至壓力’至少接近該處理壓力,如此可降低該製程室壓力達該處 ALICE-D:\PATENT\PU-〇68-0008 43 200535928 漿處1二至)蝴繩力及該處理溫度之τ ’將树暴露在該電 有至種方法,在—個系統中,用於處理至少—個工件,該系統具 製程“㈣至以^—製程室’如此在該轉換室中的轉換室麈力以及在該 11 各自湖,並可將工件移_轉換室及該製程室 、一這麵步包括-製程氣體調節配置,至少在—電漿處理過程中 ,用以提供製程氣體達該製程室,並其能夠以-最大的流速 耠供该製程氣體,一方法包括·· 至> 韻程錢力,並協力地賴工件移酬該轉換室及該 =至^,如此該在該製程室中將該工件暴露在—預熱壓力下,用以加 …二工^達-處理溫度,如此在該製程室中將該工件加諸於一處理過程, 至1在4理壓力下,也就是說在使其達到該處理溫度之後,一處理壓力 該職壓力,就某種㈣純,其產生不超職賴壓力的一最大 β 、*力運用小於大氣壓力之預熱壓力的值,同時在製程室中運用一 愿力增力=速率從該處理壓力賴預熱壓力,在不提升該轉換室壓力的情 =下甘結果至少部分以一輸入流動速率’運用一額外製程室氣體輸入流 ,八使到達該製程室的整體輸入速率大於該最大流速。^ The method of item 13 in the patent application, wherein the backfilling effect includes the pressure rising rate from 30 to 40 tau per second. (a) 5, J ^ a ^ 23 · If the scope of patent application is the first! The method of the item includes arranging a list of artifacts according to steps ⑷ to ⑷. Department 2 "-a device in a system for processing at least one workpiece, the system 至少 at least-conversion room and-process room 'so the pressure of the conversion room in the conversion room and the process in the room The chamber pressure can be changed individually, and can be transferred to the conversion chamber and the system next to the process. Further steps include-process gas adjustment configuration, at least in the process of determining the flow rate 'to provide a reduction body to the process chamber, Wei Ru—Maximum supply of the process gas, a device includes: B-No.-configured at least to control the pressure of the process chamber, reduce the pressure of the process chamber to a processing pressure 'in the Wei · workpiece added-plasma processing, And increasing the pressure of the process room 'and cooperating with the regulatory body to adjust the configuration to reach a pressure higher than that of the labor force at the place, at a rate of ^ force ^ rise, as a result, without improving the difficult money, at least partially At a light-entry flow rate, the gas flow from the additional process chamber makes the overall flow into the process chamber ^ greater than the maximum flow rate; meanwhile, a second configuration in the process chamber is brave to preheat the workpiece to a processing temperature , And rise ^ The process chamber pressure cooperates, from the processing pressure to the surface pressure, the first configuration and the residual transition to pressure 'at least close to the processing pressure, so that the process chamber pressure can be reduced to that place ALICE-D: \ PATENT \ PU-〇68-0008 43 200535928 Pulp force 12 to) Butterfly force and τ 'of the processing temperature There are several ways to expose the tree to the electricity, in one system, for processing at least one workpiece, the The system has a process "㈣ to ^-process room 'so that the conversion room in the conversion room is forced and at the respective 11 lakes, and the workpiece can be moved to the conversion room and the processing room. This step includes-process Gas adjustment configuration, at least in the process of plasma treatment, is used to provide process gas to the process chamber, and it can supply the process gas at the maximum flow rate. One method includes ... to > And work together to rely on the workpiece to pay the conversion room and the = to ^, so the workpiece in the process chamber is exposed to-preheating pressure, used to add ... secondary ^ up to-processing temperature, so in the process Add the workpiece to a process in the chamber, to 1 Under pressure, that is, after it has reached the processing temperature, as soon as the processing pressure is reached, the pressure is a certain purity, which produces a maximum β, * force that does not exceed the pressure, which is less than the atmospheric pressure. The value of the thermal pressure, while using a willing force in the process chamber. The force = the rate depends on the preheating pressure from the processing pressure. Without increasing the pressure in the conversion chamber, the result is at least partially at an input flow rate. The additional process chamber gas input flow is such that the overall input rate to the process chamber is greater than the maximum flow rate. ALICE-D:\PATENT\PU-068-0008 44ALICE-D: \ PATENT \ PU-068-0008 44
TW094100328A 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing TWI257647B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US53449504P 2004-01-06 2004-01-06

Publications (2)

Publication Number Publication Date
TW200535928A true TW200535928A (en) 2005-11-01
TWI257647B TWI257647B (en) 2006-07-01

Family

ID=34794284

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094100328A TWI257647B (en) 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing

Country Status (7)

Country Link
US (1) US20050205210A1 (en)
JP (1) JP2007518278A (en)
KR (1) KR20060127019A (en)
CN (1) CN1910308A (en)
DE (1) DE112005000153T5 (en)
TW (1) TWI257647B (en)
WO (1) WO2005067634A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
CN104934353B (en) * 2014-03-18 2018-01-19 北京北方华创微电子装备有限公司 Transmission system, reaction chamber and semiconductor processing equipment
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US11592394B2 (en) * 2016-08-12 2023-02-28 Wisconsin Alumni Research Foundation Methods and systems for transmission and detection of free radicals
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (en) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Annealing chamber under high pressure and high temperature
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113658891A (en) * 2021-08-19 2021-11-16 上海稷以科技有限公司 Wafer processing device
WO2023043043A1 (en) * 2021-09-17 2023-03-23 주식회사 플라즈맵 Plasma processing apparatus
KR102611478B1 (en) * 2021-09-17 2023-12-08 주식회사 플라즈맵 Apparatus for plasma treatment

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3125232A (en) * 1964-03-17 Transfer device
JPS6362233A (en) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp Reactive ion etching apparatus
DE3731444A1 (en) * 1987-09-18 1989-03-30 Leybold Ag DEVICE FOR COATING SUBSTRATES
US5868854A (en) * 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JP3466607B2 (en) * 1989-09-13 2003-11-17 ソニー株式会社 Sputtering equipment
US5135391A (en) * 1990-04-24 1992-08-04 Micron Technology, Inc. Semiconductor processing gas diffuser plate
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
DE4427984C2 (en) * 1994-08-08 2003-07-03 Unaxis Deutschland Holding Device for feeding in and out of workpieces in a coating chamber
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
JP2000021871A (en) * 1998-06-30 2000-01-21 Tokyo Electron Ltd Plasma treating method
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
DE19835154A1 (en) * 1998-08-04 2000-02-10 Leybold Systems Gmbh Apparatus for vacuum coating of substrates, in particular, those with spherical surfaces comprises two vacuum chambers which are located adjacent to one another and have rotating transport arms
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP2002026108A (en) * 2000-07-12 2002-01-25 Tokyo Electron Ltd Transfer mechanism for works, processing system and method of using transfer mechanism
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system

Also Published As

Publication number Publication date
DE112005000153T5 (en) 2006-11-16
JP2007518278A (en) 2007-07-05
US20050205210A1 (en) 2005-09-22
WO2005067634A3 (en) 2005-09-15
WO2005067634A2 (en) 2005-07-28
KR20060127019A (en) 2006-12-11
TWI257647B (en) 2006-07-01
CN1910308A (en) 2007-02-07

Similar Documents

Publication Publication Date Title
TW200535928A (en) Advanced multi-pressure workpiece processing
JP6681953B2 (en) Method and apparatus for reducing metal oxides on metal seed layers
TW465016B (en) Method for forming a barrier layer for use in a copper interconnect
US7901545B2 (en) Ionized physical vapor deposition (iPVD) process
TW554069B (en) Plating device and method
JP3187011B2 (en) Method for manufacturing semiconductor device
TW574393B (en) Sputter deposition and annealing of copper alloy metallization and seed layer
US9331032B2 (en) Hybrid bonding and apparatus for performing the same
TW426965B (en) Low temperature integrated via and trench fill process and apparatus
CN104637831B (en) A kind of copper copper bonding technology based on copper nano-wire
TW200526812A (en) Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
KR20220100826A (en) Atmospheric plasma apparatus for semiconductor processing
TW200832558A (en) Method for integrated substrate processing in copper metallization
TW201347094A (en) Method for manufacturing semiconductor device
TW200832586A (en) Controlled ambient system for interface engineering
TWI689013B (en) Cu wiring forming method, semiconductor device manufacturing method, and memory medium
WO2007041469A2 (en) A method for a metallic dry-filling process
CN103264261A (en) Method for preparing multi-laminated-layer molybdenum-copper composite material
TW546772B (en) Method of manufacturing a semiconductor device
CN101392363B (en) Method for diffusion connecting titanium alloy at low temperature and vacuum
TW201036099A (en) Substrate treating apparatus and method
JP2000331991A (en) Manufacture of semiconductor device
Farrens Wafer-bonding technologies and strategies for 3D ICs
JP2003524299A (en) Method and apparatus for processing microelectronic workpieces at elevated temperatures
CN102449741A (en) Method for treating a surface coated with a film, and device for treating a surface coated with a film

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees