TWI257647B - Advanced multi-pressure workpiece processing - Google Patents

Advanced multi-pressure workpiece processing Download PDF

Info

Publication number
TWI257647B
TWI257647B TW094100328A TW94100328A TWI257647B TW I257647 B TWI257647 B TW I257647B TW 094100328 A TW094100328 A TW 094100328A TW 94100328 A TW94100328 A TW 94100328A TW I257647 B TWI257647 B TW I257647B
Authority
TW
Taiwan
Prior art keywords
pressure
chamber
workpiece
gas
process chamber
Prior art date
Application number
TW094100328A
Other languages
Chinese (zh)
Other versions
TW200535928A (en
Inventor
Daniel J Devine
Rene George
Ryan M Pakulski
David A Barker
Original Assignee
Mattson Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Tech Inc filed Critical Mattson Tech Inc
Publication of TW200535928A publication Critical patent/TW200535928A/en
Application granted granted Critical
Publication of TWI257647B publication Critical patent/TWI257647B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Workpiece processing uses a transfer chamber in cooperation with a process chamber. The workpiece is to be heated to a treatment temperature, at a preheating pressure, and subsequently exposed to a plasma at a treatment pressure, which is less than the preheating pressure, yet very rapid pressure increases can be induced in the process chamber in transitioning from the treatment pressure to the preheating pressure. The transfer chamber pressure can be maintained at the treatment pressure, the preheating pressure or raised to a selected pressure to backfill the process chamber to the preheating pressure. A backfill arrangement can selectively induce rapid pressure in the process chamber. A bypass arrangement provides selective pressure communication between the transfer and process chambers and can be used for backfilling the process chamber from the transfer chamber.

Description

1257647 九、發明說明: 【發明所屬之技術領域】 —本申請書主張來自美國申請專利序號第_娜號的優先權,於 西元2003年1月6日頒佈,將其全體結合於此,作為參考。 【先前技術】 / 本發明大體上有關處理-種❹種工件之領域,且更明確地,針對 -系統或方法’藉由使用超過-種以上_力,在工件上進行整體的製程。 舉例來說’製造工件如半導體晶圓,在整體過程中,通常在不同王點 上利用不同壓力執行最佳的任務。如此多壓力過程的其中一個範例揭示在 美國專利編號第M〇9,932號(此後稱為該第932專利尤其,在該第9幻 專利的第二段中,揭示七個先前領域的程序,以大氣-真空'大氣 (―here-to-va一。_—here^ 室加熱-晶圓達預期的製程溫度,接著將該製程室以幫浦抽取,降低至二 職製程動’將錢加職晶社,在該製程㈣—小孔使壓力回到 氣壓力,同時該晶圓與另-晶圓交換。舉例來說,在從該晶圓上移除 阻蝕刻劑時,如此一過程是有用的。 該第932專利運用著名的原理,其熱轉換效率隨著氣歷力升高。 相增進使晶圓生產過量’可利用先前領域的顧系統,該第932專利 f —負載/無負載壓力減少該製程室塵力之後,運用_中級塵力,在_ 二=熱晶S]無負顏力不需要為大氣麼力,但儘管如此“ 諸^缝力。關於這點’經過深思熟慮,鑑於本發明的論點及認知,加 於该弟932專狀限制及問題,將視為進—步限制系統生產量的增進。 由其他切倾巾認知,在―愤壓力與_轉 = f求_力變化’在系統生產量±加諸^^^^3字 進—步在適當的論財檢討。 卜文中將 本發明考慮移除該前述關及_的同時,仍提供更進—步的好 ALICE-D:\PATENT\PU-068-0008 6 1257647 處。 【發明内容】 描述在一系統中,用以處理至少一工件、一裝置及一方法。該系 統包括至少一轉換室及一製程室,如此在該轉換室中之轉換室壓力,以及 在5亥裝程至中之製程至壓力可各自變化,而該工件可在該轉換室及該製程 室之間移動。該系統進-步包括—反應氣體調節排列,用以提供反應氣體 達ΰ玄製私至中,至少在一電漿處理反應中以一特定流速,且能夠提供最大 流速該反應氣體。 隹不贫叨的具肀一個觀點1257647 IX. INSTRUCTIONS: [Technical field to which the invention pertains] - This application claims priority from the US patent application No. _Na, which was promulgated on January 6, 2003, and is incorporated herein by reference. . [Prior Art] / The present invention relates generally to the field of processing - a variety of workpieces, and more specifically, for a system or method 'to perform an overall process on a workpiece by using more than one type of force. For example, manufacturing workpieces such as semiconductor wafers, in the overall process, typically performs different tasks with different pressures at different points. One example of such a multi-pressure process is disclosed in U.S. Patent No. M. 9,932 (hereinafter referred to as the 932th patent, in particular, in the second paragraph of the ninth patent, revealing seven prior art procedures to the atmosphere -vacuum 'atmosphere' (here-to-va one. _-here^ room heating - the wafer reaches the expected process temperature, then the process chamber is pumped to the second job process, and the money is added to the job In the process (4) - the orifice returns pressure to the gas pressure while the wafer is exchanged with the other wafer. For example, such a process is useful when removing the etchant from the wafer. The 932th patent uses the well-known principle that its thermal conversion efficiency increases with the gas-gravity. The phase-enhanced wafer production is over-utilized by the previous field of the system, the 932th patent f - load / no load pressure reduction After the dust in the process chamber, the use of _ intermediate dust force, in _ two = hot crystal S] no negative force does not need to be the atmosphere, but nevertheless "all the seam forces. About this point" after careful consideration, in view of this The arguments and cognition of the invention, added to the brother 932 special restrictions The problem will be regarded as the step-by-step limitation of the increase in the production volume of the system. Recognized by other cuts, in the "angry pressure and _ turn = f seeking _ force change" in the system production amount ± add ^ ^ ^ ^ 3 words into - Steps in the appropriate review of the argument. Bu Wenzhong will consider the removal of the aforementioned Guan and _ while still providing a better ALICE-D: \PATENT\PU-068-0008 6 1257647. SUMMARY OF THE INVENTION A system is described for processing at least one workpiece, a device, and a method. The system includes at least one conversion chamber and a process chamber, such that the chamber pressure in the conversion chamber, and at 5 liters The process to the pressure can be varied, and the workpiece can be moved between the conversion chamber and the processing chamber. The system further includes a reaction gas adjustment arrangement for providing the reaction gas to the private atmosphere. At least a specific flow rate in a plasma treatment reaction, and capable of providing a maximum flow rate of the reaction gas.

μ,.,、口 吻付伏主!刀汉舔裂程室壓力與處3 壓力相同,其中將該工件加諸於處理過程。該工件在該處^^壓力下 從該轉換室轉移到該製程室。預熱該工件達一處理溫度,鱼升高 的壓f讀,以一壓力升高速率達一預熱壓力,結果至少1分利用辦 製私m以-輸人流率流人,在不提高該轉換室壓力之下,使進入言 =程室的整體輸人速率大於該最A流速。降低該製程室壓力達該處㈣ 近在贿健力賴處理溫度之下,將蹲暴餘該電漿處王】 過牲1T7 〇 在本發明的另—觀點,該轉換室壓力與該製程 =Γ倾該轉換室轉移到該製程室。在該製程室㈣ 用一額外製程室氣體,以—輪人換室勤之下,至少部分系 率大於該最大流速,為了在預熱壓力;,二=程室的整體輸入速 在一個實施中,献罢 ^工件到该轉換室的轉移。 通的壓力’使用以選擇回填該製為了挑選與該製程室相 仍在本發”另—觀點,⑽力射ϋ«力_麵塵力。 壓力達大於-預熱壓力的選定值,⑦至隔離,變化該轉換室 人 δ亥處加熱將近達處理溫度。最 ALICE-D:\PATENT\PU-068-0008 1257647 2製程室至少處於-處_力之下,該處理壓力小於該預熱勤,使該 =換室及該製程室義力相等,如此該選定_力回填該製程室,至少= 忒預熱壓力。共同調節壓力達該預熱壓力的狀態,將該工件從 在該製程室的該預鮮力下,將該卫件預熱至少達到處理 力。至少在«理勤及該處理溫度下,賴工件暴露於該電 仍在本發_另-觀點,至少操作該製織壓力,並共 =使=介於該轉換室及該製程室之間,如此在該_中暴露於預‘ 力下’用叫_工件達—處理溫度的域侧,在 將' ==fr就某種意義來說,運用-小於編力,但大= 一 ^ _鍾力值,其產生超職預鍵力的最域程室勤,並運用 制程室j速率,=果在不提高該轉換嶋之下,至少利用一部分額外 衣矛至乳體,以一輸入速率流入:。 在本發明的連續觀點中,為了反應多數的工件,至少操作該製程 在第—個"件_介於該轉換室及該製程室之間,如此 將暴露於—預熱勤下,用以加熱該第—工件達處 ^ 4製程室中’將該第件置於-處理過程中,至少在,』 =預=力的處_之下,幾乎達到該處理溫度之後,就某種意義來 ^眺小於大氣塵力’但大於該處理勤的預熱壓力值,產生超過 二員二壓力的最大製程㈣力。藉域續的操作該轉換室壓力錢該製程 ^女制^理許多工件,並共同地將接連的每—個該轉移動到該轉換室 至之間’並-麼力上升料,絲在不提高轉換室塵力之 ^ΓΜ:^夕】用4力領外製H氣體,以—輸人速率流人。在—個特點中, 可轉換夕數工件,並同時處理。 本^明的進一步觀點中,說明一旁路配置,作為提供選擇介於 二雜一1及销程室之間的壓力連通,使產生於其狀壓力為均等,透過 刀隔離閥的運用,使該工件通過該轉換室及製程室之間。在一個特點 ALICE-D:\PATENT\PU-〇68-〇〇〇8 8 1257647 2 一管觀置使該轉換力上升達—選定值,包括該製程室在小於該 絲壓力下’邱同樣地小於—職壓力,在鱗賴工件加熱 处理溫度。其後’稭由打開至少介於該轉換室及該製程室之間的開口 =填該製程室’就某種意義來說,其使該製程室壓力及該處理室壓力相 接近該該預熱壓力’作為接τ來使用於加熱該工件之用。在另一觀點 」遠旁路配置作為提供麵條雜換室及謂程室之_壓力連通, 而該製程室使制的壓力均等,不f要使用該隔離闕。 實Γ,在—系統中處理至少—個卫件,根據—多步驟整體過程, 鬼程室中’以-預熱壓力預熱該工件達—處理溫度,域後在該 ’以-處理壓力將該工件暴露於—電漿中,並至少在該處理溫度 二於該預熱壓力,如此該製程室勤在該多步驟的整體過 -牛勺壓力升高’至少達該預熱壓力—點或多點。該系統進 期二一 ϊ㈣調節配置,至少在該工件以一特定流速暴露於該電漿 體接: 氣體達該製程室’並能夠以一最大流速提供該製程氣 中:==!峨升到該製程室壓力,在該多步驟整體過程 入=""人5夕次s亥預熱塵力’藉以提供一額外製程室氣體以-輸 速/1 人,使到達該製程室之整體輸人速率大於該最大流速。 【貫施方式】 用㈣m述之呈現’能夠使此領域的其中一種原始技能製作及運 用於°亥毛明’並提供在一專利申士主 明、下文中以及其必備條件。針對所描 化’將可輕易地藉由此領域的這些技巧體認,且 此處通㈣·亦可觀於其他頻實關t 制該具體實關的呈現,符AF A 柯歧U限 徵-致。特靠音抑圍,與此處描述之原則及特 *寺另销式並非按照比例,且為實際的圖表。 第- A圖為-圖式,就正面來看,為一半導體 例,通常由該參考數字⑽標示。當系統⑽ 置社人,且^目、^=貫打之系統,其將瞭解可糊本發明與各式系統配 ’峨_蝴術彡、繼程室中,且 ALICE-D:\PATENT\PU-〇68-〇〇〇8 1257647 =分,力變化的能力。#然,介於該轉換及製程室之n必 致工:準備。將配置該系統符合眾多代替特點 的轉 =:r:r平台相連包括,舉例來說,-個或二 在b至中雙工件製程位置的使用,以及—個或多個 =#=ϊ512號視為—個範例’其中描述如此特徵,並將全體結合,,.,, 口付付伏主! The pressure of the knife and the chamber is the same as the pressure at the 3, where the workpiece is applied to the process. The workpiece is transferred from the transfer chamber to the process chamber at the pressure of the portion. Preheating the workpiece to a treatment temperature, the pressure of the fish is increased, and the pressure is increased by a preheating pressure at a rate of pressure increase, and at least one point is utilized to make the flow of the person to flow at a flow rate of at least one person. Under the pressure of the switching chamber, the overall input rate into the chamber is greater than the maximum A flow rate. Reducing the pressure of the process chamber to the place (4) The bribe force is lower than the treatment temperature, and the battery will be smashed by the battery. In the other aspect of the present invention, the conversion chamber pressure and the process = The transfer chamber is transferred to the process chamber. In the process chamber (4), an additional process chamber gas is used, and at least part of the system rate is greater than the maximum flow rate under the shift of the wheel, in order to preheat the pressure; the overall input speed of the second = process chamber is in one implementation. , the contribution of the workpiece to the transfer room. The pressure of the pass is used to select the backfilling system in order to select the same process as the process chamber. The other point of view is (10) force ϋ « force _ surface dust force. The pressure is greater than - the selected value of the preheating pressure, 7 to Isolation, change the conversion room, the temperature of the person will be close to the processing temperature. Most ALICE-D: \PATENT\PU-068-0008 1257647 2 The process chamber is at least under - force, the treatment pressure is less than the preheating Having the = chamber and the process chamber equal force, such that the selected _ force backfills the process chamber, at least = 忒 preheating pressure. The pressure is adjusted to the state of the preheating pressure, and the workpiece is removed from the process chamber. Under the pre-fresh force, preheating the guard at least reaches the treatment force. At least at the "Lesson and the processing temperature, the workpiece is exposed to the electricity, and at least the processing pressure is at least And ====between the conversion chamber and the process chamber, so that in the _ exposure to the pre-force, use the field side of the processing temperature, and the ===fr is some kind In terms of meaning, the use - less than the compilation force, but the large = one ^ _ clock force value, which produces the most Room rate, and using the process chamber j rate, = fruit does not increase the conversion ,, at least a part of the extra clothing spear to the milk body, flowing at an input rate: In the continuous view of the present invention, in order to reflect the majority The workpiece, at least the process is operated in the first "piece_between the conversion chamber and the process chamber, so that it will be exposed to preheating to heat the first workpiece to the process chamber 'The first piece is placed in the process, at least, 』 = pre = force _ under the _, almost reached the processing temperature, in a sense to ^ 眺 less than atmospheric dust 'but greater than the processing The preheating pressure value produces a maximum process (four) force that exceeds the pressure of two members and two pressures. The operation of the conversion chamber pressures the process of the process, the female system manages many workpieces, and collectively moves each successive one of the turns To the conversion room to the 'and-mould force to increase the material, the wire does not increase the dust in the conversion chamber ^ ^: ^ eve with 4 force collar external H gas, at the rate of input and flow. In the feature, the workpiece can be converted to a number of eves and processed at the same time. A bypass configuration is provided as a supply of pressure between the two miscellaneous ones and the pinning chamber, so that the pressure generated by the knife is equalized, and the workpiece is passed through the conversion chamber and Between the process chambers. In a feature ALICE-D:\PATENT\PU-〇68-〇〇〇8 8 1257647 2 a tube view raises the switching force up to a selected value, including the process chamber being less than the wire pressure The lower 'Qiu is similarly less than the occupational pressure, and the temperature is processed in the scale of the workpiece. Then the 'stalk is opened by at least the opening between the conversion chamber and the processing chamber = fill the processing chamber' in a sense And the process chamber pressure and the processing chamber pressure are close to the preheating pressure 'as a connection τ for heating the workpiece. In another aspect, the far bypass configuration is used to provide pressure communication between the noodle miscellaneous chamber and the predicate chamber, and the process chamber equalizes the pressure, and the isolation crucible is not used. In fact, in the system - at least one guard is processed, according to the multi-step whole process, in the ghost chamber, the workpiece is warmed up by the preheating pressure, and the pressure is processed in the domain The workpiece is exposed to the plasma, and at least the processing temperature is equal to the preheating pressure, such that the process chamber is in a multi-step overall over-bee pressure rise 'at least the preheating pressure-point or More. The system advances two (4) adjustment configurations, at least the workpiece is exposed to the plasma at a specific flow rate: gas reaches the process chamber' and is capable of providing the process gas at a maximum flow rate: ==! The process chamber pressure, in the multi-step overall process into the ="" person 5 s shai preheating dust force' to provide an additional process chamber gas at - speed / 1 person, so that the entire process room is reached The input rate is greater than the maximum flow rate. [Cross-management method] The presentation of (4) m can enable one of the original skills in this field to be produced and applied to °Hai Mingming and provided in a patent application, below and its necessary conditions. For the description of 'will be easily recognized by these skills in this field, and here (4) · can also be seen in other frequency of the actual implementation of the specific real-time, the symbol AF A Ke Qi U limit - To. It is based on the sound suppression, and the principles described here and the special sales of the temple are not proportional and are actual charts. Figure-A is a diagram, and on the front side, is a semiconductor example, usually indicated by the reference numeral (10). When the system (10) is set up by a person, and the system of ^^,^=打打, it will understand that the invention can be combined with various systems with '峨_蝴术彡, in the relay room, and ALICE-D:\PATENT\ PU-〇68-〇〇〇8 1257647 = points, the ability to change forces. #然, between the conversion and the process room must work: preparation. The system will be configured to meet a number of alternative features =: r: r platform connection includes, for example, the use of - or two in the b to medium double workpiece process position, and - or more = # = ϊ 512 Considered as an example of which describes such features and combines them all

m錢,祕本申·整_示,仙作為本發日⑽實行。特別提 目‘考數字運用於相似項目中,在可能的情況下,遍及此揭示中。 ,H關於第一 A圖,系統〇〇)包括—轉換室⑼,其中僅顯示 ^人⑼。機器人包括—手臂⑻以及由談手臂 ^ rfn (20)〇 . *半導體工件(3G)移除一光阻姓刻劑層或模型(22)(厚度 杯1:) ’儘管可由此領域的其卜種原始技能體認,麟統有益於包 二錄㈣顧’但秘概學·沈積、軒層沈積及鎌侧的各 只仃i其中在不同的製程點上,加諸不同的屡力在該工件上。如前所述, ^對本^例的目的,'提供具有一電漿源(34)的電裝製程室⑶)例如, 、牛例來。兒,-感應福合電漿(Icp)源。使用電裝源⑼)產生一電裝(%), L使用於光阻似彳劑之移除。其他合適電漿源包括,但不限制微波源、 水源、ECR電裝源、電容性輕合(平行板)電漿源。特別提及該 ^吾一製程室(processing chamber),,或“製程室(啊⑽㈦,, 可表不-Si置’肋齡—玉件與_處理源連結例如,舉例來說,一電聚 源0 電漿室(34)可,以一範例製程視窗使用以實行電漿例如,舉例 來說: 製程壓力·· 〇·2到20陶爾(發現接近1陶爾時效果最好) 製射頻電力(每一工件)·· 5〇〇到5,〇〇〇w (發現接近3,〇〇〇w時 效果最好) 製叙氣體:部分混合或全部依照該下列氣體: * 〇2 流動:1,000-20,000 (發現 5,00(M0,_sccm 時效果最好) 10 ALICE-D:\PATENT\PU-068-0008 1257647 • Ar、He、N2流動:分離或混合50_5000sccm (發現500sccm時 效果最好) • H2、D2、HD流動:分離或混合l,〇〇〇_20,OOOsccm (發現接近 10,000時效果最好) •形成氣體(4-10% Hr) ·· l,〇〇〇-15,OOOsccm (發現接近 10,000 時效果最好) •探氫化合物氣體(低分子量):例如CH4、C2H4、C2H6、C3H8、 C4H1()等等)流動 l,000-10,000sccm ( CH4 在接近 5,000sccm 時 的流速效果最好) • 含有碳鹵氣體:例如 cf4、C2F6、C3F8、C4F6、c-C4F8、CHF3、 CH2F2、CH3F、C2HF5、C2H2F4、C1CF3、C12CF2 等等-流速小 於 300sccm • HF流動:流速小於3〇〇sccm • N2O 流動·· 50-5000sccm • NH3 流動:50-5000sccm 心在乾燥製程中移除光阻侧劑’可瞭解在電漿暴露期間,該光阻 2移除鱗隨者該工件的溫度增加。舉例麵,加熱該卫件達一溫 度’預期介於丨耽〜3耽,為了達収.件生產量。 具有助於件Ο"0讀在一載具GO。該載 在敕體祝H 士達 度 強制上升該載具的溫度,其發現 在正體過㈣循環中,將該載 載具至少可在整體循環n 口 ^度下。可替換地’该 齋c到慰c之間。接近或預熱在一溫度下或介於 數值,為了順應額外的加_ ====賴可使用更低的 所引起。可使用任何由電水暴路以及或材料/裝置熱能限制 (40) «,Γ〇4Γ-ίΓ ' ° 以運用該升降機插腳達一適告 、°用以移動工件(30)的目的,藉 作用器(20)及载具(38):上,如所需,將該工件移動(未顯示)至 置,而顯示末端作用哭頌不°亥升降機插腳(40)處於一縮回位 用。。⑶)回縮入轉換室(12),以致於工件㈤支 ALICE-D:\PATENT\PU-068-0〇〇8 11 1257647 撐在載具(38)上。-#_道⑹界定在賴室⑼及製程室⑼ 間如此機為人(14)可由此轉換工件。一隔離闊(44)例如,舉例來 ,使用真工隔離閘閥或隙賴來選擇完全地或部分地關騎套⑷), (例如’舉例來說’提供壓力連通,作為兩室之_平衡目的)。在此範 7中,複合運轉在該方向上開啟閥(44),其由箭頭⑹指示,在 二轉轴中具有-向右扭轉。在該製程室中,使用—廢氣口⑹製造一真 工狀態,與適當的配置合作。廢氣由箭頭指示的方向流出。m money, secret book Shen · whole _ show, Xian as the date of the implementation (10). Special mentions ‘The test numbers are used in similar projects and, where possible, throughout this disclosure. , H regarding the first A picture, system 〇〇) includes a conversion room (9) in which only ^ person (9) is displayed. The robot includes - arm (8) and the arm of the arm ^ rfn (20) 〇. * Semiconductor workpiece (3G) removes a photoresist surname layer or model (22) (thickness cup 1:) 'Although it can be used in this field Kind of original skills recognition, Lin Tong is beneficial to the package of two records (four) Gu 'but the secrets of the study · sedimentary, Xuan layer deposition and the side of each side of the 仃i which are at different process points, adding different strengths in the On the workpiece. As described above, for the purpose of this example, 'providing an electrification process chamber (3) having a plasma source (34), for example, a cow. , - Induction of the plasma (Icp) source. An electrical component (%) is produced using an electrical source (9), and L is used for the removal of a photoresist-like sputum. Other suitable plasma sources include, but are not limited to, microwave sources, water sources, ECR electrical sources, and capacitive light (parallel plates) plasma sources. Specifically referring to the processing chamber, or "process chamber (ah (10) (seven)," can be -Si placed rib age - jade pieces and _ processing source connections, for example, an electropolymer Source 0 The plasma chamber (34) can be used in a sample process window to perform a plasma, for example: Process pressure · · 2 to 20 Torr (the best results when found close to 1 Torr) Electricity (per workpiece) · 5〇〇 to 5, 〇〇〇w (the best results when found close to 3, 〇〇〇w) Narrative gas: Partially mixed or all in accordance with the following gases: * 〇2 Flow: 1,000-20,000 (5,00 found (M0, _sccm works best) 10 ALICE-D:\PATENT\PU-068-0008 1257647 • Ar, He, N2 flow: separate or mix 50_5000sccm (effect found at 500sccm Best) • H2, D2, HD flow: separation or mixing l, 〇〇〇 _20, OOOsccm (the best results when found close to 10,000) • Forming gas (4-10% Hr) ·· l, 〇〇〇- 15, OOOsccm (the best results when found close to 10,000) • Hydrogen probe compound gas (low molecular weight): for example CH4, C2H4, C2H6, C3H8, C 4H1(), etc.) Flows from 1,000 to 10,000 sccm (CH4 has the best flow rate at approximately 5,000 sccm) • Contains carbon halide gases: eg cf4, C2F6, C3F8, C4F6, c-C4F8, CHF3, CH2F2, CH3F , C2HF5, C2H2F4, C1CF3, C12CF2, etc. - Flow rate less than 300sccm • HF flow: flow rate less than 3〇〇sccm • N2O flow · 50-5000sccm • NH3 flow: 50-5000sccm Heart removal of photoresist side effect during drying process 'It can be understood that during the plasma exposure, the photoresist 2 removes the scale and the temperature of the workpiece increases. For example, heating the guard to a temperature is expected to be between 丨耽~3耽, in order to reach the production. The amount of help Ο"0 is read in a vehicle GO. The load is in the body of the body, and the temperature of the vehicle is forced to rise, and it is found that in the normal (4) cycle, the carrier is at least In the overall cycle n mouth ^ degree. Alternatively 'the fast c to the comfort c. Close or preheat at a temperature or between the values, in order to comply with the additional plus _ ==== Caused by any electric water storm and or material/device thermal energy limitation (40) , Γ〇4Γ-ίΓ ' ° to use the elevator pin to achieve an indication, ° for moving the workpiece (30), the borrower (20) and the carrier (38): on, if necessary, The workpiece moves (not shown) to the position, and the display end acts to cry. The lift pin (40) is in a retracted position. . (3)) Retracting into the conversion chamber (12), so that the workpiece (five) ALICE-D:\PATENT\PU-068-0〇〇8 11 1257647 is supported on the carrier (38). - #_道(6) defines that the machine (14) between the chamber (9) and the process chamber (9) can thereby convert the workpiece. An isolation (44), for example, uses a galvanic isolation gate valve or gap to select a fully or partially closed ride (4)) (eg, for example, to provide pressure communication as a two-chamber balance) ). In this example, the composite operation opens the valve (44) in this direction, indicated by the arrow (6), having - twisting to the right in the two shafts. In the process chamber, a waste state (6) is used to create a state of the art, in cooperation with the appropriate configuration. The exhaust gas flows out in the direction indicated by the arrow.

電聚室或搶(34)包括-氣體擴散器(5〇),其接收來自製程氣體 ^⑼以及-回填配置⑼。後者在_回填輸入⑼,提供一輸入 /放益(50) ’特別注意輸入⑼可與另—丫型配置連結,以便分 旱単-氣體輸人,為了防止有關該雙重輪人擴散器⑼)之需求。該用語 回填” _描述將低壓達到高壓的情況,與—最初更高壓力傳送的結 果。特別注意該擴散器設計用以在電聚源⑼)中,均勻地分佈該壓力, =電,流入氣體擴散器(5。)及該氣體入口管線⑼及(56)的可能 到取低。製程氣體(58)-般包減氣體混合物,財益於電漿的產 ,然氣體亦可藉由製程氣體輸人(52)狀',作為工件⑼)增強溫 2升的目的。關於這點,該製程氣體由_鞭(質流控制器⑽調節, 件於電漿中的實際暴露_,其供應具有高精確度之製程氣體輸入 的^目的,以便控制該電漿的特質。當該鞭提供如此精確的控制時, =速則相當的緩慢。再者,例如此MFC的裝置由—最大流速值特徵化, :常非常慢。因此,認清_加人_明確限制,有關在該娜c上 =獨地藉人。回填輸人(56)導致—回_ (66)依次地連結一回 ⑽。有關回填配置⑼的詳細體積及操作,將提供於下文 前,足以發覺回填輸人⑻、閥(66)、回填室(68)同時配置所 2::(32)中快速壓力上升,舉例來說,由-低電漿 =姓人 碎預減力,不是單獨進行,就是與製程氣體輸入 …-回填室管線㈤由一回填供給間(72)引導至回填室⑽。 ^的供給管線(74)與一回填供給閥(72)的輪入連結。回填氣體㈤ -前頭標示。利用-壓力感測器監測回填室(68)的勤。就某種意義 ALICE-D:\PATENT\PU-068-0008 12 1257647 來《兒在製私至與供給閥(72)之運用回填合作之前,在該回填室中可達 心疋的起始壓力。可替換地,在該回填$巾確保-控制壓力情況,可使 ,貝流控制器(未顯示),經過一段已知的時間,測量流入該回填室之 氣體。為知在此領域中,一個具有原本技術的人,鑑於此整體揭示,可輕 ^地貫灯此回填配置。將一氣體擴散器(79)放置於電漿室(34)及製程 至(32)之間’作為增加製程一致的目的,然而,該氣體擴散器並非必需 品。 利用-回填配置(54),單獨地或與製程氣體MFC (⑻合作,考The electropolymer chamber or grab (34) includes a gas diffuser (5 〇) that receives the process gas ^(9) and the backfill configuration (9). The latter is in the _ backfill input (9), providing an input / benefit (50) 'Special note input (9) can be linked with another - type configuration to divide the drought - gas input, in order to prevent the double wheel diffuser (9) Demand. This term backfilling _ describes the case where the low pressure is reached to high pressure, and the result of the initial higher pressure transmission. Pay particular attention to the fact that the diffuser is designed to evenly distribute the pressure in the electropolymer source (9)), = electricity, inflowing gas The diffuser (5.) and the gas inlet lines (9) and (56) are likely to be taken low. The process gas (58) generally reduces the gas mixture, which is beneficial to the production of plasma, and the gas can also be processed by the process gas. The input (52) is used as the workpiece (9) to enhance the temperature of 2 liters. In this regard, the process gas is regulated by the _ whip (the mass flow controller (10), the actual exposure of the piece in the plasma _, its supply has The high-precision process gas input is used to control the characteristics of the plasma. When the whip provides such precise control, the speed is relatively slow. Furthermore, for example, the MFC device is characterized by a maximum flow rate value. It is often very slow. Therefore, it is clear that _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The detailed volume and operation of the backfill configuration (9) will be provided below. Before, it is enough to detect that the backfilling person (8), the valve (66), and the backfilling room (68) are simultaneously configured to increase the rapid pressure in the 2::(32), for example, by - low plasma = surname breaking pre-reduction force, Not separately, or with the process gas input... - the backfill chamber line (5) is directed from a backfill supply (72) to the backfill chamber (10). The supply line (74) is connected to the wheel back supply valve (72). Gas (5) - front indicator. Use the - pressure sensor to monitor the backfill chamber (68). In a sense ALICE-D: \PATENT\PU-068-0008 12 1257647 Come to the private and supply valve ( 72) Before the application of backfilling cooperation, the initial pressure of the heart can be reached in the backfilling chamber. Alternatively, in the backfilling, the towel can ensure that the pressure condition can be controlled by the shell flow controller (not shown). For a known period of time, the gas flowing into the backfill chamber is measured. It is known in the art that a person having the prior art, in light of this overall disclosure, can lightly illuminate the backfill configuration. A gas diffuser (79) ) placed between the plasma chamber (34) and the process to (32) 'as an increase in process Purpose, however, that the gas diffuser is not required. Use-backfill configuration (54), either alone or in conjunction with process gas MFC ((8),

慮可產生,每秒超過15 _的製程室上升速率。事實上,考慮每秒超過 15陶爾或更多的平均上升速率。 主思現階段有關第一 B圖,其圖表地描述一改質系統實施,其一 般由參考數子(80)所標示。系統(8〇)與第一 A圖中的系統⑽)相似, 除了不而要回填配置(⑷之外,並使用一單一輸入氣體擴散器(未顯示)。 再者’說明一壓力旁路配置(82),作為選擇提供壓力連通介於轉換室⑴) 及製程室(32)之間。旁路配置(82)包括管線⑽及—旁路閥(· 後者可以任何方式開啟,以達成該製程室及轉換室之間,一預麵力呈現 均等化,可按照規格製造旁路配置(82)的所有構件,以便使兩室之間能 ,X至v每15陶㈣速率,實行快速壓力均等化。實際上,可考慮 2秒15 _或更大的速率。因此,可實行由小於丨陶_到⑼陶爾的速度 轉變,如一低端執行限制,小於4秒鐘。當然,針對該相_力變化已完 全經過證實’預期小於2秒的較轉換時間。綠⑽)及⑽的控制 2易地糾此倾巾具有壯技㈣从聽賊麵麻完成,舉例 ^兄,利用電腦(90)及適當的壓力或氣體流動控制感應器,就某種 其提供實行此處所有預期程序。在魏⑽)中另—不同點屬於且 有-輸入⑼)之MFC (92)的提供,其中該輸 娜 給連結广輪出㈤送入轉換室⑼中。該跳二 於=中描述。特別注意在轉換室⑼中賴力可,以替換的方式控制。 2有任何限制的範例,可使用—勤感測器與其中—氣體流動中止間結 合或一阻塞闕以壓力與該轉換室連通。 ALICE-D:\PATENT\PU-068-0008 13 1257647 目剷回到第二圖及第三圖與第一 式其中使用系統(10)。第二圖^^田述―主要模 以該參考數字(10),而笛一=關说明此拉式的各種步驟,通常 意有關描述於此的所有圖式,包括第三圖,說“==圖 璺及/皿度值’如此數值絕不預期成為限制,且範呈^、 二圖,除了下述的其他圖形之外,亦可將該製程室的:例,第 室以“把’表示。光贿刻劑可以“PR”表示。在第三圖^_轉換 式(101a)說明製程室(32)中盥時回 主要圖 說明回填室(68)中盘日士鬥Γ 賴力變化,一第二圖式⑽b) 明工件溫度相糊的及—第三圖式(1〇2)說 室⑼,亦即使用於工件(3(n t 同的低壓下操作該轉換 此齡此處可稱為::)及同===之《暴露。 可=·❹Π0 _恤叫测。勒,^力 移動到製程室(32)並放置在載 件從轉換至(12) _於兩㈣軸_=====^ 閘閥’升_ (44)延伸在該載具的頂部表面== 延伸位置)。同樣有關呈現開啟狀態之該隔離_,將 一工^連結機器人手臂(18)的末端作用器(20),插入韻== 升降ΐ之上的預期位置之後,該末端作用器使該工件(該機 : 、Ζ軸控制連結該末端作用器)降低在上件 放置在該升降拾的頂端上之後,該機器人手臂從二二2=3 =:=;;?一該製程室中抽離,=: 動該工件達-處理位置 領域中具有原始技能的步驟相似,完成移 該處理位置。續工件-、1戶=錯由回縮升降拾(40),降低該工件達 為該載具已完全溫見第二圖),時間訂,在加熱前。因 加熱的載具與ί ΓΓ度開始從Tg上升,該工件就接近該 I、起加熱,介於15(TC到35(rc之間。該上升的工 ALICE-D:\PATENT\PU-068-0008 14 1257647 件溫度可歸於輻射、氣體對流及熱能傳導的轉換。有猶工件接下 停留在該載具上,在製程室(32)之壓力,因此電漿室(34)快速地從^ 上升,在第三圖中達-預熱壓力Pl,藉由該氣體的添加,其使範圍介於^ 陶爾到250陶爾之間,可充分運用於加速該工件的加熱速率。一非常快速 的壓力上升,如第三圖所示從P〇i,j Pl,在_ ti的時間間隔内,可藉由 回填配置(54)之運用產生。職-將近6〇 _的職壓力是足夠的且 在此壓力下,大多數的益處來自改良的加熱速率,可清楚的瞭解,將由一 更高壓力得到。也就是說,明確地將該壓力升高超過6Q陶爾需要更多時 間,如同稍後降低該壓力的時間,藉以降低生產量。在該製程室内藉由严: 霸啟回填閥(66),完成如此快速的壓力上升,以便從回填儲存室(6^中幵, 釋放儲存在更高壓力下的壓縮氣體。特別注意該回填儲存室通常很靠近該 製程室。關於這點,在一充足壓力下,依照儲存室(68)尺寸製作配合一 充足氣體的體積,使該製程/電漿室結合達該預期壓力。值得特別注意:關 於此處所有具體實施例,將製程室氣體體積降到最小,可達成直接地貢 獻,增強該壓力上升的速率。 至少有兩種可執行的方法,使該快速壓力上升。第三圖說明一回 填同等化技術,其中事先上升該回填壓力,或設定一選定壓力值Pw (詳 見圖式101b之t〇)。以此壓力值為設定基礎,一部分在該回填室及製程室 • 的體積,如此在&將兩室平衡達預熱壓力A,接著在t〇開啟回填閥(66)。 也就是說,圖式101a及川几在^時交會。關閉回填閥(66)接著同等化, 同時從t3到t5使該回填室壓力上升達Psel。當Psd顯示為65陶爾為了說明 的方便目的,可瞭解此數值可由許多方式測定,將於下文中說明,且此數 值之運用並不預期成為限制。如一可替換技術,其沒有圖式於第三圖中, 但其輕易地由第一 A圖中瞭解,該回填壓力可明確地上升達高於Psei數 值,以致於該回填室總是維持高於Ρι的數值。當該製程室到達Ρι時,為 了中止該回填,將回填閥(66)關閉也就是說,一旦該製程室壓力上升達 δ玄預熱壓力,回填閥(66)則關閉。在任一技術的部分中,可開啟供給閥 (72) ’同日寸回填閥(66)關閉,再次填滿回填儲存室(68)達一預期壓 力。根據各種因素包括室内體積及流速,該流速在可體換的技術中可產生 ALICE-D:\PATENT\PU-068-0008 15 1257647 :更快速_力上升。無論如何,運用任—技術,如所需在整體的製程規 劃中,在該製程室可產生-快速塵力上升。特別提及在整體的製程 中,在任—時間點上,可運用這些技術中的任—項,當利用回填室(里 職在製雜⑼巾引導-壓力上升。在—實際的實行巾,翻每⑽ 陶爾的流速。由每秒15 _上升達超過15〇 __力 有最佳的效果。 Μ,σ /、 在步驟104巾,一旦該工件溫度接近該載具溫度(但通常些微地 '、於該載具溫度)’快速地降低在該製程室中之壓力達_處理壓力,立+ 要針對該光_刻劑電雜刻步驟。第三圖說明,從時間t】到 ^ 二::持該壓力P1。然而’在t2時,該製程室壓力快速地降低,以便J始 恢復達P〇。針對該電漿暴露步驟的處理壓力p〇,可在_壓力 ° _到ω _。在t3時達到該處理壓力。同樣地,當該碍溫产接/ 流3散=r(32)中實行,電浆氣體流的啟動。接著電聚氣體⑽ L 及流入電榮室(34)。舉例來說· 部份該間隔之間,同時包括特別提及該製矛 /驟的誘因,如所述由於到達任一溫 利用固定的時間週期,以先前系統執行測定基礎或可呈=者的里^。,可 S步驟1G6巾,有職製程室達到該 姓刻及具有引入該電漿氣體供給、_即電源供應哭^ 亥電漿 漿室(34)連結的配入網 ”…一在^,將與電 將賴同時該配合網路開始旋轉到—點,此處 _,’其中=電浆_程開始。第三圖中說明- 10秒《 件溫度略微上升。二=,到t5暴露該電漿的進行時間内,該工 該電漿所產生紅㈣:達2置㈣具(38)崎用,使暴露 在步驟108中,士 a目+ 漿產生通過該i Rt5時中止該電漿侧過程,該氣體用於電 力可為均等,“。Γ續的。該製程室的壓力及1紅件轉換室的壓 室之間的隔離閑p/(44)、f该處理壓力及可打開介於該製程室及該轉換 拉開该升降拴並將該工件抬起在該載具的頂端 ALlCE-D:\PATENT\PU-068-〇〇〇8 1257647 表面之上。 在步驟U〇中,只要開啟兩邊閥(44)並拉開升降拴(40),可將 端作用'(2〇)拉騎人該製雜,啊將該讀轉換哺換室〇2)。 接著步驟搬將另-工件轉移入該處理室並重複如上的步驟。 該氣體環境用以達到一快速工件加熱速率,由一種或多種下述氣 、、且成,紅確敝合以及關紐_預_工件域速率·· ^、取、 如2 rt肋、册、〇2、A、簡3、A0,一低分子量礙氫化合物氣體(例 rF ^ C2H4娜、C3HS、C4Hl。等等),-包含氣體的函化碳(例如 C1CF .ri ^ °3!81QF6 \C"C4P8' CHF3 ^ C2HF2 ^ CH3F ^ C2HF5 ' ™ ^ 3 2CF2特)。可特定喊體環境加速玉件的加熱及域冷卻速 Γ =混合物钮處可稱為“預熱氣體”。此製程規劃的其中一個益 ΐ。在件降低所需的時間’同時藉以增加每小時可產生的工件數 可件的加熱作用’應可體認該預熱氣體的運用 驗撕間間隔’並可使肋增強冷卻間隔,如下所t 用李统(目圖及第五圖與第一A圖相關,-第二模式其中可使 2 ,夫二明。第四圖為—流程圖,說明此模式的各式 步驟,通常以參考數字(200)標示, 力及工件溫度相對時間的圖式。 u T程室壓力、回填室壓 時間的對應,圖式(篇)說明回=62=_室⑼壓力與 圖式(204)說明工件溫度與時間的對庫:對二’以及-Pl下,實質上連續地運作轉換室(12) Γ 式中,在預顯力 間電漿暴露之前,使用於該製程室。提 ,在工件加熱期 全地描述於上例如,舉例來說, ==式的某些觀點以完 合作,以及隔離閥(44) A法重複為了4(=的使用與作用器手臂(2〇) 些構件可’以許多改良的行況運作’ 再者’應該可瞭解這 方式’同時仍能達成該預期移動—工件介於該轉換;:== =的 製程室(32),同時將該工件置於載 從4轉換室(12)移動到該 _(38)上。當該製程室已完全地在 ALICE-D:\PATENTAPU-068-0008 1257647 該預熱壓力下,當其靠近載具㈤時,該工件將經歷一增強的加熱作用, 之後下降在職具上。·,工件溫度上升辦間tG時的㈣時間㈣ τ2。 ^在步驟208中,關於該工件在時間t2時達到該處理溫度τ2,在製 程室(32)中降低壓力’從Pl到處理溫度ρ〇,在此範例中,可在&的時 間之下達成。特纖及該前述麵氣體混合物,如所運用,可同時運用^ 該轉換室及製織巾,為了方便以及尚未贿的理由。在其他狀況下,藉 由擴散器⑼),適當地引人這些氣體,進入該製程室為了完成該工件^ 預熱目的,以便溫度從_ t2,上升的速率,就紐 短此時間問隔。 /'離 在步驟210中’在將電漿氣體引入該製程室以及電聚起燃燒之後, 在P〇下製程室⑼於U時開始電漿暴露。電漿暴露持續達時間( 中止電漿氣體引入。 考 …步驟加完成上升製程室壓力達Ρι,其可運用該預熱氣體混合執 行並將-亥工件從載具(38)舉起。如所述可運用回填配置⑸),執行 -快速壓力上升,於下文中說明。特別提及在製程㈣力圖式(施)中, 在㈣t6的時間内產生P〇到Ρι的堡力上升,在此時間内,在回填室勤 圖式(雇)中,產生下滑。接下來在回填室麼力的此段下滑,伴隨回填 關閉,如圖式(腦)所示在t5之前,匕或更高的預期壓力可 儲存在該回填室中。同樣地可明親使該回填錢力上升高於〜,因此 *亥回填室則時常維持高於Pl的壓力。在此案例中,該回填室麼力圖式 (2〇2b)的主要轉,屬於上升匕丨之上的勤,不但提供—更陡崎而且 =線性·力上升’從P』Pl ’與在圖式(雇)—樣更㈣,更線性下 ’月’從匕到P,。再者’在t6的時間’圖式(施)及(腦)將不會漸 =合併’但將非常靠近像線性斜率函數—般。此外,該回填配置可用以 引¥該預減觀合物或在該製程室可絲與其魏财混合 一預期氣體混合物。 接著根據步驟214,在該預熱麼力下,將該工件從製程室(32)轉 移到轉換室(12)。特顺及由於其暴露於該預遞力之下,將增強該工 ALICE-D:\PATENT\PU-068-0008 18 1257647 件的、卻作用’接著進行電漿暴露,並在回程到達該轉換室。推算該工件 可以此方式冷卻,在離開轉換室(12)之前,至少30附加。C。可增強此 V、p效果4至進—步與该預熱氣體混合物在其—或同時與該轉換及製程 室-起使用。因此,該“預熱,,氣體壓力及混合物各自在冷卻該工件與加 熱工件上互相幫助。應該可明自該王件的冷卻個可提供更高度的彈 性。透過該預熱壓力的挑選,可定製該工件的冷卻速率,如此以一預期的 方法和卩缸件,當其傳送通過以及離職讎室時。再者,冷卻氣體混 纟物的選擇提供—齡針觸冷卻參數的平雜大定製。 田轉換至及该製程室同時在該預熱壓力時,可將另一工件轉入 (216)該製程室,同時重複該前述順序。Considerations can result in a process chamber rise rate of more than 15 _ per second. In fact, consider an average rate of increase of more than 15 teraels per second or more. At the present stage, the first B diagram is graphically described as a modified system implementation, which is generally indicated by the reference number (80). The system (8〇) is similar to the system (10) in Figure A, except that it is not required to be backfilled ((4) and a single input gas diffuser (not shown) is used. Again, a pressure bypass configuration is illustrated. (82), as an option to provide pressure communication between the conversion chamber (1)) and the process chamber (32). The bypass configuration (82) includes a line (10) and a bypass valve (the latter can be opened in any manner to achieve an equalization of the pre-surface force between the process chamber and the conversion chamber, and the bypass configuration can be manufactured according to specifications. All components, in order to enable energy between the two chambers, X to v every 15 pots (four) rate, to achieve rapid pressure equalization. In fact, consider a rate of 2 seconds 15 _ or greater. Therefore, can be implemented by less than 丨Tao _ to (9) Taur's speed transition, such as a low-end execution limit, less than 4 seconds. Of course, for this phase _ force change has been fully confirmed 'expected less than 2 seconds of the transition time. Green (10)) and (10) control 2 easy to correct this towel has a strong skill (four) from listening to the thief face, for example ^ brother, using the computer (90) and appropriate pressure or gas flow control sensor, for some of its offer to implement all the expected procedures here. In Wei (10), another difference is that the MFC (92) of the input (I) is entered, and the input is sent to the conversion chamber (9). This jump is described in =. Special attention is given to the control in the conversion chamber (9), which is controlled in an alternative manner. 2 There are any examples of limitations that can be used to communicate with the conversion chamber using a ------------- ALICE-D:\PATENT\PU-068-0008 13 1257647 The shovel returns to the second and third figures and the first type in which the system (10) is used. The second picture ^^田述-main mode with the reference number (10), and flute one = off to illustrate the various steps of the pull, usually intended to describe all the drawings, including the third picture, say "= = 璺 and / / value 'such a value is never expected to become a limit, and the Fan is ^, two maps, in addition to the other graphics below, the process room can also be: Said. Light bribe can be expressed as "PR". In the third diagram, the conversion formula (101a) illustrates the process in the process chamber (32), and the main diagram illustrates the variation of the coil in the backfill chamber (68), and the second pattern (10)b) shows the temperature of the workpiece. Paste and - the third figure (1〇2) says the chamber (9), even for the workpiece (3 (nt with the same low pressure operation, the conversion can be called::) and the same === Exposure = ❹Π 0 _ shirt called test. Le, ^ force moved to the process chamber (32) and placed in the carrier from the conversion to (12) _ on the two (four) axis _=====^ gate valve 'liter _ ( 44) extends over the top surface of the carrier == extended position). Similarly, regarding the isolation _ presenting the open state, the end effector (20) of the robot arm (18) is inserted into the desired position above the rhyme == lifting raft, the end effector makes the workpiece (the Machine: The cymbal control is coupled to the end effector). After the upper part is placed on the top of the lifting and lowering, the robot arm is pulled away from the process chamber by 2:2=3=:=; : Move the workpiece to - the position of the original position in the processing position field is similar, complete the shift processing position. Continued workpiece -, 1 household = wrong by retracting the lift (40), lowering the workpiece until the vehicle is fully warm to the second picture), time set, before heating. As the heated carrier begins to rise from Tg, the workpiece approaches the I and heats up between 15 (TC and 35 (rc). The rising work ALICE-D:\PATENT\PU-068 -0008 14 1257647 The temperature of the piece can be attributed to the conversion of radiation, gas convection and heat conduction. There is a pressure on the carrier to stay on the carrier, and the pressure in the process chamber (32), so the plasma chamber (34) quickly from ^ Rising, in the third figure - preheating pressure Pl, by the addition of the gas, which makes the range between ^Taor and 250 taels, can be fully used to accelerate the heating rate of the workpiece. A very fast The pressure rises, as shown in the third figure from P〇i, j Pl, in the time interval of _ ti, can be generated by the application of the backfill configuration (54). The job pressure of nearly 6 〇 is sufficient. And at this pressure, most of the benefits come from the improved heating rate, which is clearly understood to be obtained by a higher pressure. That is, it is more time to explicitly raise the pressure above 6Q. After reducing the pressure, the production is reduced. In the process room, by strict: Filling the valve (66), completes such a rapid pressure rise to release the compressed gas stored at a higher pressure from the backfilling chamber (6), paying particular attention to the backfill storage chamber usually very close to the process chamber. Point, under a sufficient pressure, according to the size of the storage chamber (68) to make a volume of sufficient gas, so that the process / plasma chamber combined to achieve the expected pressure. It is worth paying special attention: for all the specific embodiments here, the process The chamber gas volume is minimized to achieve a direct contribution that increases the rate at which the pressure rises. There are at least two executable methods to increase the rapid pressure. The third figure illustrates a backfill equalization technique in which the backfill is raised in advance. Pressure, or set a selected pressure value Pw (see Figure 101b, t〇). This pressure value is the basis for setting, part of the volume in the backfill chamber and the process chamber, so that the two chambers are balanced The hot pressure A, then the backfill valve (66) is turned on at t〇. That is, the pattern 101a and the Chuanji meet at time ^. The backfill valve (66) is closed and then equalized, and the back is made from t3 to t5. The chamber pressure rises to Psel. When Psd is shown as 65 taels for the convenience of illustration, it can be appreciated that this value can be determined in a number of ways, as will be explained below, and the use of this value is not intended to be limiting. As an alternative technique, It is not shown in the third figure, but it is easily understood from the first A diagram that the backfill pressure can be clearly raised above the Psei value, so that the backfill chamber always maintains a value higher than Ρι. When the process chamber reaches Ρι, in order to stop the backfill, the backfill valve (66) is closed, that is, once the process chamber pressure rises to δ 预 preheating pressure, the backfill valve (66) is closed. In any part of the technology , can open the supply valve (72) 'The same day inch backfill valve (66) is closed, and fill the backfill storage chamber (68) again to reach a desired pressure. According to various factors including indoor volume and flow rate, the flow rate can be generated in a replaceable technology. ALICE-D: \PATENT\PU-068-0008 15 1257647: Faster _ force rise. In any case, the use of any technology, such as that required in the overall process planning, can result in a rapid dust rise in the process chamber. It is specifically mentioned that in the overall process, at any time-time point, any of these techniques can be used, when using the backfilling room (the internal position is in the production of miscellaneous (9) towel guidance - the pressure rises. In the actual implementation towel The flow rate per (10) Taur. The best effect is achieved by 15 _ per second up to 15 〇 __ force. Μ, σ /, in step 104, once the workpiece temperature approaches the carrier temperature (but usually slightly 'At the temperature of the vehicle', the pressure in the process chamber is rapidly reduced to _treatment pressure, and the enthalpy is required for the photo-engraving step. The third figure illustrates that from time t] to ^2 :: Hold this pressure P1. However, at t2, the process chamber pressure is rapidly reduced so that J starts to recover to P〇. The treatment pressure p〇 for the plasma exposure step can be at _pressure ° _ to ω _ The treatment pressure is reached at t3. Similarly, when the temperature-conducting/flow 3 = r (32) is carried out, the plasma gas flow is started. Then, the electropolymer gas (10) L and the inflow electric chamber (34) For example, between some of the intervals, including the incentive to specifically mention the spear/sud, as described Arriving at any temperature for a fixed period of time, using the previous system to perform the basis of the measurement or the number of the person who can be present, can be step S1G6, the working process room reaches the surname and has the introduction of the plasma gas supply, ie Power supply crying ^ Haidian plasma chamber (34) connected to the distribution network" ... one in ^, will be with the electricity will be at the same time the network starts to rotate to - point, here _, 'where = plasma _ Cheng Start. The third figure shows - 10 seconds "the temperature of the piece rises slightly. Second =, to the time of exposure of the plasma to t5, the work produces red (four): 2 sets (four) with (38) Exposing in step 108, the flow of the slurry is passed through the i Rt5 to suspend the plasma side process, the gas used for the electric power can be equal, ". Sustained. The process chamber pressure and 1 red piece The isolation between the pressure chambers of the conversion chamber is p/(44), f the treatment pressure and can be opened between the process chamber and the conversion pulls the lift and lifts the workpiece at the top end of the carrier ALlCE- D:\PATENT\PU-068-〇〇〇8 1257647 Above the surface. In step U〇, just open the two-sided valve (44) and pull it up and down (40), the end effect can '(2〇) pulling person riding the hybrid system, the read transducer ah feeding chamber 〇2 transducer). The next step is to transfer the other workpiece into the processing chamber and repeat the above steps. The gas environment is used to achieve a rapid workpiece heating rate, by one or more of the following gases, and into, red and 以及 关 关 _ _ _ workpiece domain rate · · ^, take, such as 2 rt rib, book, 〇2, A, Jane 3, A0, a low molecular weight hydrogen barrier compound gas (eg rF ^ C2H4 Na, C3HS, C4Hl, etc.), - a gas containing gas (eg C1CF .ri ^ °3!81QF6 \ C"C4P8' CHF3 ^ C2HF2 ^ CH3F ^ C2HF5 ' TM ^ 3 2CF2 special). The specific shouting environment can accelerate the heating of the jade pieces and the cooling rate of the field Γ = the mixture button can be called "preheating gas". One of the benefits of this process planning. At the same time as the time required for the part to decrease, the heating effect of the number of workpieces that can be generated per hour can be recognized as the interval between the use of the preheating gas and the ribs can be enhanced to cool the interval, as follows. With Li Tong (the picture and the fifth picture are related to the first picture A, the second mode can make 2, the husband and the second. The fourth picture is the flow chart, indicating the various steps of this mode, usually with reference numbers (200) Label, force and workpiece temperature versus time pattern. u T chamber pressure, backfill chamber pressure time correspondence, diagram (part) description back = 62 = _ chamber (9) pressure and diagram (204) description of the workpiece Temperature vs. time library: For the two- and -Pl, the switching chamber (12) is operated continuously in a substantially continuous manner, and is used in the process chamber before the plasma is exposed between the pre-emphasis. The whole period is described above, for example, some views of the == formula to complete cooperation, and the isolation valve (44) A method is repeated for 4 (= use of the actuator arm (2 〇) some components can be ' Operating in a number of improved ways, 'again' should be able to understand this approach' while still Achieving the expected movement—the workpiece is in the process chamber (32) of the conversion;:===, while moving the workpiece to the load from the 4 conversion chamber (12) to the _(38). When the process chamber has Completely at ALICE-D:\PATENTAPU-068-0008 1257647 under the preheating pressure, when it is close to the carrier (5), the workpiece will undergo an enhanced heating action and then descend on the tool. (4) Time (4) τ2 at tG. ^ In step 208, the workpiece is reached at the processing temperature τ2 at time t2, and the pressure 'from P1 to the processing temperature ρ 降低 is reduced in the process chamber (32), in this example , can be achieved under the time of & the special fiber and the aforementioned surface gas mixture, if used, can simultaneously use the conversion chamber and the woven towel for convenience and no bribery. In other cases, by The diffuser (9)), which properly introduces these gases, enters the process chamber in order to complete the preheating of the workpiece, so that the temperature rises from _t2, and the rate is increased by this time. / 'From step 210' Introducing plasma gas into the process chamber and electroforming After the firing, the plasma processing chamber (9) starts to expose the plasma at U. The plasma exposure lasts for a long time (the plasma gas is introduced. The test step is completed and the pressure of the rising process chamber is up to ι, which can use the preheated gas. Hybrid execution and lifting the workpiece from the carrier (38). As described, the backfill configuration (5) can be applied, and the - rapid pressure rise is described below, especially in the process (four) force diagram (application). During the period of (4) t6, the P堡 to Ρι's fortune rises. During this time, in the backfill room pattern (employment), there is a decline. Then in the backfill room, the force falls, with the backfill closed. As shown in the figure (brain), before t5, the expected pressure of helium or higher can be stored in the backfill chamber. Similarly, it is clear that the backfilling power rises above ~, so the *Hai backfill room often maintains a pressure higher than Pl. In this case, the main rotation of the backfilling room (2〇2b) belongs to the above-mentioned diligence, not only provides - more steep and = linear · force rise 'from P 'Pl ' and in the figure (employed) - more (four), more linear under the 'month' from 匕 to P,. Furthermore, the 'time at t6' pattern and (brain) will not gradually merge = but will be very close to a linear slope function. In addition, the backfilling configuration can be used to draw the pre-reduced compound or to mix an expected gas mixture with the Wei Cai in the process chamber. Next, in accordance with step 214, the workpiece is transferred from the process chamber (32) to the conversion chamber (12) under the preheating force. Teshun and due to its exposure to the pre-delivery force, will enhance the work of ALICE-D:\PATENT\PU-068-0008 18 1257647, but the effect of 'subsequent plasma exposure, and the conversion is reached on the return journey room. It is estimated that the workpiece can be cooled in this manner, at least 30 additional before leaving the conversion chamber (12). C. This V, p effect 4 can be enhanced to be used with the preheated gas mixture at or with the conversion and process chamber. Therefore, the "preheating, gas pressure and mixture each help each other in cooling the workpiece and heating the workpiece. It should be clear that the cooling of the king piece can provide a higher degree of elasticity. Through the selection of the preheating pressure, Customizing the cooling rate of the workpiece, such as in a desired method and cylinder, as it passes through and exits the chamber. Furthermore, the choice of cooling gas mixture provides a level of cooling Customizing. When the field is switched to and the process chamber is simultaneously at the preheating pressure, another workpiece can be transferred (216) to the process chamber while repeating the foregoing sequence.

升降拴(40)與作用器手臂⑼)—起的使用,且針對短暫的 /關於第五圖及第六圖’目前說明-第三模式,可使用第一B圓的 纟統⑽。在該第三模式中’壓縮轉換室(12),與製程室⑼隔離, 讀制-設定壓力值Psel ’也就是說在紐運作中在某些點上高於預献 壓力Pl。提供MFC (92)用以將轉換室㈣升高達該設定壓力值。特別' 提及該第三模式義力賴,_謂,本壯與該第二模式相等, f此’呈現第五圖中圖式(202a)及(202b)的情況。也就是說,該製程 至遷力由該圖式(施)所呈現’而該轉換室_式(腦)所呈現,將 更進-步的·。第六圖·齡式步驟,麟成該第三模式,通常由來 籲考數字()標示。再次注意該模式的某些觀點,完全地描述於上例如, 圖的回填室(68)可運用此方式,如上所述,關方 測定同樣適用回填室設定壓力的測定,當運用前知 設定壓力,測定合適數值,至少為一有利….·· 大於使用在電漿處理的壓力(換句話說, 再次提及第-B圖,此第三模式的精神是在該轉換室使用該設定 壓力,就某種意義來說回填製程室(32),以便使該製程室及該轉換室均 專,至少接近該預賴力。亦即’該製程室勤從處理麼力&上升達預熱 廢力IV而轉換室⑼M力從設定勤下滑達預_力&。因為第一八 所述’關於該轉換室設定壓力值的 當運用前述回填技術時。可針對該The use of the lift raft (40) together with the actuator arm (9), and for the short/about the fifth and sixth figures' present description - the third mode, the first B-circle system (10) can be used. In the third mode, the compression conversion chamber (12) is isolated from the process chamber (9), and the read-set pressure value Psel' is, in some cases, higher than the pre-suppression pressure P1 at some point. An MFC (92) is provided to raise the switching chamber (4) to the set pressure value. Specifically, the third mode is used to refer to the case where the second mode is equal to the second mode, and f represents the patterns (202a) and (202b) in the fifth figure. That is to say, the process to the relocation force is presented by the schema, and the conversion chamber _ (brain) is presented, which will be further advanced. The sixth figure, the age-based step, is the third mode, usually marked by the number (). Again, paying attention to certain aspects of the model, which are fully described above, for example, the backfilling chamber (68) of the figure can be used in this manner. As described above, the measurement of the backing chamber is also applicable to the determination of the set pressure of the backfilling chamber. , determining the appropriate value, at least one advantage ..... is greater than the pressure used in the plasma treatment (in other words, referring again to the -B diagram, the spirit of this third mode is to use the set pressure in the conversion chamber, In a sense, the process chamber (32) is backfilled so that the process chamber and the conversion chamber are both specialized, at least close to the pre-requisite force. That is, the process chamber is handled from the force & Force IV and the conversion chamber (9) M force slips from the set duty to the pre-force & because the first eight described 'the pressure value is set for the conversion chamber when the aforementioned backfilling technique is applied.

ALICE-D: \PATENT\PU-068-0008 19 I257647 想氣體根據波以耳定律所示. ⑴ Pselvtc;piVt〇t 其中Psel峡為該設定壓力值而Ρι為該_壓力 室及物糊糊積:恤故 =室至 舉例來說,在1陶爾處,力]任2貢獻’為了簡化的目的可忽略, 、— 下遢小於该設定值。當然可輕易地測定誃讲定信 及/或藉由^領域一個具有原始技能的人實驗而得。 …又ALICE-D: \PATENT\PU-068-0008 19 I257647 The gas is according to the law of the wave of the ear. (1) Pselvtc; piVt〇t where Psel is the set pressure value and Ρι is the pressure chamber and the paste: For example, in the case of a room, at 1 Torr, the force] can be ignored for the purpose of simplification, and the squat is less than the set value. Of course, it is easy to determine the slogan and/or experiment with a person with the original skills in the field. …also

7例的數值,該轉換室可維持在25到250陶爾的壓力,並中65 陶爾為一最佳設領力。猶製程室維持在該處賴力之下,需要ϋ 陶=到10陶爾範圍的預期電漿處理過程,其中i陶爾為一典型壓力。在 2別壓力的差異為,舉例來說,在該製程室巾升高該壓力,在該快速加 60 , ^ 為該製程㈣10倍以上,可將轉換㈣壓力設定為& _,如此當介於 /轉換至及4衣私室之間的隔離/閘閥開啟,在兩室之間的壓力皆同樣在 >陶爾田然’在兩室之間分別氣體環境的差異比例以及在該製程室中針 U夬速件加熱循環,需要以不同的設定壓力,調節該轉換室。該轉換 3氣體環境的較高設定壓力及更大體積使麟“快速地,,升高在該製 至壓力it麵期的雜觀,作為紅件驗速加熱。當減處討論同 樣地適合關於第-圖中,回填室(68)的使用,於下段中綱該 的技術。 、 :關於第—B圖及第五圖,與多數進行製程的工件一致,將如所見, /、最初將在步驟3G4中推測轉換室(12)以及製程室(32)相等於預熱壓 力P!且在·程室中—讀位於該處雖置。因此可同雜隔離闕(⑷ 及旁路閥(86)關閉並加熱工件(3〇)在時間t〇下几的溫度預熱,在時間 t2下達T2的溫度。 月II進步驟306,一旦該閥關閉,處理室(12)回復成設定壓力Psd。 再次注意轉換室壓力的圖式與第五圖的回填室圖式(2〇2b)的外觀相似。 w為這些圖式將完全相同,至少由一實際觀點來看,假使該回填室體積以 及轉換室體積與流速近乎吻合,雖然此非必要條件且許多修飾可由此領域 20 ALICE-D:\PATENT\PU-068-0008 1257647 中4知原始技月b的人製造而成,馨於此整體揭示。然而,為了簡潔的目的, 將假定第五®巾關式(2G2b)在整段時㈣呈現該轉換室壓力的變化。 因此,在to後不久開始且運用MFC (92)使轉換室廢力上升達h。應該 ,認在任何適⑽速率下,可執行此魏Μ縮,且在任何合適時間開始, 只要該轉換室在接下來紐該製程室㈣處賴力上升辆預熱壓力之 前,達到該設定值。 ^ 有關時限内該工件達到該處理溫度,第五圖中圖式(202a)說明製In 7 cases, the conversion chamber can be maintained at a pressure of 25 to 250 Torr, and 65 Torr is the best setting. The Jewish process room is maintained under the pressure of the place, and the expected plasma treatment process is required from 陶 陶 = to 10 Torr, where i taur is a typical pressure. The difference between the two pressures is, for example, the pressure is raised in the process chamber, and the speed is increased by 60, ^ is more than 10 times the process (four), and the conversion (four) pressure can be set to & _, so Between/converted to the isolation/gate valve between the four rooms, the pressure between the two chambers is also the same as in the [Taor Tianran's difference in the gas environment between the two chambers and in the process chamber In the middle needle U idler heating cycle, the conversion chamber needs to be adjusted with different set pressures. The higher set pressure and larger volume of the converted 3 gas environment allows Lin to "rapidly, raise the complexity of the process to the pressure it, as the red piece is tested for heating. When the reduction discussion is equally suitable for In the first figure, the use of the backfill chamber (68) is described in the following paragraph. ——: Regarding the first-B and fifth maps, consistent with most of the workpieces that are processed, as will be seen, /, will initially In step 3G4, it is presumed that the conversion chamber (12) and the process chamber (32) are equal to the preheating pressure P! and in the chamber, the reading is located there. Therefore, the same can be used to isolate the crucible ((4) and the bypass valve (86). The workpiece is turned off and heated (3 〇) to preheat at a temperature of time t ,, and the temperature of T 2 is reached at time t2. In step 306, once the valve is closed, the processing chamber (12) returns to the set pressure Psd. Again, note that the pattern of the chamber pressure is similar to the pattern of the backfill chamber pattern (2〇2b) in Figure 5. w is that these patterns will be identical, at least from a practical point of view, if the backfill chamber volume and conversion Chamber volume and flow rate are nearly identical, although this non-essential condition and many modifications can be This field 20 ALICE-D:\PATENT\PU-068-0008 1257647 is made by a person who knows the original skill month b, which is disclosed here. However, for the sake of brevity, the fifth® towel will be assumed. (2G2b) shows the change of the pressure in the conversion chamber during the whole period (4). Therefore, the MFC (92) is used to start the conversion chamber waste force up to h shortly after to. It should be implemented at any suitable (10) rate. The Wei shrinks and starts at any suitable time, as long as the conversion chamber reaches the set value before the next rise in the preheating pressure at the processing chamber (4). ^ The workpiece reaches the processing temperature within the relevant time limit, Figure 5 (202a) illustrates the system

程錢力(步驟駕)從時間t2的Ρι到時間t3的&降低。藉由排出作用達 成此壓力的下降,在反應真空㈣侧,其沒有在此圖中說 明,但可由第一 A圖中的廢氣排出口(46)表示。 “ 有關時限内‘程至(32)達到p〇,如下所述,在步驟可以一 電水氣體的引人及彳里擊该電漿,啟動光阻抛彳劑之卸除。此卸除間隔運轉 直到第五圖中的時間t5〇 在步驟312中,關於限時内該PR★除間隔的終止,由電漿氣體2 ,,、、、止達成’製程室(32〉從轉換室(⑴回填,以便在製程室壓力圈 ^ 202=)中,產生從處理壓力到該預熱壓力的快速上升。此壓力上升名 ^ 1 5獨始之後在時間⑽時候達到該預熱壓力。產生在製程室壓力廣 式(2〇2a)巾的壓力上升,響應在轉換室壓力圖式(202b)中從Ps』p 2降知別地出現從心到一。應該體認為了達到此壓力增加的速率, 二真/獨’系統(8G)必_應—相對大,但短暫的氣體流動期間。 总綠r回中的方路配置⑻)明峰地為了此目而配置。也就是說,出口、 二。Γ)及旁關(86)全部依照尺寸製造,共同地針對此流動的速率 二使用—大直徑的導管,當蜂賴導管長度包括其間任何閥,將 7 #限制’導官可阻止氣體流動。認為在此領域中-個具有原始 置^^ Ϊ此處揭示的輸出及以輸送進行觀點來看,能夠實行該旁路配 該製特枝值將依照該轉換室及製程室的相對體積而定。在Cheng Qianli (step drive) is reduced from Ρι at time t2 to & at time t3. This pressure drop is achieved by the discharge action, which is not illustrated in this figure on the reaction vacuum (four) side, but can be represented by the exhaust gas discharge port (46) in the first A diagram. "In the relevant time limit, the process reaches (32) reaches p〇. As described below, in the step, the plasma can be hit by an electric water gas and the slurry is activated to start the removal of the photoresist throwing agent. The operation until the time t5 in the fifth figure 〇 in step 312, with respect to the termination of the PR ★ division interval within the time limit, the plasma gas 2,,,,,,, and the process chamber (32> from the conversion chamber ((1) backfill) In order to generate a rapid rise from the process pressure to the preheating pressure in the process chamber pressure ring ^ 202 =). This pressure rise name reaches the preheating pressure at time (10) after the start of the process. The pressure of the pressure-type (2〇2a) towel rises, and the response from the heart to the one in the transition chamber pressure pattern (202b) from Ps』p 2 falls. It should be considered that the rate of increase of this pressure is reached. The two true/independent system (8G) must be relatively large, but during a short period of gas flow. The configuration of the square road in the total green r return (8)) Mingfeng ground is configured for this purpose. That is, the exit, two. Γ) and Bypass (86) are all manufactured according to size, collectively for the rate of this flow two Use - Large diameter catheters, when the length of the bee ray catheter includes any valves in between, the 7 #limit 'guides can block the flow of gas. It is believed that in this field - the original output is disclosed here and transmitted From a point of view, the ability to implement the bypass configuration will depend on the relative volume of the conversion chamber and the process chamber.

圖的該醇室配置2=認為可達成,相當於或大於雜該第— A 意該旁路配置屮因為可使驗高的1導傳送及構件。特別注 _ 口立置與真空幫浦出口位置,以該各種圖樣顯示,自然地 ALICE-D:\PATENT\PU-〇68-〇〇〇8 21 1257647 以圖表呈現,但不預期成為限制。再者,該旁路配置可 也就是說,可裝配隔離闕(44)順應該預期流動速 另。The alcohol chamber configuration 2 of the figure is considered to be achievable, which is equivalent to or greater than the bypass configuration, because the high-conductivity 1-conductor transfer and components can be achieved. Special Note _ The position of the mouth and vacuum pump outlets, shown in the various patterns, naturally ALICE-D:\PATENT\PU-〇68-〇〇〇8 21 1257647 is shown in the chart, but is not expected to be a limitation. Furthermore, the bypass configuration can mean that the isolation diaphragm (44) can be assembled in response to the expected flow rate.

可結合;旁路峨酬制,提倾回獅的分佈特m 在較大流速的結果,在此回填步驟朗 A 考量,如同任何其他高速流動步驟m㈣ 可以此方式裝配。 直仫及/或疋位 在步驟3U中將該處理工件從製程室(32)移除,同實在製程室 中(32)將另一工件從該轉換室移動到該處理位置。 關於第-A圖及第-B圖,已經描述許多模式 代表的系統(〗〇)及⑽),將進—步描述關於升叫 =可藉由該輸的上升、下降或結合完成該預熱=二 c括’舉例纽’(_始升雜树,之後升雜下降的 二 製程步驟,升降拾上升接著.下降,之後.上相=‘= 隨後製程步驟’升降拾上升之後升降卿降的結☆ 升_使用。該特定選擇可藉_期製程結 果。應該可以體彻力峨化_,綱降拾上升(战7工;) 件在缸件支縣構上的移動(位移其位置)。當然,該升降 ^上/下組合可同時顧位於—製程室中—單獨工件,設計產生—與= 件,或針對-to室設計,以對應及/或連續組合,處理兩個❹個工件。 留意目前針對第七圖,其說明—系統根據本發明所製造,且一般 錐重二二2Γ)所表示。系統(400)與前述系統相似,除了其運用 ί程Γ而—‘v附加於該項參雜字後,絲與該第二 ΓΐιΓ 平台…位於—共享製程室⑶,)的範圍内,如 I = 該相_力環境。因此,如上所述,認為關於系統⑽) 货強李統ΓΓί地應用於系統(獅),除了該工件可成對處理之外,以便 曰 產置。亦說明旁路閥(82)的配置,雖然不需要同時提供該回 ALICE-D:\PATENT\PU-068-0008 22 1257647 填及旁路配置。Can be combined; bypass compensation system, the result of the distribution of the lion back to the maximum flow rate, in this backfilling step A, as any other high-speed flow step m (four) can be assembled in this way. Straight and/or Clamping The processed workpiece is removed from the process chamber (32) in step 3U, and another workpiece is moved from the transfer chamber to the processing position in the actual process chamber (32). With regard to pictures -A and -B, the systems represented by many modes ("〇") and (10)) have been described, and the description of the ascending call = can be accomplished by the rise, fall or combination of the output. = 2 c including 'example New' (_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _结 ☆ 升 _ use. This particular choice can be borrowed from the _ period process results. It should be able to physically improve _, the outline of the rise and rise (the battle 7 workers;) the movement of the piece in the cylinder branch county (displacement position) Of course, the lift/up/down combination can be located at the same time in the process chamber - separate workpieces, designed to produce - and = parts, or for the -to chamber design, to correspond to and / or continuously combine to process two workpieces Note that for the seventh figure, the description is given—the system is manufactured in accordance with the present invention, and the general cone weight is 22-2). The system (400) is similar to the foregoing system except that it is used in the range of the 参 Γ ' ' ' 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加 附加= The phase _ force environment. Therefore, as described above, it is considered that the system (10) is applied to the system (lion), except that the workpiece can be handled in pairs in order to be produced. The configuration of the bypass valve (82) is also described, although it is not necessary to provide the back ALICE-D:\PATENT\PU-068-0008 22 1257647 and the bypass configuration.

本發明以詳細地於上文中說明,應該可體認在一系列工件的製程 期間,在該製程室從不需要上升該製程室壓力,超過用以預熱之該壓力。 認為如此需求將視為減低系統生產量。因此,完全地避免在該製程室中, 使用任何更雨的屢力(面於該預熱壓力)。再者,通常可非常迅速完成變 化該製程室壓力,使其介於該預熱及處理壓力之間,不論該壓力是否為上 升或下降。尤其,一回填方法的使用,從任一回填儲存配置及/或從該轉換 室利用回填是非常有利於該轉換室壓力從該處理壓力到該預熱壓力的提 昇。在該預熱壓力下一起進行加熱作用,可預期提高20% -50%的系統生 產畺,取決於處理時間。再者,可使用任何預期組合,從一回填儲存室及 從該轉換室進行回填工程,以任一連續或對應方式,使用該分別的回填源。 然而前述’932專利描述一 AVA系統,預期使資金的耗損降到最 低,認為本發明提供許多優點,超越一 AVA系統的使用。舉例來說,藉由 減=、恢復到大氣壓力、或部分過高負載/未負載壓力的需求,來增強系統生 ,里’接著處理各個工件。本發明在該壓力下轉移至該製程室或從該製程 至中移出,其在該工件預熱壓力之下或低於該壓力,藉以減少若干壓力變 化其由5亥932專利的方法所指示。再者,於上文所述,當該工件回到該 轉換室時,本巾請書提供冷卻侧的定製。 ° 提出該前先技術認知上的缺失,在此得到許多啟發,當從該處理 轉移到。亥預熱壓力時,藉此可永遠地,以非常快速的方式升上製程室 =再者’關於整體過程規綱提供的壓力變化,本發明提供一簡潔及 中恭興》匕括回填作用的運用,就某種意義來說,無法從該先前技術 可明白至少認同該下列說法,能夠由該先前描述變成可能。 理,該統中,利用一處理過程作為至少一個工也 壓力以轉換室以及,室,如此在該轉換室中的轉換: 室及二 中的製程室壓力可各自變化,並可將工件移到卿 漿處特物進一步包括一製程氣體調節配置,至少在-, 乂一特疋流速,用以提供製程氣體達該製程室,其能夠以-DETAILED DESCRIPTION OF THE INVENTION The present invention has been described in detail above and it should be appreciated that during the processing of a series of workpieces, it is never necessary to raise the process chamber pressure beyond the pressure for preheating. It is believed that such demand will be seen as reducing system throughput. Therefore, it is completely avoided to use any rainier force (in the preheating pressure) in the process chamber. Again, the process chamber pressure can typically be varied very quickly between the preheat and process pressures, whether or not the pressure is rising or falling. In particular, the use of a backfilling method, from any backfill storage configuration and/or backfilling from the conversion chamber, is highly advantageous in that the switching chamber pressure is increased from the processing pressure to the preheating pressure. Heating together at this preheating pressure can be expected to increase the system production enthalpy by 20% - 50%, depending on the processing time. Further, the backfilling process can be performed from a backfill storage chamber and from the conversion chamber using any desired combination, using the respective backfill sources in either continuous or corresponding manner. While the '932 patent described above describes an AVA system that is expected to minimize capital losses, the present invention is believed to provide a number of advantages over the use of an AVA system. For example, by reducing =, restoring to atmospheric pressure, or partially over-loaded/unloaded pressure, the system is enhanced to process each workpiece. The invention is transferred to or from the process chamber at the pressure which is below or below the preheating pressure of the workpiece to reduce a number of pressure variations as indicated by the method of the 5 932 patent. Further, as described above, when the workpiece is returned to the conversion chamber, the towel provides customization of the cooling side. ° Proposed the lack of prior art cognition, which has gained a lot of inspiration here, when moving from this process. When preheating the pressure, it is possible to lift the process chamber in a very fast manner forever. In addition, the pressure change provided by the overall process specification, the present invention provides a concise and memorable contribution, including backfilling. In the sense that it is not possible to recognize at least the following statements from the prior art, it is possible to be made possible by the prior description. In the system, a process is used as at least one work pressure to convert the chamber and the chamber, so that the conversion in the conversion chamber: the process chamber pressures in the chamber and the second can be changed individually, and the workpiece can be moved to The slurry unit further includes a process gas conditioning configuration, at least at -, a special flow rate for providing process gas to the process chamber, which can be -

ALICE-D:\PATENT\PU-06J 23 1257647 最大的流速提供該製程氣體,―方法包括: a) 平衡該轉換室壓力及該製程室壓力達_處理ALICE-D:\PATENT\PU-06J 23 1257647 The maximum flow rate provides the process gas, “methods include: a) balancing the chamber pressure and the process chamber pressure _ processing

件加諸於一電漿處理過程; 在该處將該J b) 在該處理麗力下,從該轉換室將該工件轉移 e)預熱該工件達—處理溫度,同時在—勤上 ^至; 程至壓力達-韻壓力,結果在無提升轉 上升姆 以-輸入流速,由-額外製程室氣體輸入流動,使進=:至均 入速率大於該最场速; 室的整體轉 d)降低销程麵力達該處S壓力;啊 過程。e)至少接賴處理勤及贿類度下,絲該叫_„處理 2·如申請專利範圍第丨項 古 15陶爾。 八中5亥壓力上升速率每秒至少達 3.如申請專利範圍第1 層,且彻其中該電漿處理過程,以該預:叙件支撐-光阻飯刻 阻糊層。 "I、伽及暴露作料同移除該光 4·如申請專利範圍第3 處理過程產生一電漿,針對從該基質移除兮光度下,其中該電漿 5·如申請專利範圍第1糾阻侧層所定製。 包括加熱該載具用以預熱該工件。、、,,其中該工件由-载具支撐,並 6·如申請專利範圍 達一至少固定溫度。 、、法,其中加熱作用包括加熱該載具 7·如申明專利範圍第 陶爾的範圍。 、法,其中該處理壓力介於0·01到10 8·如申請專利範圍 9·如申請專·圍第丨項的方法處力為1陶爾。 250陶爾的範圍之間。 “中其中預熱壓力介於25到 10. 如申請專利範圍心 11. 如申請專·^ Α其中该預熱壓力至少60陶爾。 圍弟1項的方法,其中預熱作用包括引導-預熱 ALICE-D: \PATEN7APU-068-0008 1257647 氣體混合物進入該製程室, 12·如申請專利範二=件的溫度上升速率。 部分該預熱氣體混合物。 、的方法’其中包括利用氦氣作為至少 流動,用以選擇產錢體 讀至巾舶造成_外製程室輸入 起包括,利職_填儲存時麵該工件與增加程室勤-室達該預缝力。、 1賴程絲雜人流動,回填該製程 14.如申請專利範圍第13 處理過⑽部分,運職T«,作為該電聚 程氣體進入該製程室。 ^、_擴放态,用以進一步引導該製 包括-回填儲ϋ&ζ13項的方法,其中裝配_填儲存配置, 話說在大於-目桿勤將:填氣體儲存在該回填儲存室中,換句 望力的勤下,將回填該製程室。 預鍾力,使祕加16彻^ ’其中該目標壓力選擇作為該 處理溫度,作為下一步驟之使用㈣,亚在處理該工件期間加熱該工件達一 队如申請專利範圍第13項 回填塵力與該製程室在—處理壓力下,上升達—選存室中之 該選定值且其處理壓力亦小於升疋值,換句話說遠小於 ;義,填作連通該製二:=:::= 為下-步驟使麟獅工祕蝴。# ^請遞力,作 19·如申請專利範圍帛18項的方法,其中該製 在該回填期間提供至少不含製程氣體。 周即配置’ 2〇.如申請專利範圍第13項的方法,其中在該製程室中該壓力的 ALICE-D:\PATENT\PU-068-0008 25 1257647 28.如申請專利範圍第24項的裝 25到250陶爾。 Μ '、中_熱壓力的範圍介於 工件。29.如申請專利範圍第24項的裝置,裝配用以同時地處理一對的 加工-=工如件申請專利範圍第24項的裝置,包括根據步驟⑷到⑷ 31•如申請專利範圍第24項的裝置,其中該第— =配置.選____壓力,使糊__作用ί :外製程室氣體輸入流動時,該製程室壓力由該處理壓二 彼32·如申請專利範圍第31項的裝置,其中該回填 擴散器,用以引導該額外製程室氣體輸入流動進入該製程室。 33.如申請專利範圍第32項的裝置,其中該製程室包括一電喂產 生為’用祕生錢,作為部分該處理過程 該氣體擴散L該製減體流人該製程室中。 U域體及 口埴圍第31項的裝置’其中該回填儲存配置包括- Γ回填壓力下用以儲存-回填氣體,換句話說當-回填L 大於該預熱壓力時,其回填該製程室。 、 35·如申請專利範圍第34項的裝置, :填儲存室中導致該回填壓力與在-處理壓力下的製程室 力時亥選定值且其處理壓力同樣小於該預熱壓 置該,存時回 辆爾力,输==== 氣體調節^申====繼,其中在該回填躺,該製程 有至少個系統中’用於處理至少一個工件,該系統具 、 衣私至,如此在該轉換室令的轉換室塵力以及在該 ALICE-D:\PATENTVPU-068-0008 1257647 3室力可各自控制,並可將工件移到該轉換室及該製程室 以一特定、包括—製程氣體調節配置,至少在-電漿處理過程中 提供該‘氣體===氣體達該製程室,並其能夠以—最大的流速 製程室少程錢力’賴力地舰轉鶴_轉換室及該 熱該工件該製程室中將該工件暴露在—預顯力下,用以加 至小 /皿度,如此在該製程室中將該工件加諸於-處理過程,The piece is applied to a plasma processing process; where the J b) is transferred from the conversion chamber under the process of Lili, e) preheating the workpiece to a treatment temperature, and at the same time To; pressure to rhyme pressure, the result is no increase in the rise and the input flow rate, by the - additional process chamber gas input flow, so that the input =: to the average rate is greater than the maximum field speed; ) Reduce the surface force of the pin to the S pressure; ah process. e) At least rely on the handling of diligence and bribery, the silk should be called _ „2. If the patent application scope is the first item of the ancient 15 taur. The pressure rise rate of Bazhong 5 hai at least 3 per second. The first layer, and the plasma processing process, with the pre-reported support - photoresist repellent paste layer. "I, gamma exposure and removal of the light 4 · as claimed in the third The process produces a plasma for the removal of the luminosity from the substrate, wherein the plasma 5 is customized as in the first correction-correcting side layer of the patent application. The heating of the carrier is used to preheat the workpiece. , wherein the workpiece is supported by a carrier, and 6) as claimed in the patent range up to at least a fixed temperature. , , wherein the heating comprises heating the carrier 7 · as claimed in the scope of the patent range of Taur. , wherein the processing pressure is between 0·01 and 10 8 · If the patent application scope is 9 · If the method of applying for the special 围 丨 item is 1 Torr. Between 250 Torr. The pressure is between 25 and 10. For example, if you apply for a patent, you can use the pre-heating pressure. At least 60 Hightower. The method of the second brother, wherein the preheating action includes guiding-preheating ALICE-D: \PATEN7APU-068-0008 1257647 gas mixture enters the process chamber, 12 as in the patent application 2 = temperature rise rate of the piece. Part of the preheated gas mixture. The method 'includes the use of helium as the at least flow, to select the production body to read to the towel. _ The external process room input includes, the profit _ fill the surface of the workpiece and the increase of the room room - room up to Pre-sewn force. , 1 Lai Wan wire miscellaneous flow, backfill the process 14. If the application of the scope of the 13th treatment (10) part, the transport T«, as the electrical process gas into the process room. ^, _ expansion state, to further guide the system including - backfilling storage & ζ 13 items, wherein the assembly _ filling configuration, that is, in the greater than - 目 勤: fill gas in the backfill storage room, In exchange for the diligence of the sentence, the process room will be backfilled. Pre-clock force, so that the secret plus 16 '^ where the target pressure is selected as the processing temperature, as the next step of use (four), sub-heating the workpiece during the processing of the workpiece to a team as claimed in the 13th article backfilling The force and the process chamber rise to the selected value in the storage chamber under the processing pressure, and the processing pressure is also less than the rising value, in other words, much less than; meaning, fill in the connection system: =:: := For the next-steps to make the lions secret. # ^ Please force, for example, the method of claim 18, wherein the system provides at least no process gas during the backfill. The configuration is as follows: [2] The method of claim 13, wherein the pressure in the process chamber is ALICE-D: \PATENT\PU-068-0008 25 1257647 28. As claimed in claim 24 Load 25 to 250 taels. Μ ', medium _ thermal pressure range is between the workpiece. 29. The apparatus of claim 24, wherein the apparatus for assembling a pair of processing--working items as claimed in claim 24 includes, according to the steps (4) to (4) 31. The device of the item, wherein the first -= configuration. selects the ____ pressure to make the paste __action ί: when the external process chamber gas input flows, the process chamber pressure is controlled by the treatment. 32. The apparatus of the item, wherein the backfill diffuser is configured to direct the additional process chamber gas input into the process chamber. 33. The apparatus of claim 32, wherein the process chamber comprises an electric feed produced by the use of secret money as part of the process of diffusing the gas into the process chamber. The device of the U-domain body and the mouth device No. 31, wherein the backfill storage configuration comprises - Γ backfill pressure for storing - backfilling gas, in other words, when the backfill L is greater than the preheating pressure, backfilling the process chamber . 35. The apparatus of claim 34, wherein: the filling chamber causes the backfilling pressure to be selected at the processing chamber pressure and the processing pressure is also less than the preheating pressing, When returning to the vehicle, the input ==== gas adjustment ^申====step, where the backfill is lying, the process has at least one system in the system for processing at least one workpiece, the system has a private, Thus, the switching chamber dust force in the conversion chamber and the three chamber forces in the ALICE-D:\PATENTVPU-068-0008 1257647 can be individually controlled, and the workpiece can be moved to the conversion chamber and the processing chamber to be specific, including - Process gas conditioning configuration, at least in the - plasma processing process to provide the 'gas === gas to the process chamber, and its ability to - the maximum flow rate of the process chamber less than the power of the force The workpiece and the workpiece in the process chamber are exposed to a pre-emphasis force for addition to a small/dish level, such that the workpiece is applied to the process in the process chamber,

職力下,D職在使其達_處理溫度之後,—處理壓力 製熱壓力,就某種意義來說,其產生不超過該預賴力的一最大 至’運用小於大氣壓力之預熱塵力的值,同時在製程室中運用一 ;增2的速率從該處難力達顧爐力,林提升該轉換室壓力的情 ^下’結果至少部分以—輸人流動速率,運用_額外製程室氣體輸入流 ’其使到達該製程室的整體輸人速率大於該最大流速。 38.如申請專利範圍第37項的方法,其中該壓力上升的速率每秒 至少為15陶爾。 39·如申請專利範圍第37項的方法,其中操作過程包括將該轉換 室壓力維持在該^4理壓力下。 4〇·如申請專利範圍第37項的方法,其中其中操作過程包括將該 轉換室壓力維持在該預熱壓力下。 41· 一種配置,在一個系統中,用於處理至少一個工件根據一多步 驟的整體過& ’在_製程室巾以—預熱壓力,預熱該卫件達—處理溫度, 其後,在該製程室中以一處理壓力,將該工件暴露於一電漿,且至少接近 該處理溫度’該處理壓力小於該該預熱壓力,如此在多步驟的整體過程期 間’在一個或多個點上’該製程室壓力必定從該處理壓力上升至少達該預 熱壓力’遠系統進一步包括一製程氣體調節配置,至少在將該工件暴露於 該電藥期間,以一特定流速,用以提供製程氣體達該製程室,並其能夠以 一最大的流速提供該製程氣體,配置形成部分該系統,該配置包括: 一配置用以提升該製程室壓力,在該多步驟的整體過程中從該處 理壓力至少提升達預熱壓力,藉由以一輸入流率,提供一額外製程室氣體 ALICE-D:\PATENT\PU-068-〇〇〇8 28 1257647 輸入机動’其使魏該製程g的整體輸人流速大於該最大流速。 42· 一種配置,在一個系統中,用以處理至少-個工件,該夺统呈 製程室:如此在該轉換室中的轉換室壓力二 至、h壓力可各自纟抵,並可將工件移到該轉換室及該製程室 之間,且在一預熱壓力下加熱該工件 於-處理雜,溫度下暴露 該製程的壓力™ 舰,用叫其巾該回填配置包括—氣體擴 生器,用以產1-3:二=置’其蝴嫩括-電衆產 擴钱的裝配,賴電綱^製:卿,氣體及該氣體 回埴错用如,專利粑圍第42項的裝置’其中該回填儲存配置包括一 一填氣體’換—力大於 46·如申請專利範圍第45項的裝置,包括一控制配置回儲 存室中使_填壓力與在該處理壓力下之製程室,上料—選= 力小難敎^_力連縣_«姐置該回填 程室’就某種意義來說’使該鳴力及該處理嶋均 專,/達_賴力,作為下—步驟使用於增強該工件的加熱速率。 47.—種方法’在—個系統中,用以處理至少-個工件,該系統且 至J-轉換^及-餘室’如此在轉換室 及在 ,, , :間特ί!:進用步2括一製程氣體調節配置,至少在-電漿處理過程中 辑输室,魏細—最大的流速提 件加熱達繼力爛刚济咖力,_將該工 AL1CE-D: \PATENT\PU-068-0008 29 1257647 件達該同平衡該轉換室屋力及該製程室動,從該轉換室轉移該工 =該製程室下以該預鐘力,預熱該工件達—處理溫度; 熱勤;製程室屋力達該處理勤,同時該轉換室仍然至少為該預 理過程粗少接近該處理勤及該處理溫度下,將該工件暴露於該電漿處 少部分:二速率下,提升該製程室壓力達該預熱壓力,結果至 ,同提升該製程室動,從該製程室將工件移動到該轉換室。 秒15陶;。如申請專侧第47術法,其_力上升速率至少每 49.如申明專利範圍第47項的方法,包括配置一回填儲存配 r in里室連通之勤,作為在該製程室中選擇地產生一壓力上 t ’ ΓΪ升速率下將該製程雙力提升達該處理動,包括利ΐ 4回填儲存配置,回填該製程室賴職壓力。 50·如申請專利範圍第49項的方法,其 括一回填儲树咖—壓力彻__巾齡 即一壓力大於目標壓力時,則回填該製程室。 、亦 51.如申請專利範圍第5〇項的方法,其中回填作用使在該回 存的回賴力與在該處理壓力下之製程室,上升達—選定值,1處理壓 小於該選定值同時亦小於該雜壓力,在該處加熱該工件達該處理溫产, 其後以壓力連通該製程室放置該回填儲存,就某種意義來說,其使該二 堡力及該處理室壓力平衡達至少該預熱壓力,作為下_, 工件的加熱速率。 ^ 、52·,申請專利範圍第49項的方法,其中回填作用包括在該製r 室中引導-壓力上升的速率,使其範圍介於每秒1G到i5〇陶爾。 从如申請專利範圍第項的方法,其中該工件支撐_光_刻 AUCE-D:\pATE>mpu德侧 30 1257647 =其中裝配該預熱及暴露步驟,湘_處理過_移除該光阻麵 •二====法’其中該《處理過程產生― 处理恤度下疋製用以㈣基材上移除該光阻姓刻層。 55.如申請專利範圍第47項的方法,复 s 同時包括加熱該載制·熱該工件。"件由-載具支擇,Under the professional force, after the D position reaches the treatment temperature, the pressure heating pressure is treated. In a sense, it produces a preheating dust that does not exceed the pre-reaction force to a maximum of 'using less than atmospheric pressure. The value of force, while using one in the process chamber; the rate of increase 2 from this place is difficult to reach the furnace force, the forest raises the pressure of the conversion chamber, the result is at least partly - the flow rate of the input, the use of _ extra The process chamber gas input stream 'which causes the overall input rate to reach the process chamber to be greater than the maximum flow rate. 38. The method of claim 37, wherein the rate of increase in pressure is at least 15 teraels per second. 39. The method of claim 37, wherein the operating process comprises maintaining the chamber pressure at the pressure of the chamber. 4. The method of claim 37, wherein the operating process comprises maintaining the chamber pressure at the preheating pressure. 41. A configuration, in a system, for processing at least one workpiece according to a multi-step overall & 'in the process chamber to preheat the pressure, preheating the guard up to the processing temperature, and thereafter, Exposing the workpiece to a plasma at a processing pressure in the process chamber, and at least approaching the processing temperature 'the processing pressure is less than the preheating pressure, such that during one or more of the multi-step overall process Pointing that 'the process chamber pressure must rise from the process pressure to at least the preheating pressure'. The system further includes a process gas conditioning configuration, at least at a particular flow rate during exposure of the workpiece to the electrode. Process gas reaching the process chamber, and capable of providing the process gas at a maximum flow rate, configured to form part of the system, the configuration comprising: a configuration to increase the process chamber pressure, from the overall process of the multi-step The treatment pressure is increased by at least the preheating pressure, and an additional process chamber gas ALICE-D is provided at an input flow rate: \PATENT\PU-068-〇〇〇8 28 1257647 input machine 'Which make the process g Wei overall input flow rate greater than the maximum flow rate. 42. A configuration in which, in one system, at least one workpiece is processed, the system is in a process chamber: the pressure in the conversion chamber in the conversion chamber is two, and the pressure of the h can be offset, and the workpiece can be moved Between the conversion chamber and the processing chamber, and heating the workpiece under a preheating pressure to expose the pressure of the process, the temperature is exposed to the process of the TM ship, and the backfilling configuration includes a gas expansion device, For the production of 1-3: two = set 'there is its butterfly tenderness - the assembly of electricity and mass production, Lai Dian's system: Qing, the gas and the gas are wrong, such as the device of the 42nd patent 'The backfill storage configuration includes a gas-filling gas-transformation force greater than 46. The apparatus of claim 45, including a control configuration, is returned to the storage chamber such that the pressure is filled and the process chamber is under the process pressure. Loading - selection = force small difficult ^ _ Lilian County _ « sister set the back to the filling room 'in a sense, 'to make the power and the treatment are all special, / _ Lai Li, as the next - The steps are used to enhance the heating rate of the workpiece. 47. - A method in a system to process at least one workpiece, the system and to the J-conversion ^ and - the remainder of the room - so in the conversion room and in, :, : Step 2 includes a process gas adjustment configuration, at least in the process of plasma processing, Wei Wei - the maximum flow rate of the heating element reaches the end of the force, and the work AL_CE-D: \PATENT\ PU-068-0008 29 1257647 pieces up to balance the conversion chamber house force and the process chamber movement, transferring the work from the conversion chamber = the pre-clock force under the process chamber, preheating the workpiece up to the processing temperature; Hot work; the process room is up to the processing capacity, and the conversion chamber is still at least a small amount of the pretreatment process close to the treatment and the processing temperature, the workpiece is exposed to the plasma at a small portion: two rates And increasing the pressure of the process chamber to the preheating pressure, and as a result, moving the workpiece to the conversion chamber from the process chamber while lifting the process chamber. Second 15 pottery; For example, if you apply for the special method of the 47th method, the rate of increase of force is at least every 49. The method of claim 47 of the patent scope includes configuring a backfilling and storing the in-compartment of the room to be selected in the process room. A pressure is generated at the rate of t 'swelling to increase the double force of the process to the processing, including the backfilling storage configuration, and backfilling the pressure of the process room. 50. If the method of claim 49 of the patent application is included, the method of filling the tree café is repeated. If the pressure is greater than the target pressure, the process chamber is backfilled. 51. The method of claim 5, wherein the backfilling effect causes the returning force in the returning force to rise to a selected value in the process chamber under the processing pressure, and the processing pressure is less than the selected value. At the same time, it is also smaller than the impurity pressure, where the workpiece is heated to reach the processing temperature, and then the backflow storage is placed in a pressure communication manner with the process chamber. In a sense, the Erbao force and the pressure in the processing chamber are The balance is at least the preheating pressure as the lower _, the heating rate of the workpiece. ^, 52·, the method of claim 49, wherein the backfilling action includes guiding the rate of pressure rise in the r chamber to a range of 1 G to i5 Torr. The method of claim 1, wherein the workpiece supports _light_engraved AUCE-D:\pATE>mpu side 30 1257647=where the preheating and exposing steps are assembled, and the photoresist is removed Face • Two ==== Method 'Where the process is produced - the handling of the shirt is used to (4) the substrate is removed from the photoresist. 55. The method of claim 47, wherein the complexing s includes simultaneously heating the carrier and heating the workpiece. " pieces by - vehicle selection,

具達至=^^利_ %項的方法,其中加熱作_加熱該载 糊爾it。請專利範圍第47項的方法,其中該預熱壓力始5到 爾。58·如申请專利範圍第47項的方法,其中該預熱麼力至少為6〇陶 •人二Γ請專利範圍第47項的方法,其中預熱侧包括引進—預 ’、、、b σ…進人謂程室’用以增強該轉溫度上升的速率。 預熱氣體混合^咖補第59項的方法,包括利用—氦氣作為部分該 理-對工^如巾4專利範圍第47項的方法,包括依據步驟⑻至⑻同時處 系列工^如申請專利範㈣47項的方法,包括依據步驟⑷至⑻處理一 有至少-輕:種方法,在—⑽統中,用以處理Μ—個工件,該系統具 以及—製程室,如此在該轉換室巾轉換室壓力以及在該 的製程室壓力可各自變化,並可將工件移到該轉換室及該製程室 之間,一方法包括: a)從該製程室崎力隔離,變傾轉換SM力達-選定壓力值,亦 即大於=_力,在魏加熱該工件達 一處理溫度; 、 Μ —的最初與該製程室至少在小於該預熱壓力之處理壓力下,平衡該 3至及韻程室之間的壓力,如麟選定壓力回填該製程室至少達該預 熱壓力; ALICE-D:\PATENT\PU-068-0008 31 1257647 程室 辦同平衡雜力達觸麵力,_辑贱轉換室移動到該製 同時 Φ在該製程室下以該預熱壓力,預熱該工件達_處理溫声. e)降低該製程室Μ力達該處理壓力,從該轉換室壓力^力隔離; 理過程 〇至少接近該處理壓力及該處理溫度下,將該工件暴露於該電浆處 64.如申請專利範圍第63項的方法’進一步包括. g)在平衡該轉齡及製《之間義力後,並由程室以 離,從該預熱壓力上升該轉換室壓力達該選定值; 壓力隔 心^駐縣級該賴歧棘讀,該餘室至少達該處理 力同L、在«定壓力值之轉換室,再次平衡該轉換室及該製程 間的麼力,如猶選錢力值使該製程室回填達該預敎壓力. 該處理=處理壓力轉換該工件達該轉移室,協力平衡該轉換室壓力及 65·如申請專利範圍第64項的方法,進一步包括·· j)再次平衡之後,重複步驟(c)到(i)針對至少一個額外工件。 66·如申請專利範圍第63項的方法,其中一隔離閥選擇提供介於 ===之晴力連通’且其中該平衡作用包括打開該隔離 闕同時移動该丄件通過該隔離閥。 •如申明專利|&圍第63項的方法’其中—旁路配置選擇地提供 介於該轉換室及程室之間_力連通,制於該平衡作肋及一隔離 閥介=轉換室及該製程室之間,提供至少用以移動該工件,介於該轉換 室及該製程室之間。 W # •如申明專利|巳圍第63項的方法,包括以挑選該預設塵力為根 據,至ς粉在該製程室的製程室麼力及在該轉換室的一轉換室屋力。 、69· -種配置,在一個系統中,用於處理至少一個工件,該系統具 有,二轉換至以及一製程室,如此在該轉換室中的轉換室廢力以及在該 衣私至中的衣h勤可各自變化,並可將讀移觸雜室及該製程室 ALICE-D:\PATENT\PU-068-0008 32 1257647 之間,一配置包括: 該預敎勤第^=讀獅轉蝴力,觸細壓力隔離大於 k(勤值,在4處加熱該I件至少達—處理溫度,·同時 力之配4㈣平衡該無室及該製程室與最初—小於該預熱壓 =處理壓力刊她,姆__訂,峨㈣贿該製= 在伽ΐ Μ請專職圍第69項職置,包括—隔離閥,透過該工件A method having a condition of up to =^^利_%, wherein heating is performed to heat the carrier. Please refer to the method of claim 47, wherein the preheating pressure starts at 5 liters. 58. The method of claim 47, wherein the preheating force is at least 6 〇 Tao•人二Γ 专利 patent scope item 47, wherein the preheating side includes introduction-pre-,, b σ ...into the predicate room' to increase the rate at which the temperature rises. The method of preheating gas mixing is to supplement the method of item 59, including the use of helium gas as part of the method of the method of claim 47, including the steps of (8) to (8) at the same time. The method of claim 47 (4) includes, according to steps (4) to (8), a method of processing at least one light, in the system of (10), for processing a workpiece, the system and a process chamber, and thus in the conversion chamber The towel transfer chamber pressure and the process chamber pressure can be varied, and the workpiece can be moved between the conversion chamber and the process chamber. A method includes: a) from the process chamber, the saturation is converted, and the tilting is converted to the SM force. - selecting a pressure value, that is, greater than =_ force, heating the workpiece to a processing temperature; and initially balancing the 3 to the rhyme with the processing chamber at least at a processing pressure less than the preheating pressure The pressure between the chambers, such as the pressure selected by Lin, backfills the process chamber to at least the preheating pressure; ALICE-D:\PATENT\PU-068-0008 31 1257647 The process room balances the balance force, _ The transfer chamber is moved to the system while Φ is under the process chamber Preheating the pressure, preheating the workpiece to _ process warm sound. e) reducing the process chamber force up to the processing pressure, and isolating the pressure from the conversion chamber; the process is at least close to the processing pressure and the processing temperature, Exposing the workpiece to the plasma portion 64. The method of claim 63 further includes: g) after balancing the age and the system between the righteous forces, and from the process chamber to leave, from the pre- The heat pressure rises and the pressure of the conversion chamber reaches the selected value; the pressure barrier is at the county level, and the residual chamber is at least the processing force is the same as L. In the conversion chamber of the constant pressure value, the conversion chamber is again balanced. And the force between the processes, such as judging the value of the force, the process chamber is backfilled to the pre-pressure. The process = processing pressure to convert the workpiece to the transfer chamber, synergistically balance the pressure of the conversion chamber and 65. The method of the 64th item further includes, after re-balancing, repeating steps (c) through (i) for at least one additional workpiece. 66. The method of claim 63, wherein an isolation valve is selected to provide a clear force communication between === and wherein the balancing action comprises opening the isolation 阙 while moving the element through the isolation valve. • As stated in the patent | & method of Section 63, wherein the bypass configuration is selectively provided between the conversion chamber and the process chamber _ force communication, the balance rib and the isolation valve interface = conversion chamber And between the process chambers, at least for moving the workpiece between the conversion chamber and the process chamber. W # • The method of claim 23, which includes selecting the preset dust force, based on the force in the process chamber of the process chamber and the force in a conversion chamber of the conversion chamber. a configuration in which, in one system, at least one workpiece is processed, the system has a second transition to and a process chamber, such that the conversion chamber waste force in the conversion chamber is in the private The clothing can be changed separately, and the reading and shifting chamber can be read between the chamber and the processing room ALICE-D:\PATENT\PU-068-0008 32 1257647. One configuration includes: the pre-logistics ^= reading lion turn Butterfly force, contact pressure isolation is greater than k (diligence value, heating the I piece at 4 points at least - processing temperature, · simultaneous force 4 (four) balance the room without the chamber and the initial - less than the preheating pressure = treatment Pressure to publish her, __订, 峨 (4) bribes = in Gaya Μ 专 专 专 专 第 第 第 第 第 第 第 第 第 第 第 第 第 第 第 第 第 第 第

製=室之程室之間的移動’以及襄配選擇提供介於該轉換室及該 衣%至之間的壓力連通,作為壓力平衡。 爾換專利範圍第69項的裝置其中一旁路配置選擇提供介於 室之_壓力麵,勒運職平衡以及—_闕介於 "、至及4製猶之間’至少提供作為該蹲透過該轉換室及該製程室 之間的移動。 72.-種方法,在—個系統巾,用以處理至少一個工件,該系統具 室以及—製程室’如此在該轉換室中的轉換室壓力以及在該 衣私至中的製程麵力可各自控制,並可私件侧該觀室及該製程室 之間,一方法包括: ,…操作至)销程室壓力,並協力地將該工件移細該轉換室及該 製私至之間,如此該在該製程室中將該工件暴露在—預熱壓力下,用以加 熱=工倾—處理溫度,如此在該製程室中將該工件加諸於-處理過程, 至/在處理壓力下,換句話說在使其達到該處理溫度之後,一處理壓力 小於j預熱壓力,就某種意義來說,其產生不超過該預熱壓力的一最大 製程錢力,彻小於大氣壓力之麵壓力值但大於域理壓力,同時操 作括提升4轉換室壓力達_選定值,其後使壓力連通該轉換室及該 氣粒=之間’其導致在該轉換室的壓力從—選定值下降‘達該麵壓力,就 某種心義來1’其回填該製程室,以便使該製程室的壓力從該處理壓力上 升達該預熱壓力。 J3.如申請專利範圍第72項的裝置,包括啟動該工件介於該轉換 室及β衣&至之_移動’有關該轉換室壓力降低在介於該選定值及該預 33 ALICE-D:\PATENT\PU-068-0008 1257647 熱壓力之間的該範圍内。 74.如申請專利範圍第72彻裝置,其中_旁路配置選擇提供介 於該轉換室及該製程室之間的壓力連通,作為該平衡作用且一介於該轉 室及該製程室之間的隔離閥,至少提供該工件介於該轉 之The movement between the chambers of the chambers and the selection of the chamber provides a pressure communication between the chamber and the garment to the pressure balance. For the device of the 69th patent range, one of the bypass configuration options provides a pressure zone between the chamber, the balance of the load, and the relationship between -_阙 between ", and the system is at least provided as the Movement between the conversion chamber and the process chamber. 72. A method, in a system towel for processing at least one workpiece, the system having a chamber and a process chamber, such that the pressure in the conversion chamber in the conversion chamber and the process force in the garment to the private Each of the controls, and between the viewing chamber and the processing chamber, a method comprising: ... operating to the chamber pressure, and cooperating to move the workpiece between the conversion chamber and the private Thus, in the process chamber, the workpiece is exposed to a preheating pressure for heating = tilting - processing temperature, such that the workpiece is applied to the process in the process chamber, to/at processing pressure Next, in other words, after it reaches the processing temperature, a processing pressure is less than j preheating pressure, in a sense, it generates a maximum process power that does not exceed the preheating pressure, and is less than atmospheric pressure. The surface pressure value is greater than the domain pressure, while the operation includes raising the 4 chamber pressure to a value selected, after which the pressure is communicated between the converter chamber and the gas particle = between 'which causes the pressure in the converter chamber to be selected from the value Drop 'the pressure on the face, just some 1 heart to sense 'its backfilling the process chamber, so that the pressure in the process chamber from the preheating pressure rise of the treatment pressure. J3. The device of claim 72, comprising starting the workpiece between the conversion chamber and the beta garment & moving to the conversion chamber pressure drop between the selected value and the pre-33 ALICE-D :\PATENT\PU-068-0008 1257647 Within the range of thermal pressure. 74. The apparatus of claim 72, wherein the bypass configuration selects a pressure communication between the conversion chamber and the processing chamber as the balancing effect and between the rotating chamber and the processing chamber Isolation valve, at least providing the workpiece between the turn

間的移動。 /衣狂至I 叙/5·—種方法,利用—具有至少—轉換室及-製程室之系統處理多 數工件,如此在該轉換室中的轉換室壓力以及在該製程室中的製程室壓力 可各自控制’且可將各個工件在該轉換室及該製程室之間移動,該系統進 乂包括料王氣體s周節置’至少在—電漿處理過程中以—特定流 用以提供製減體達該製程室,其能夠以—最大的流速提供該製程 一方法包括: —^作至少賴織氣顏力,並㈣地將該第_轉移動到該轉 換室及該製程室之間,如此該在該製程室中將該第_工件暴露在—預熱壓 办I ’用以加熱該工件達-處理溫度,如此在該製程室帽該工件加諸於 ^處理過程’至少在-處理壓力下,換句賴在使其達_處理溫度之 2 -處理壓力小於該該預熱壓力,就某種意義來說,其產生不超過該預 熱勤的-最大製餘壓力,小敎紐力之職壓力值,同時在製 权至中運用-壓力增加的速率從該處理壓力達該預熱壓力,在不提升該轉 換室壓力的情況下,結果至少部分以_輸人流動速率,運用—額外製程室 乳體輸入流動,其使到達該製程室的整體輪人速率大於該最大流速。 76·如申請專利範圍第75項的方法,進一步包括: 猎由連織作該轉換室壓力及該製程室壓力,處理接下來的多數 工件,且制地使簡下來的工件各自義介於雜換室及該製程室之 間丄如此在該製程室中將該之後的多數1件暴露在該預熱壓力下,用以加 熱每-接下來_工件達該處理溫度,因麟在製觀中至少在該處理壓 =下,將後來紅件加諸於域理過程,在至少_方式達到該處理溫 X利用j、於大氣壓力的麵壓力值,產生不超過該麵 室壓力。 |八衣征 77·如申請專利範圍第75項的方法,其中操作作用包括將該轉換 ALICE-D:VPATENT\PU-068-0008 34 1257647 至屋力至少维持在該處理壓、力,同時處理該多數工件。 78.如申請專利範圍第75項的方法,1中操 室勤至少維持在該處力之下,同時處理該多數工件用包括將該轉換 於該處申請專利範圍第75項的方法,包括同時將多數該工件加諸 數工件 可將多數工件_ —轉在該轉換室及雜程 操作至v „線彳王室氣體屡力,並協力地將該卫 及該製程室之間,如:此該在該製程室中將社件暴露在—預轉換室 過程,至少在丄2二 _工件加諸於-處理 ====’罐娜說,姐彻該賴壓力的 轉二,::面:,力循環該轉換室’介於-選定勤及該預 壓ή 部分起因建立於該轉換室及該製程室之間的 垒力連通,因此使用該選定壓力回填該製程室。 室及^1.,申請專利範圍第80項的方法,包括啟動該工件介於該轉換 熱壓動’有關該轉換室壓力降低在介於該選定值及該預 82. -種配置,利用一具有至少一轉換室及 數工件’如此在該轉換室中的轉換室壓力以及在該製程室中的=: :各自變化,且該工件可在在該轉換室及該製程室之間透過—隔離 動,一配置包括: 、 —旁路配置用以挑選提供介於該轉換室及該製程室之間的壓力連 通’作為其間賴力平衡,而不需要使用該隔離闕。 83·如申請專利範圍第82項的配置,其中該旁路配置包括一旁路 閥,用以選擇控制該轉換室及該製程室間的壓力連通。 ALICE-D:\PATENTAPU-068-〇〇〇8 35 1257647 該製程室84中如項的配置,其中裝配該旁路配置包括在 乂母&15_之速率的勤增加。 爾_力增加速率處理勤到該預熱壓力,由每秒大於30陶 86·如申請專利範圍第^The movement between. / 狂 狂 to I _ /5 - a method, using a system with at least - conversion chamber and - process chamber to process a majority of the workpiece, such as the conversion chamber pressure in the conversion chamber and the process chamber pressure in the processing chamber Each of the workpieces can be moved between the conversion chamber and the process chamber, and the system includes a section of the gas s of the material, at least in the plasma processing process, to provide a reduction The process chamber is capable of providing the process at a maximum flow rate. The method includes: - performing at least a woven air force, and (iv) moving the _ turn to between the conversion chamber and the process chamber, Thus, in the process chamber, the first workpiece is exposed to a preheating press I' for heating the workpiece to a processing temperature, so that the workpiece is applied to the processing process at least in the process chamber cap. Under pressure, the change is based on the temperature of the processing temperature of 2 - the processing pressure is less than the preheating pressure, in a sense, it produces no more than the pre-heating - the maximum residual pressure, small The value of the pressure of the job, while using the right to control - The rate of force increase from the process pressure to the preheating pressure, without increasing the pressure of the shift chamber, results in at least a portion of the flow rate of the input process, using the additional process chamber milk input flow, which allows the process to be reached The overall wheel rate of the chamber is greater than the maximum flow rate. 76. The method of claim 75, further comprising: hunting the weaving chamber pressure and the process chamber pressure, processing the next plurality of workpieces, and preparing the simplified workpieces in the miscellaneous chamber And between the process chambers, such that a majority of the subsequent ones are exposed to the preheating pressure in the process chamber for heating each of the next workpieces to reach the processing temperature, because at least in the system The processing pressure=down, the later red part is added to the domain process, and at least the method reaches the processing temperature X, and the surface pressure value at atmospheric pressure is generated, and the chamber pressure is not exceeded.八衣征77. The method of claim 75, wherein the operation comprises converting the ALICE-D: VPATENT\PU-068-0008 34 1257647 to at least the processing pressure and force, and simultaneously processing Most of the artifacts. 78. The method of claim 75, wherein the operating room is maintained at least under the force, and processing the plurality of workpieces includes the method of converting the application to the 75th item of the patent application, including simultaneously Adding a majority of the workpiece to the workpiece can transfer most of the workpieces to the conversion chamber and the miscellaneous operation to the v 彳 royal gas, and cooperate with the process chamber, such as this: In the process room, the social parts are exposed to the pre-conversion chamber process, at least in the 丄2 2 _ workpiece added to the treatment ====' Canna said that the sister's pressure to turn the second, :: face: The force cycle of the conversion chamber 'between the selected chamber and the pre-compression portion is caused by the base force communication established between the conversion chamber and the processing chamber, so the process chamber is backfilled using the selected pressure. , the method of claim 80, comprising initiating the workpiece between the conversion hot pressing 'related to the conversion chamber pressure drop between the selected value and the pre-82. - utilizing one having at least one conversion chamber And the number of workpieces' so the chamber pressure in the converter chamber and =: : each in the process chamber, and the workpiece is permeable between the conversion chamber and the processing chamber - a configuration comprising: - a bypass configuration for picking and providing between the conversion chamber and The pressure communication between the process chambers is balanced as a balance between them without the use of the isolation crucible. 83. The configuration of claim 82, wherein the bypass configuration includes a bypass valve for selectively controlling the The pressure communication between the conversion chamber and the processing chamber. ALICE-D: \PATENTAPU-068-〇〇〇8 35 1257647 The configuration of the process chamber 84 as in the item, wherein the bypass configuration is included in the apron & 15_ The rate of diligence increases. _ _ force increase rate processing to the preheating pressure, from more than 30 per second Tao 86 · such as the scope of patent application ^

,㈣力與在-處理壓力之下該製程室.,用喊_ =值,且其亦小於_預熱覆力,該工件在該 二P 義來說’其使該製程㈣力及該處理室壓 、,w至就某種思 下-步驟使用於該工件的加熱過程。 、達a亥預熱壓力,作為 之心二::ΓΓ系統中 的製繼力可蝴細力卿該製程室中 一隔離_動,-配置包括:^ 該轉換室及該製程室之間透過 裳配該隔離咖以選擇提供該轉換室及該製程室 六 =以平蝴嶋,感應蝴程跑少每秒15陶爾賴力增 88.如申請專利範圍帛87項的配置,包括 室壓力與在一處理壓力下該製程室,上升達一選定值使該轉換 且亦小於—預熱壓力,在該處加熱二亦選定值 ,的開口回填該製程室,就某種意義來說’其使該3室厂^=3離 勤平T至少該預鍾力,作為下-步驟使用於該工件的加熱至 •-種配置’在-系統中,彻—具有至少 之系統處理多紅件,如此在該賴Μ轉換 的製程室壓力可各自變化,且該工件可在在該轉換室及該 -隔離閥移動,-配置包括·· 衣柱至之間透過 動上升-回填配置選擇與該製程室之磨力連通’用以感應在該製程室之 alice-d:\patent\pU.068.0008 36 1257647 90.如申請專利範圍第89項的配置,其中 散為,裝配用以感應由該回填配置之回填氣體了〆、至匕孔-擴 儲存室S如大申^利範圍第89項的配置,其中該回填配置包括一回填 92 壓:力嶋下’儲存—回填氣體,回填該* 工件。 件以達一處理溫度,作為下-步驟使用以處理該 93·如申請專利範圍第91項的配置, 閥’用以控制連通該轉換室及該製程室之間_力°。包括—回填 埴齡:4·如申請專利範圍第91項的配置,包括-控制配置,物回 ===與在一處·力下之製程室,上升達-選定值: 其後藉由與該製程室::力力’該工件在該處加熱達-處理溫度, ^ ^ 麵放置細_存,_肖 : 89Μ' ^ 至中至夕母秒15陶爾的壓力上升速率。 處理^6下如:請專利範圍第90項的配置,其中裝配該系統,用以在該 電二加==露艺電:中’且在製程室中將該工件暴露於該 該電漿,形成部二時運用—電浆室中電裝氣體產生 用以引導來自U,且其中該製程室包括—氣體擴散11,其裝配 體。 __置之回魏體,且其進-錄關則丨導該電漿氣 構件詳細=緣;^_=/具财制,財想有狀各別定位的 位於多樣的位置二2本發明可呈現各種具有該多樣構件的特定配置, 互動中。再者,描述於此處的方法,可使用盔钮彳阳生 舉娜說,11㈣雜理、紅祕她合該各式步驟i 在該特定事件π採取的反應或以限定關係’針對—特定事件,將發生 疋事件f礼中心之内的任何點上,其間隔可以任何時間、壓 ALICE-] D:\PATENT\PU-068-0008 1257647 。列’以本發明之揭示進行,應該明白,當連結-二 '守,可操作兩個或兩個以上轉換室,與此處說法一致。如前 置及此處揭示的相關方法,以各式不同的裝配以 =背ΙίΓ 方式,同時運用多數其他特定形式實行本發明, 彳神及領域。因而,可將本發0㈣範做方法視為範例 况月且不具任何關,_本發㈣不佩此處細節之奴,但 該申請專利的範圍内修正。 了在 【圖式簡單說明】 可以«參考接下轉細的減無下軸 暸解本發明。 第-Α圖為一個去除外殼的圖式,從正面來看,為根據本發 造的工件處理Μ,J_其巾提供—回填配置,在該製程室中引起—快速壓 力上升。 第- B圖為-去除外殼圖樣的圖式’從正面來看,為根據本發明 所製造的另-工件處理系統,其中提供—旁路配置,用以從該轉換室回填 至該製程室。 、 第-圖為-流程圖式,說明_種方式,其中可使用第—A圖的系 統,保留其中該轉換室,至少在一處理壓力下,在該製程室中將該工件暴 露於電漿處理期間。 + 第三圖為一說明製程室壓力、回填室壓力及工件溫度相對時間的 圖表,基於第二圖中該流程圖。 第四圖為一流程圖式,說明一種方式,其中可使用第一 A圖的該 系統,保留其中該轉換室,至少在—預熱壓力下,使該工件暴露於該製程 室中,增強工件的加熱作用。 第五圖為一說明製程室壓力、回填室壓力及工件溫度相度相對時 間的圖表’基於苐四圖中該流程圖。 第六圖,為一流程圖式,說明一種方式,可使用於第一 B圖的系 統中,以致於該轉換室從該處理壓力回填該製程室,達該預熱壓力。 第七圖為一去除外殼圖樣的圖式,從正面來看,為根據本發明所 ALICE-D:\PATENT\PU-〇68-〇〇〇8 38 1257647 製造工件處理系統的另一具體實施例,其中提供雙重製程平台以及一旁路 配置及回填配置,任一項或兩者皆可用於回填該製程室。 【主要元件符號說明】(4) force and under-treatment pressure of the process chamber., with shouting _ = value, and it is also less than _ preheating force, the workpiece in the second P sense 'which makes the process (four) force and the treatment Chamber pressure, w to some kind of thinking - the step is used in the heating process of the workpiece. , a sea preheating pressure, as the heart of the two:: the system in the system of the force can be smashed in the process room, an isolation, the configuration includes: ^ between the conversion room and the process room The dress is equipped with the isolation coffee to choose to provide the conversion room and the process room. The test cycle is less than 15 terares. The application range is 帛87. The application range includes 87 room pressure and a process chamber under a process pressure that rises to a selected value such that the transition is also less than - the preheating pressure, where the heated second is also selected, the opening is backfilled into the process chamber, in a sense The 3-chamber factory ^=3 is at least the pre-clock force, as the lower-step is used for the heating of the workpiece to the '--configuration' in the system, the system has more than enough red parts, so The process chamber pressures of the Lai conversion can be varied, and the workpiece can be moved between the conversion chamber and the isolation valve, and the configuration includes a movement-backfill configuration between the clothes column and the process chamber. Frictional connection 'to sense the alice-d:\patent\pU.068.00 in the process chamber 08 36 1257647 90. The configuration of claim 89, wherein the assembly is used to sense the backfill gas from the backfill configuration, to the boring-expansion storage room S, such as the large application range 89 The configuration, wherein the backfill configuration includes a backfill 92 pressure: under the force 'storage - backfill gas, backfill the * workpiece. The device is used as a lower-step to process the 93. As in the configuration of claim 91, the valve ' is used to control the communication between the conversion chamber and the process chamber. Including - backfill age: 4 · If the configuration of the scope of application for the 91st item, including - control configuration, material return === and the process room under one force, rise up - selected value: then by The process chamber:: force 'the workpiece is heated at this point - the treatment temperature, ^ ^ surface placed fine _ deposit, _ Xiao: 89 Μ ' ^ to the mid-to-night mother second 15 Torr pressure rise rate. [6] The following is a configuration of the 90th patent, wherein the system is assembled to expose the workpiece to the plasma in the process chamber, and in the process chamber, The forming portion is used at the second time - the electrified gas in the plasma chamber is generated to guide the slave U, and wherein the process chamber includes - gas diffusion 11, the assembly thereof. __ Set back to the Wei body, and its entry-recording is to guide the plasma gas component in detail = edge; ^ _ = / with financial system, the financial concept has a different position in a variety of positions 2 2 invention A variety of specific configurations with this variety of components can be presented, in interaction. Furthermore, the method described here can use the helmet button Puyang Shenglu Na said that 11 (four) numerology, red secrets, the various steps i take the reaction in the specific event π or in a defined relationship 'targeted-specific event, Any point within the center of the event will occur at any time, press ALICE-] D:\PATENT\PU-068-0008 1257647. The column 'is carried out in accordance with the teachings of the present invention, it being understood that when linked - two 'shoulders, two or more conversion chambers can be operated, consistent with the statements herein. As in the prior art and related methods disclosed herein, the invention is practiced in a variety of different configurations, in a manner that is versatile, and in many other specific forms. Therefore, the method of the 0(4) method can be regarded as an example of the month and does not have any customs. _ This issue (4) does not obscure the details of the details, but the scope of the patent application is amended. In the [Simple Description of the Drawings], the present invention can be understood by referring to the following. The first-drawing diagram is a diagram of the removal of the outer casing. From the front side, in order to process the workpiece according to the present invention, J_the towel provides a backfilling configuration, causing a rapid pressure rise in the process chamber. Figure B-B is a diagram of the removal of the outer casing pattern. From the front, a further workpiece handling system made in accordance with the present invention, wherein a bypass configuration is provided for backfilling from the conversion chamber to the process chamber. , Figure - is a flow chart, illustrating a mode in which the system of Figure A can be used, retaining the conversion chamber, at least under a process pressure, exposing the workpiece to plasma in the process chamber During processing. + The third figure is a graph illustrating process chamber pressure, backfill chamber pressure, and workpiece temperature versus time, based on the flow chart in the second figure. Figure 4 is a flow chart diagram illustrating a manner in which the system of Figure A can be used, retaining the conversion chamber, at least under preheating pressure, exposing the workpiece to the process chamber, enhancing the workpiece The heating effect. The fifth figure is a graph illustrating the relative pressure of the process chamber pressure, the backfill chamber pressure, and the workpiece temperature phase, based on the flow chart in the fourth diagram. The sixth drawing, which is a flow chart, illustrates a manner that can be used in the system of Figure 1 such that the transfer chamber backfills the process chamber from the process pressure to the preheating pressure. The seventh figure is a drawing for removing the outer casing pattern, and from the front, another specific embodiment of the workpiece processing system manufactured according to the present invention ALICE-D:\PATENT\PU-〇68-〇〇〇8 38 1257647 A dual process platform and a bypass configuration and backfill configuration are provided, either or both of which can be used to backfill the process chamber. [Main component symbol description]

10 system 系統 12 transfer chamber 轉換室 14 robot 機器人 18 arm 支架 20 effector (paddle) 作用器(槳狀物) 22 pattern 模型 30 semiconductor workpiece 半導體工件 32 plasma-processing chamber 電漿製程室 34 plasma source 電漿源 36 plasma 電漿 38 susceptor 載具 40 lift-pins 升降拴 42 passage 通道 44 valve 閥 45 arrow 箭頭 46 exhaust port 廢氣口 48 gas 氣體 50 diffuser 擴散器 52 gas input 氣體輸入 54 backfill arrangement 回填配置 56 backfill input 回填輸入 58 process gas 製程氣體 60 Mass Flow Controller 質流控制器 66 backfill valve 回填閥 39 ALICE-D:\PATENT\PU-068-0008 125764710 system system 12 transfer chamber conversion chamber 14 robot robot 18 arm bracket 20 effector (paddle) activator (paddle) 22 pattern model 30 semiconductor workpiece semiconductor workpiece 32 plasma-processing chamber plasma processing chamber 34 plasma source plasma source 36 Plasma slurry 38 susceptor carrier 40 lift-pins lift 42 passage channel 44 valve 45 arrow arrow 46 exhaust port exhaust 48 gas gas 50 diffuser diffuser 52 gas input gas input 54 backfill arrangement backfill configuration 56 backfill input backfill input 58 Process gas Process gas 60 Mass Flow Controller Mass flow controller 66 backfill valve Backfill valve 39 ALICE-D:\PATENT\PU-068-0008 1257647

68 backfill ballast chamber 回填穩定室 70 chamber line 室内管線 72 supply valve 供給闊 74 supply line 供給管線 76 backfill gas 回填氣體 78 pressure sensor 壓力感應器 79 diffuser 擴散器 80 system 系統 82 bypass arrangement 旁路配置 84 piping 管線 86 bypass valve 旁路閥 90 computer 電腦 92 MFC 質流控制器 94 input 輸入 96 output 輸出 100 system 系統 101a first plot 第一圖式 101b second plot 第二圖式 102 third plot 第三圖式 200 system 系統 202a plot 圖式 202b plot 圖式 300 third mode 第三模式 400 system 系統 40 ALICE-D:\PATENT\PU-068-000868 backfill ballast chamber backfill stabilization chamber 70 chamber line indoor line 72 supply valve supply line 74 supply line supply line 76 backfill gas backfill gas 78 pressure sensor pressure sensor 79 diffuser diffuser 80 system system 82 bypass arrangement bypass configuration 84 piping line 86 Bypass valve bypass valve 90 computer computer 92 MFC mass flow controller 94 input input 96 output output 100 system system 101a first plot first pattern 101b second plot second pattern 102 third plot third pattern 200 system system 202a plot diagram Equation 202b plot 300 third mode third mode 400 system system 40 ALICE-D:\PATENT\PU-068-0008

Claims (1)

1257647 陶爾的範圍。 :· 第1項的方法,其中該處理壓力為1陶爾。 9·如申s月專利範圍第1項的方法,使由甘士益血矿、 到250陶爾的範圍之間。 -中其中預…、壓力介於25陶爾 爾。1〇·如申請專利範圍第1項的方法,其中該預熱壓力至少為6〇陶 部分該預熱氣圍第11項的方法,其中包括利用氦氣作為至少 :==:::該工件與= 室達該預熱壓力。 的額外製程室氣體輸人流動,回填該製程 體二1=利範圍第13項的方法,其中回填作用包括利用1 中 額外製程咖輸入流動,從該回填儲存配置進入 程氣體進入物Γ 咖麵,料-步引導該製 勹括口私關第13項的綠,其#裝配獅填儲存配置, 在—勤下軸魏體齡在__的中,換句 話說在大於-目觀力_力下,將回填該製程室。 預孰麼:專利範圍第16項的方法,其中該目標壓力選擇作為該 =:並在處理-件期一件達_ 18.如申請專利範園第13項的方法包括使在該回 回填動與該製程室在-處理勤下,上升達—選定值換句話說遠小於 42 C:\Eunic6 2006\PU 1257647 該選定值且其處理壓力亦小於i碰力,在贿加熱該轉達—處理溫 度,其後回填作用包括以壓力連通該製程室,安裝該回填儲存室,就^ 意義來說,其使該回填壓力及該處理室壓力均等,至少達該預熱壓力^作 為下一步驟使用於增強該工件的加熱速率。 μ 19·如申請專利範圍第18項的方法,其中該製程氣體調節配置, 在該回填期間提供至少不含製程氣體。 20. 如申請專利範圍第13項的方法,其中在該製程室中該壓力的 上升速率的範圍介於每秒15到150陶爾。1257647 The scope of Taor. :· The method of item 1, wherein the treatment pressure is 1 Torr. 9. The method of claim 1 of the patent scope of the s month, made by the Ganshiyi blood mine, to the range between 250 taur. - Among them are pre-..., the pressure is between 25 taels. 1) The method of claim 1, wherein the preheating pressure is at least 6 〇, the method of the preheating gas enclosure item 11, including using helium as at least: ==::: the workpiece With the = room up to the preheating pressure. The additional process chamber gas is infused to flow, and the method of backfilling the process body 2 == range 13 item, wherein the backfilling function comprises using 1 additional process coffee input flow, and entering the process gas into the object from the backfill storage configuration , material-step guides the green of the 13th item of the system, and its #assembly lion fills the storage configuration, in the middle of the __ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The process room will be backfilled. What is the premise: the method of the 16th patent range, wherein the target pressure is selected as the =: and in the processing - the piece of the piece reaches _ 18. The method of applying for the 13th item of the patent garden includes filling in the return With the process room in-treatment, up to - the selected value is in other words much less than 42 C:\Eunic6 2006\PU 1257647 The selected value and its processing pressure is also less than the i-force, in the bribe heating the transfer - processing temperature And thereafter, the backfilling action comprises communicating the process chamber with pressure, and installing the backfill storage chamber, in the sense that the backfill pressure and the pressure of the processing chamber are equal, at least up to the preheating pressure, as the next step is used Increase the heating rate of the workpiece. The method of claim 18, wherein the process gas conditioning configuration provides at least no process gas during the backfilling. 20. The method of claim 13, wherein the rate of rise of the pressure in the process chamber ranges from 15 to 150 teraels per second. 21. 如申請專利範圍第13項的方法,其中回填作用包括在該製程 室引導該壓力上升速率達每秒30陶爾。 22·如申凊專利$巳圍第1項的方法,包括根據步驟⑷ 時處理每對工件。 包括根據步驟(a)到(e)處 23.如申清專利範圍第1項的方法, 理一系列工件。 α邮-轉mx麟至少—紅件職置,該系統具有至 〉、-轉換室以及-製程室,如此在該轉換室中的轉換室壓力以及在該 室中的製程室壓力可各自變化,並可將工件移職轉換室及該製程室之 間,該系統進-步包括-製程氣體調節配置,至少在—電漿處理過程中以 =::=繼細,其_-最大的流速提供 帛S&置至y用以控制該製程室壓力,降低該製程室愿力一 理壓力,在該處將該工件加諸於一電聚處理過程,且 t并f製程氣體調節配置合作達高於該處理屋力之預熱勤, 入4 果在無提升轉換室勤的航τ,導致至少部分以-輪 二最大==室氣體輪人流動,使進人該製程室的整體輸入速率 晴換繼 43 C:\Eunice 2006\PU -2-fOM/fce/Ooc 1257647 =過=烟細細,齡縣露在該電 25·-種在-系統中用於處理至少_個 室:;:T如此在該轉換室__:= 門命^ 自_,射將轉制簡換室聽製程室之 二=系統進-步包括-製程氣體調節配置,至少在—電漿處理過程甲以 用:=氣體達該製程室’一21. The method of claim 13, wherein the backfilling comprises directing the rate of pressure rise to 30 ohms per second in the process chamber. 22. The method of claim 1, wherein the processing of each pair of workpieces is performed according to step (4). This includes a series of workpieces according to the method of paragraphs (a) to (e). Α-mail-to-mx-lin-at least-red parts, the system has a to->-transition chamber and a process chamber, such that the chamber pressure in the chamber and the chamber pressure in the chamber can vary, The workpiece can be transferred between the conversion chamber and the processing chamber. The system further includes a process gas adjustment configuration, at least in the plasma processing process, with =::= followed by fine, and its maximum flow rate is provided.帛S& is set to y to control the process chamber pressure, reduce the process chamber's willingness to stress, where the workpiece is applied to an electropolymerization process, and the t and f process gas adjustment configuration cooperation is high In the pre-heating of the handling of the house, the result is that the turbulence of the room is not increased, resulting in at least part of the wheel-to-wheel maximum == room gas wheel flow, so that the overall input rate of the process room is clear. Replacement 43 C:\Eunice 2006\PU -2-fOM/fce/Ooc 1257647 = too = smoke fine, age county exposed in the electricity 25-- in the system for processing at least _ room:;: T So in the conversion room __:= door life ^ _, the shot will be converted into a simple room to listen to the process room 2 = system step - including - Process gas conditioning configuration, at least in the plasma processing process A: = gas reaches the process chamber 4 —操作至少該製程室壓力,並協力地雜卫件移動_轉換室及該 製程室之間,如此該在該製程室中將該讀暴露在_預熱壓力下,用以加 熱該工件達一處理溫度,如此在該製程室中將該工件加諸於一處理過程, 至少在一處理壓力下,也就是說在使其達到該處理溫度之後,一處理壓力 小於該該預熱壓力,就某種意義來說,其產生不超過該預熱壓力的一最大 製程室壓力,運用小於大氣壓力之預熱壓力的值,同時在製程室中運用一 壓力增加的速率從該處理壓力達該預熱壓力,在不提升該轉換室壓力的情 況下’結果至少部分以一輸入流動速率,運用一額外製程室氣體輸入流 動,其使到達該製程室的整體輸入速率大於該最大流速。4 - operating at least the process chamber pressure, and cooperatingly moving the miscellaneous member between the conversion chamber and the processing chamber, such that the reading is exposed to the preheating pressure in the processing chamber for heating the workpiece a processing temperature, such that the workpiece is applied to a process in the process chamber, at least under a process pressure, that is, after the process temperature is reached, a process pressure is less than the preheat pressure, In a sense, it produces a maximum process chamber pressure that does not exceed the preheating pressure, uses a value of preheating pressure that is less than atmospheric pressure, and applies a rate of pressure increase in the process chamber from the process pressure to the preheating The hot pressure, without increasing the pressure of the shift chamber, results in an additional process chamber gas input flow, at least in part at an input flow rate, which causes the overall input rate to the process chamber to be greater than the maximum flow rate. 44 α-Έυηίοβ 2006Ψϋ MSBPU-0M\PU-068-00(m>U-068-000e-2~(Ori-Alice).Doc44 α-Έυηίοβ 2006Ψϋ MSBPU-0M\PU-068-00(m>U-068-000e-2~(Ori-Alice).Doc
TW094100328A 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing TWI257647B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US53449504P 2004-01-06 2004-01-06

Publications (2)

Publication Number Publication Date
TW200535928A TW200535928A (en) 2005-11-01
TWI257647B true TWI257647B (en) 2006-07-01

Family

ID=34794284

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094100328A TWI257647B (en) 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing

Country Status (7)

Country Link
US (1) US20050205210A1 (en)
JP (1) JP2007518278A (en)
KR (1) KR20060127019A (en)
CN (1) CN1910308A (en)
DE (1) DE112005000153T5 (en)
TW (1) TWI257647B (en)
WO (1) WO2005067634A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
CN104934353B (en) * 2014-03-18 2018-01-19 北京北方华创微电子装备有限公司 Transmission system, reaction chamber and semiconductor processing equipment
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US11592394B2 (en) * 2016-08-12 2023-02-28 Wisconsin Alumni Research Foundation Methods and systems for transmission and detection of free radicals
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
CN111357090B (en) 2017-11-11 2024-01-05 微材料有限责任公司 Gas delivery system for high pressure processing chamber
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113658891A (en) * 2021-08-19 2021-11-16 上海稷以科技有限公司 Wafer processing device
WO2023043043A1 (en) * 2021-09-17 2023-03-23 주식회사 플라즈맵 Plasma processing apparatus
KR102611478B1 (en) * 2021-09-17 2023-12-08 주식회사 플라즈맵 Apparatus for plasma treatment

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3125232A (en) * 1964-03-17 Transfer device
JPS6362233A (en) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp Reactive ion etching apparatus
DE3731444A1 (en) * 1987-09-18 1989-03-30 Leybold Ag DEVICE FOR COATING SUBSTRATES
US5868854A (en) * 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JP3466607B2 (en) * 1989-09-13 2003-11-17 ソニー株式会社 Sputtering equipment
US5135391A (en) * 1990-04-24 1992-08-04 Micron Technology, Inc. Semiconductor processing gas diffuser plate
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
DE4427984C2 (en) * 1994-08-08 2003-07-03 Unaxis Deutschland Holding Device for feeding in and out of workpieces in a coating chamber
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
JP2000021871A (en) * 1998-06-30 2000-01-21 Tokyo Electron Ltd Plasma treating method
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
DE19835154A1 (en) * 1998-08-04 2000-02-10 Leybold Systems Gmbh Apparatus for vacuum coating of substrates, in particular, those with spherical surfaces comprises two vacuum chambers which are located adjacent to one another and have rotating transport arms
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP2002026108A (en) * 2000-07-12 2002-01-25 Tokyo Electron Ltd Transfer mechanism for works, processing system and method of using transfer mechanism
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system

Also Published As

Publication number Publication date
TW200535928A (en) 2005-11-01
US20050205210A1 (en) 2005-09-22
KR20060127019A (en) 2006-12-11
WO2005067634A2 (en) 2005-07-28
WO2005067634A3 (en) 2005-09-15
CN1910308A (en) 2007-02-07
DE112005000153T5 (en) 2006-11-16
JP2007518278A (en) 2007-07-05

Similar Documents

Publication Publication Date Title
TWI257647B (en) Advanced multi-pressure workpiece processing
TWI278934B (en) Method of forming a metal layer using an intermittent precursor gas flow process
TW200847314A (en) Processing system and method for performing high throughput non-plasma processing
TWI334450B (en) Wafer treatment device and the manufacturing method of semiconductor device
TW201131652A (en) Flowable dielectric using oxide liner
JP2013153164A5 (en)
TW201131005A (en) Process for production of ni film
US9842755B2 (en) Method and system for naturally oxidizing a substrate
TW200922388A (en) Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
TW200839875A (en) Multilayer silicon nitride deposition for a semiconductor device
TW200403354A (en) System for depositing a thin film onto a substrate using a low vapor pressure gas precursor
TW201038508A (en) Ceramic-metal junction and method of fabricating same
TW201007899A (en) Fast substrate support temperature control
TW201833128A (en) Ruthenium precursors for ald and cvd thin film deposition and uses thereof
TW201207976A (en) Method of improving film non-uniformity and throughput
JP2020501372A5 (en)
TWI290859B (en) Method for depositing metal layers using sequential flow deposition
JP2006269623A (en) Substrate treatment method, method and apparatus for film formation and computer program
TW201406974A (en) Coated article and method for making same
CN101671813B (en) Film forming method of ti-series film
JPWO2008117781A1 (en) CVD deposition system
JP2016037434A (en) Method for generating base film of graphene, method for generating graphene, and generator for base film of graphene
TWI378499B (en) Method for passivating at least a part of a substrate surface
WO2006101130A1 (en) Film-forming apparatus and film-forming method
JP7134263B2 (en) Film forming method, film forming apparatus, and oxidation treatment method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees