DE112005000153T5 - Advanced multi-pressure workpiece processing - Google Patents

Advanced multi-pressure workpiece processing Download PDF

Info

Publication number
DE112005000153T5
DE112005000153T5 DE112005000153T DE112005000153T DE112005000153T5 DE 112005000153 T5 DE112005000153 T5 DE 112005000153T5 DE 112005000153 T DE112005000153 T DE 112005000153T DE 112005000153 T DE112005000153 T DE 112005000153T DE 112005000153 T5 DE112005000153 T5 DE 112005000153T5
Authority
DE
Germany
Prior art keywords
pressure
process chamber
workpiece
chamber
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112005000153T
Other languages
German (de)
Inventor
Daniel J. Los Gatos Devine
Rene San Jose George
Ryan M. Discovery Bay Pakulski
David A. Walnut Creek Barker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of DE112005000153T5 publication Critical patent/DE112005000153T5/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Abstract

Verfahren für ein System zum Behandeln von wenigstens einem Werkstück unter Verwendung eines Behandlungsprozesses, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung umfasst zum Liefern von Prozessgas an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses mit einer vorgegebenen Flussrate, und die in der Lage ist, das Prozessgas mit einer maximalen Flussrate zu liefern, wobei das Verfahren die folgenden Schritte aufweist:
a) Angleichen des Transferkammerdrucks und des Prozesskammerdrucks an einen Behandlungsdruck, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll;
b) Transferieren des Werkstücks von der Transferkammer zu der Prozesskammer beim Behandlungsdruck;
c) Vorheizen des Werkstücks auf eine Behandlungstemperatur gemeinsam mit einem Erhöhen des Prozesskammerdrucks auf einen Vorheizdruck mit einer Druckanstiegsrate, die...
A method of a system for treating at least one workpiece using a treatment process, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and the workpiece is between the transfer chamber and the transfer chamber the process chamber, the system further comprising a process gas control arrangement for supplying process gas to the process chamber at least during a plasma treatment process at a predetermined flow rate and capable of delivering the process gas at a maximum flow rate, the process being as follows Steps:
a) adjusting the transfer chamber pressure and the process chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process;
b) transferring the workpiece from the transfer chamber to the process chamber at the treatment pressure;
c) preheating the workpiece to a treatment temperature, together with increasing the process chamber pressure to a preheat pressure with a pressure rise rate that is ...

Figure 00000001
Figure 00000001

Description

Verwandte Anmeldungrelative registration

Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen U.S. Patentanmeldung mit der Seriennummer 601534,495, eingereicht am 6. Januar 2003, die durch Verweisung zur Gänze hierin aufgenommen ist.The This application claims priority from US provisional Patent application Serial No. 601534,495, filed January 6, 2003, the by reference in its entirety is incorporated herein.

Hintergrund der ErfindungBackground of the invention

Die vorliegende Erfindung bezieht sich allgemein auf des Feld der Prozessierung eines oder mehrerer Werkstücke und insbesondere auf ein System und Verfahren, die eine Gesamtprozedur an Werkstücken ausführen durch Verwendung von mehr als einem Druck.The The present invention relates generally to the field of processing one or more workpieces and in particular to a system and method which is an overall procedure on workpieces To run by using more than one pressure.

Das Herstellen von Werkstücken, wie zum Beispiel Halbleiterwafern, wird oftmals am besten durchgeführt, indem verschiedene Drücke an verschiedenen Stellen in einem Gesamtprozess verwendet werden. Ein Beispiel für solch einen Multidruck-Prozess ist in dem U.S.-Patent Nr. 6,409,932 beschrieben (im Folgenden das '932 Patent). Insbesondere in Spalte 2 des '932 Patents ist ein siebenstufiger Prozess des Standes der Technik, der als Atmosphäre-zu-Vakuum-zu-Atmosphäre (AVA) Prozessierung bekannt ist, beschrieben. In diesem Prozess wird ein Wafer auf eine gewünschte Prozesstemperatur in der Prozesskammer geheizt, die Prozesskammer wird dann auf einen gewünschten Prozessdruck abgepumpt, der Wafer wird einem Plasma ausgesetzt, die Kammer wird zurück auf Atmosphärendruck belüftet und der Wafer wird durch einen anderen Wafer ausgetauscht. Ein solcher Prozess ist zum Beispiel beim Entfernen von Photolack von dem Wafer nützlich.The Manufacture of workpieces, Semiconductor wafers, for example, are often best performed by: different pressures be used in different places in an overall process. An example for such a multi-printing process is described in U.S. Patent No. 6,409,932 (hereinafter the '932 Patent). In particular, column 2 of the '932 patent is a seven-step process of the prior art, known as atmosphere-to-vacuum-to-atmosphere (AVA) processing is described. In this process, a wafer becomes a desired process temperature heated in the process chamber, the process chamber is then to a desired Pumped out process pressure, the wafer is exposed to a plasma, the chamber will be back at atmospheric pressure ventilated and the wafer is replaced by another wafer. Such a For example, the process is to remove photoresist from the wafer useful.

Das '932 Patent macht sich das wohlbekannte Prinzip zu Nutze, wonach der Wärmeübertragungswirkungsgrad mit zunehmendem Gasdruck zunimmt. Bei dem Versuch den Waferdurchsatz über denjenigen zu steigern, der mit einem AVA-System des Standes der Technik erreichbar ist, verwendet das '932 Pa tent einen Zwischendruck, bei dem der Wafer in einer Prozesskammer erwärmt wird, nachdem der Prozesskammerdruck von einem Belade-/Entladedruck reduziert wird. Der Belade-/Entladedruck muss nicht notwendigerweise atmosphärisch sein, aber er ist nichtsdestotrotz höher als der Zwischendruck. In diesem Zusammenhang wird in Anbetracht der Lehren und Erkenntnisse der vorliegenden Erfindung erachtet, dass das '932 Patent Einschränkungen und Probleme auferlegt, die dazu dienen, die weitere Steigerung des Systemdurchsatzes zu beschränken.The '932 patent makes Take advantage of the well-known principle, according to which the heat transfer efficiency increases with increasing gas pressure. In trying the wafer throughput over those to increase that achievable with an AVA system of the prior art is, uses the '932 Pa tent an intermediate pressure, wherein the wafer in a process chamber heated after the process chamber pressure is reduced from a load / unload pressure becomes. The loading / unloading pressure does not necessarily have to be atmospheric, but he is nonetheless taller as the intermediate pressure. In this context is considered the teachings and findings of the present invention, that the '932 patent restrictions and imposes problems that serve to further increase of system throughput.

Es wird angemerkt, dass anderer Stand der Technik das Durchführen von Erwärmung bei einem Zwischendruck in Verbindung mit der Verwendung einer Transferkammer erkannt hat. Insbesondere wurde das Behandlungsobjekt zwischen der Transferkammer und einer Prozesskammer bei entweder dem Zwischendruck oder dem Prozessdruck transferiert. Es wird hierin erkannt, dass erforderte Druckänderungen in der Prozesskammer dieser Systeme des Standes der Technik dem Systemdurchsatz beträchtliche Beschränkungen auferlegen, wie unten an einer geeigneten Stelle weiter diskutiert wird.It It is noted that other prior art is performing warming at an intermediate pressure in connection with the use of a transfer chamber has recognized. In particular, the treatment object was between the Transfer chamber and a process chamber at either the intermediate pressure or transferred to the process pressure. It is recognized herein that required pressure changes in the process chamber of these prior art systems System throughput considerable restrictions impose, as discussed further below in a suitable place becomes.

Die vorliegende Erfindung wird erachtet, die vorangegangenen Einschränkungen und Probleme zu entfernen, während sie noch weitere Vorteile liefert.The The present invention is considered to have the foregoing limitations and remove issues while It provides even more benefits.

Zusammenfassung der OffenbarungSummary the revelation

In einem System zur Prozessierung von wenigstens einem Werkstück werden eine Vorrichtung und ein Verfahren beschrieben. Das System umfasst wenigstens eine Transferkammer und eine Prozesskammer derart, dass sowohl ein Transferkammerdruck in der Transferkammer und ein Prozesskammerdruck in der Prozesskammer variieren können und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann. Das System umfasst ferner eine Prozessgasregelanordnung, um Prozessgas an die Prozesskammer zu liefern wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern.In a system for processing at least one workpiece a device and a method are described. The system comprises at least a transfer chamber and a process chamber such that both a Transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can vary and the workpiece be moved between the transfer chamber and the process chamber can. The system further includes a process gas control arrangement to Supply process gas to the process chamber at least during one Plasma treatment process at a given flow rate, and the is able to process gas at a maximum flow rate too deliver.

Gemäß einem Aspekt der vorliegenden Erfindung werden der Transferkammerdruck und der Prozesskammerdruck auf einen Behandlungsdruck angeglichen, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll. Das Werkstück wird von der Transferkammer in die Prozesskammer bei dem Behandlungsdruck transferiert. Das Werkstück wird auf eine Behandlungstemperatur vorgeheizt im Zusammenarbeit mit dem Erhöhen des Prozesskammerdrucks auf einen Vorheizdruck bei einer Druckanstiegsrate, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, welche bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen. Der Prozesskammerdruck wird auf den Behandlungsdruck reduziert. Das Werkstück wird dem Plasmabehandlungsprozess wenigstens ungefähr bei dem Behandlungsdruck und der Behandlungstemperatur ausgesetzt.According to one Aspect of the present invention will be the transfer chamber pressure and the process chamber pressure is adjusted to a treatment pressure, where the workpiece to be exposed to a plasma treatment process. The workpiece becomes from the transfer chamber into the process chamber at the treatment pressure transferred. The workpiece is preheated to a treatment temperature in cooperation with the increase the process chamber pressure to a preheat pressure at a pressure rise rate, at least in part, using an additional process chamber gas input flow at an input flow rate which causes a total input rate to the process chamber is greater than the maximum flow rate, without increasing the transfer chamber pressure. The process chamber pressure is reduced to the treatment pressure. The workpiece is the Plasma treatment process at least approximately at the treatment pressure and the treatment temperature exposed.

Gemäß einem anderen Aspekt der vorliegenden Erfindung werden der Transferkammerdruck und der Prozesskammerdruck auf einen Vorheizdruck angeglichen, bei dem das Werkstück auf eine Behandlungstemperatur erwärmt werden soll. In Zusammenarbeit mit dem Angleichen des Transferkammerdrucks und des Prozesskammerdrucks wird das Werkstück von der Transferkammer zu der Prozesskammer transferiert. Das Werkstück wird bei dem Vorheizdruck in der Prozesskammer auf eine Behandlungstemperatur vorgeheizt. Der Prozesskammerdruck wird auf den Behandlungsdruck reduziert während die Transferkammer wenigstens ungefähr auf dem Vorheizdruck bleibt. Das Werkstück wird dem Plasmabehandlungsprozess ausgesetzt bei wenigstens ungefähr dem Behandlungsdruck und der Behandlungstemperatur. Der Prozesskammerdruck wird dann auf den Vorheizdruck bei einer Druckanstiegsrate erhöht, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate in die Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu er höhen, für den Transfer des Werkstücks zu der Transferkammer bei dem Vorheizdruck.According to one Another aspect of the present invention is the transfer chamber pressure and the process chamber pressure equalized to a preheating pressure, wherein the workpiece to be heated to a treatment temperature. In cooperation with the matching of the transfer chamber pressure and the process chamber pressure becomes the workpiece transferred from the transfer chamber to the process chamber. The workpiece becomes at the preheating pressure in the process chamber to a treatment temperature preheated. The process chamber pressure is adjusted to the treatment pressure reduced during the transfer chamber remains at least approximately at the preheat pressure. The workpiece is subjected to the plasma treatment process at at least about the treatment pressure and the treatment temperature. The process chamber pressure is then increased to the preheating pressure at a pressure rise rate, the at least in part, using an additional process chamber gas input flow at an input flow rate that causes an entire Input rate into the process chamber is greater than the maximum flow rate, without the transfer chamber pressure he heights, for the transfer of the workpiece to the Transfer chamber at the preheating pressure.

In einer Ausgestaltung ist eine Nachfüllreservoiranordnung zur wahlweisen Druckkommunikation mit der Prozesskammer konfiguriert zur Verwendung beim wahlweisen Nachfüllen des Prozesskammerdrucks von dem Behandlungsdruck zu dem Vorheizdruck.In In one embodiment, a refill reservoir arrangement is optional Pressure communication with the process chamber configured for use with optional refilling the process chamber pressure from the treatment pressure to the preheating pressure.

Gemäß noch einem anderen Aspekt der vorliegenden Erfindung wird bei Druckisolierung von der Prozesskammer der Transferkammerdruck auf einen ausgewählten Druckwert geändert, der größer ist als ein Vorheizdruck, bei dem das Werkstück wenigstens ungefähr auf eine Behandlungstemperatur geheizt werden soll. Mit der Prozesskammer anfänglich wenigstens ungefähr auf einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, wird Druck zwischen der Transferkammer und der Prozesskammer angeglichen, so dass der ausgewählte Druck die Prozesskammer wenigstens ungefähr auf den Vorheizdruck nachfüllt. In Zusammenarbeit mit dem Angleichen des Drucks auf den Vorheizdruck wird das Werkstück von der Transferkammer zu der Prozesskammer bewegt. Das Werkstück wird wenigstens ungefähr auf eine Behandlungstemperatur bei dem Vorheizdruck in der Prozesskammer vorgeheizt. Der Prozesskammerdruck wird auf den Behandlungsdruck reduziert bei Druckisolierung von dem Transferkammerdruck. Das Werkstück wird dem Plasmabehandlungsprozess ausgesetzt wenigstens ungefähr bei dem Behandlungsdruck und bei der Behandlungstemperatur.According to one more Another aspect of the present invention is in pressure isolation from the process chamber the transfer chamber pressure to a selected pressure value changed, which is bigger as a preheating pressure, wherein the workpiece is at least approximately one Treatment temperature to be heated. With the process chamber initially at least about at a treatment pressure lower than the preheating pressure, pressure is equalized between the transfer chamber and the process chamber, so that the selected Pressure refills the process chamber at least approximately to the preheat pressure. In Collaboration with adjusting the pressure on the preheating pressure becomes the workpiece moved from the transfer chamber to the process chamber. The workpiece becomes at least about to a treatment temperature at the preheat pressure in the process chamber preheated. The process chamber pressure is adjusted to the treatment pressure reduced in pressure isolation of the transfer chamber pressure. The workpiece becomes the plasma treatment process at least approximately at Treatment pressure and at the treatment temperature.

Gemäß noch einem anderen Aspekt der vorliegenden Erfindung wird wenigstens der Prozesskammerdruck manipuliert und das Werkstück wird in Zusammenarbeit damit zwischen der Transferkammer und der Prozesskammer bewegt, so dass das Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Steigern des Heizens des Werkstücks auf eine Behandlungstemperatur, und so dass das Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt wird, wenigstens ungefähr bei einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht wurde, auf eine Weise, die einen maximalen Behandlungskammerdruck produziert von nicht mehr als ungefähr dem Vorheizdruck unter Verwendung eines Wertes des Vorheizdrucks, der kleiner ist als der Atmosphärendruck, aber größer als der Behandlungsdruck, und Verwenden einer Rate der Druckzunahme, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, welche bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen.According to one more Another aspect of the present invention will be at least the process chamber pressure manipulated and the workpiece In cooperation with the Transfer Chamber and the Process chamber moves so that the workpiece has a preheat pressure in the Process chamber is exposed for use in increasing the heating of the workpiece to a treatment temperature, and so that the workpiece a Treatment process is exposed in the process chamber, at least approximately at a treatment pressure lower than the preheating pressure, after at least about the treatment temperature has been reached in a way that has a maximum treatment chamber pressure produced by no more than about the preheat pressure using a value of preheat pressure that is less than the atmospheric pressure, but bigger than the treatment pressure, and using a rate of pressure increase, at least in part, using an additional process chamber gas input flow at an input flow rate which causes a total input rate to the process chamber is greater than the maximum flow rate, without increasing the transfer chamber pressure.

Gemäß einem weiterführenden Aspekt der vorliegenden Erfindung wird zum Prozessieren einer Vielzahl von Werkstücken wenigstens der Prozesskammerdruck manipuliert und ein erstes der Werkstücke wird zwischen der Transferkammer und der Prozesskammer bewegt, so dass das erste Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen des ersten Werkstücks auf eine Behandlungstemperatur und so, dass das erste Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt wird, wenigstens ungefähr bei einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht wurde, auf eine Weise, die einen maximalen Prozesskammerdruck von nicht mehr als ungefähr dem Vorheizdruck produziert unter Verwendung eines Wertes des Vorheizdrucks, der kleiner ist als der Atmosphärendruck, aber größer als der Behandlungsdruck. Werkstücke werden behandelt, indem damit fort gefahren wird, den Transferkammerdruck, den Prozesskammerdruck zu manipulieren und in Zusammenarbeit damit durch Bewegen eines jeden der nachfolgenden Werkstücke zwischen der Transferkammer und der Prozesskammer und Verwenden einer Rate der Druckzunahme, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen. Gemäß einem Merkmal können mehrere Werkstücke gleichzeitig transferiert und prozessiert werden.According to one secondary Aspect of the present invention is for processing a variety of workpieces at least the process chamber pressure manipulated and a first of the workpieces is moved between the transfer chamber and the process chamber, so that the first workpiece a preheating pressure in the process chamber is exposed for use when heating the first workpiece to a treatment temperature and so that the first workpiece a Treatment process is exposed in the process chamber, at least approximately at a treatment pressure lower than the preheating pressure, after at least about the treatment temperature has been reached in a way that has a maximum process chamber pressure of not more than about the preheat pressure produced using a value of preheat pressure, the is less than the atmospheric pressure, but bigger than the treatment pressure. workpieces are handled by continuing the transfer chamber pressure, to manipulate the process chamber pressure and in cooperation with it by moving each of the subsequent workpieces between the transfer chamber and the process chamber and using a rate the increase in pressure, at least in part, from using an extra Process chamber gas input flow at an input flow rate results, which causes a total input rate to the process chamber is larger as the maximum flow rate without increasing the transfer chamber pressure. According to one Feature can several workpieces be transferred and processed simultaneously.

Gemäß einem weiteren Aspekt der vorliegenden Erfindung wird eine Umführungsanordnung beschrieben, um wahlweise Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorzusehen zur Verwendung bei der Produktion eines Druckangleichs zwischen diesen getrennt von der Verwendung eines Absperrventils, durch welches das Werkstück zwischen der Transferkammer und der Prozesskammer hindurchgeht. Gemäß einem Merkmal bewirkt eine Steueranordnung, dass der Transferkammerdruck auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf einem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert, und der auch niedriger ist als ein Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll. Danach wird die Prozesskammer nachgefüllt durch Öffnen von wenigstens der Umführungsanordnung zwischen der Transferkammer und der Prozesskammer auf eine Weise, die bewirkt, dass sich der Prozesskammerdruck und der Behandlungskammerdruck wenigstens ungefähr auf den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Heizen des Werkstücks. Gemäß einem anderen Merkmal dient die Umführungsanordnung zum wahlweisen Vorsehen einer Druckkommunikation zwischen der Transferkammer und der Prozesskammer zur Verwendung bei der Druckangleichung zwischen diesen ohne dem Erfordernis, das Absperrventil zu verwenden.According to one Another aspect of the present invention is a Umführungsanordnung described to selectively print communication between the transfer chamber and to provide the process chamber for use in the production of a Druckangleichs between these separate from the use of a Shut-off valve, through which the workpiece between the transfer chamber and the process chamber passes. According to one feature causes a Control arrangement that the transfer chamber pressure increases to a selected value, the process chamber being at a treatment pressure lower is as the selected one Value, and which is also lower than a preheating pressure at which the workpiece to be heated to a treatment temperature. After that, the Refilled process chamber by opening at least the Umführungsanordnung between the transfer chamber and the process chamber in a manner which causes the process chamber pressure and the treatment chamber pressure at least about adjust to the preheating pressure for subsequent use in the Heating the workpiece. According to one another feature is the Umführungsanordnung for selectively providing pressure communication between the transfer chamber and the process chamber for use in pressure equalization between this without the need to use the shut-off valve.

Gemäß einer anderen Implementierung ist in einem System zum Behandeln von wenigstens einem Werkstück gemäß einem mehrstufigen Gesamtprozess, der das Werkstück in einer Prozesskammer auf eine Behandlungstemperatur bei einem Vorheizdruck vorheizt und anschließend das Werkstück einem Plasma bei einem Behandlungsdruck in der Prozesskammer und wenigstens ungefähr bei der Behandlungstemperatur aussetzt, wobei der Behandlungsdruck kleiner ist als der Vorheizdruck, so dass der Prozesskammerdruck von dem Behandlungsdruck wenigstens auf den Vorheizdruck erhöht werden muss an einer oder mehreren Stellen während des mehrstufigen Gesamtprozesses. Das System umfasst ferner eine Prozessgasregelanordnung zum Liefern von Prozessgas an die Prozesskammer wenigstens während das Werk stück dem Plasma ausgesetzt ist bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, eine Anordnung ist vorgesehen zur Verwendung beim Erhöhen des Prozesskammerdrucks vom Behandlungsdruck wenigstens auf den Vorheizdruck an der einen oder mehreren Stellen während des mehrstufigen Gesamtprozesses durch Liefern eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate, die bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate.According to one Another implementation is in a system for handling at least a workpiece according to one multi-stage overall process, the workpiece in a process chamber on Preheat a treatment temperature at a preheating and then the workpiece a plasma at a treatment pressure in the process chamber and at least about at the treatment temperature, the treatment pressure smaller than the preheat pressure, so the process chamber pressure be increased from the treatment pressure to at least the preheating pressure must be in one or more places during the multi-level overall process. The system further includes a process gas control arrangement for delivery of process gas to the process chamber at least while the work piece the plasma is exposed at a given flow rate, and capable of is to deliver the process gas at a maximum flow rate, one Arrangement is provided for use in increasing the process chamber pressure from the treatment pressure at least to the preheating pressure on the one or more places during of the multi-level overall process by providing an additional Process chamber gas inlet flow at an input flow rate, the causes a total input rate to the process chamber is greater as the maximum flow rate.

Kurze Beschreibung der ZeichnungenShort description the drawings

Die vorliegende Erfindung kann durch Bezugnahme auf die folgende ausführliche Beschreibung in Verbindung mit den Zeichnungen überstanden werden, die unten kurz beschrieben sind.The The present invention may be better understood by reference to the following detailed Description to be withstood in connection with the drawings below are briefly described.

1A ist eine schematische aufgeschnittene Ansicht, im Aufriss, eines Werkstückhandhabungssystems, das gemäß der vorliegenden Erfindung hergestellt ist, und in dem eine Nachfüllanordnung vorgesehen ist, um einen schnellen Druckanstieg in der Prozesskammer hervorzurufen; 1A Figure 3 is a schematic cut-away view, in elevation, of a workpiece handling system made in accordance with the present invention and in which a refill assembly is provided to cause a rapid pressure rise in the process chamber;

1B ist eine schematische aufgeschnittene Ansicht, im Aufriss, einer anderen Ausführung eines Werkstückhandhabungssystems, das gemäß der vorliegenden Erfindung hergestellt ist, in dem eine Umführanordnung vorgesehen ist zur Verwendung beim Nachfüllen der Prozesskammer von der Transferkammer; 1B Figure 3 is a schematic cut-away view, in elevation, of another embodiment of a workpiece handling system made in accordance with the present invention in which a bypass assembly is provided for use in refilling the process chamber from the transfer chamber;

2 ist ein Flussdiagramm das eine Weise darstellt, in dem das System der 1A verwendet werden kann, bei der die Transferkammer wenigstens ungefähr bei einem Behandlungsdruck verbleibt, dem das Werkstück während der Plasmabehandlung in der Prozesskammer ausgesetzt werden soll; 2 is a flowchart illustrating a way in which the system of the 1A can be used, wherein the transfer chamber remains at least approximately at a treatment pressure to which the workpiece is to be exposed during the plasma treatment in the process chamber;

3 ist ein Graph der Prozesskammerdruck, Nachfüllkammerdruck und Werkstücktemperatur über der Zeit darstellt, basierend auf dem Flussdiagramm der 2; 3 FIG. 12 is a graph illustrating process chamber pressure, backfill pressure, and workpiece temperature versus time based on the flowchart of FIG 2 ;

4 ist ein Flussdiagramm, das eine Weise darstellt, auf welche das System der 1A verwendet werden kann, bei der die Transferkammer wenigstens ungefähr bei einem Vorheizdruck verbleibt, dem das Werkstück in der Prozesskammer ausgesetzt werden soll, um das Heizen des Werkstücks zu steigern; 4 FIG. 11 is a flowchart illustrating a manner in which the system of FIG 1A can be used, wherein the transfer chamber remains at least approximately at a preheating pressure, to which the workpiece is to be exposed in the process chamber in order to increase the heating of the workpiece;

5 ist ein Graph, der Prozesskammerdruck, Nachfüllkammerdruck und Werkstücktemperatur über der Zeit darstellt, basierend auf dem Flussdiagrammen der 4; 5 FIG. 12 is a graph illustrating process chamber pressure, refill chamber pressure, and workpiece temperature versus time based on the flowcharts of the 4 ;

6 ist ein Flussdiagramm, das eine Weise darstellt, auf welche das System der 1B verwendet werden kann, so dass die Transferkammer die Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck nachfüllt; 6 FIG. 11 is a flowchart illustrating a manner in which the system of FIG 1B can be used so that the transfer chamber refills the process chamber from the treatment pressure to the preheat pressure;

7 ist eine schematische aufgeschnittene Ansicht, im Aufriss, von noch einer anderen Ausführung eines Werkstückhandhabungssystems, das gemäß der vorliegenden Erfindung hergestellt ist, in dem duale Prozessstationen zusammen mit einer Umführungsanordnung und Nachfüllanordnung vorgesehen sind, von denen jede einzelne oder beide zum Nachfüllen der Prozesskammer verwendet werden kann oder können. 7 is a schematic cutaway view, in elevation, of yet another embodiment of a workpiece handling system made in accordance with the present invention, in FIG the dual process stations are provided together with a Umführungsanordnung and Nachfüllanordnung, each of which can or can be used to refill the process chamber each or both.

Detaillierte Beschreibungdetailed description

Die folgende Beschreibung wird dargelegt, um einen Fachmann zu befähigen, die Erfindung auszuführen und zu verwenden, und sie wird im Zusammenhang mit einer Patentanmeldung und deren Erfordernissen dargelegt. Verschiedene Modifikationen der beschriebenen Ausführungen werden für den Fachmann leicht ersichtlich sein und deren hierin enthaltene allgemeine Prinzipien können auf andere Ausführungen angewendet werden. Somit ist nicht beabsichtigt die vorliegende Erfindung auf das gezeigte Ausführungsbeispiel zu beschränken, sondern sie soll dem breitesten Umfang entsprechen, der mit den hierin beschriebenen Prinzipien und Merkmalen im Einklang steht. Es wird bemerkt, dass die Zeichnungen nicht maßstäblich sind und dass sie von schematischer Natur sind.The The following description is set forth to enable one skilled in the art to To carry out invention and to use, and it will be related to a patent application and their requirements. Various modifications the described embodiments be for the expert be readily apparent and their general principles contained herein can on other designs be applied. Thus, the present is not intended Invention on the embodiment shown restrict, but it should correspond to the widest extent, which coincides with the consistent with the principles and characteristics described herein. It is noted that the drawings are not to scale and that they are more schematic Nature are.

1A ist eine schematische Ansicht, im Aufriss, eines Halbleiterwerkstückprozessiersystems, das allgemein mit der Bezugszahl 10 bezeichnet ist, gemäß einer Ausführung der vorliegenden Erfindung. Während das System 10 ein System repräsentiert, das für die Ausführung der vorliegenden Erfindung nützlich ist, ist es selbstverständlich, dass die vorliegende Erfindung in Verbindung mit einer Vielzahl von Systemkonfigurationen verwendet werden kann, und die vorliegend dargestellt Ausführung nicht als beschränkend beabsichtigt ist. Wie noch gesehen werden wird, kann die vorliegende Erfindung unter Verwendung irgendeines Systems betrieben werden, das wenigstens angemessene wahlweise und getrennte Druckvariationsfähigkeiten wenigstens in dessen Prozesskammer hat. Selbstverständlich muss es eine Einrichtung zum Transferieren von Werkstücken zwischen der Transfer- und Prozesskammer geben. Das System kann konfiguriert sein, um viele alternative Merkmale aufzunehmen, die mit der Ausführung der vorliegenden Erfindung kompatibel sind, und die typischerweise mit Aufbauten hohen Durchsatzes verbunden sind, einschließlich zum Beispiel der Verwendung von einer oder mehreren Werkstückkassetten, dualen Werkstückprozessierpositionen in deren Prozesskammer und einer oder mehreren Ladeschleusen. Das U.S. Patent Nr. 6,315,512 dient als ein Beispiel, in dem solche Merkmale beschrieben sind, und es wird durch Bezugnahme zur Gänze hierin aufgenommen. Es wird erachtet, dass ein Fachmann ein System, das solche Merkmale hat, zur Verwendung bei der Ausführung der vorliegenden Erfindung in Anbetracht der gesamten Offenbarung der vorliegenden Anmeldung leicht anpassen kann. Es wird angemerkt, dass in dieser Offenbarung wenn möglich durchweg gleiche Bezugszahlen gleichen Elementen zugeordnet wurden. 1A FIG. 12 is a schematic, elevational, view of a semiconductor workpiece processing system generally designated by the numeral 10 is designated according to an embodiment of the present invention. While the system 10 While it is to be understood that while a system useful in the practice of the present invention is to be understood, the present invention may be used in conjunction with a variety of system configurations, and the embodiment illustrated herein is not intended to be limiting. As will be seen, the present invention can be operated using any system having at least adequate selective and separate pressure variation capabilities at least in its process chamber. Of course, there must be a means for transferring workpieces between the transfer and processing chambers. The system may be configured to accommodate many alternative features that are compatible with the practice of the present invention, and that are typically associated with high throughput structures, including, for example, the use of one or more workpiece cartridges, dual workpiece processing positions in their process chamber, and one or multiple load locks. US Pat. No. 6,315,512 serves as an example in which such features are described, and is incorporated herein by reference in its entirety. It is considered that one skilled in the art can readily adapt a system having such features for use in practicing the present invention in light of the overall disclosure of the present application. It is noted that throughout this disclosure, where possible, like reference numerals have been assigned to like elements.

Mit weiterführender Bezugnahme auf die 1A, umfasst das System 10 eine Transferkammer 12, die nur teilweise gezeigt ist, die einen darin positionierten Roboter 14 hat. Der Roboter 14 umfasst einen Arm 18 und einen Endeffektor (Schaufel) 20, der von dem Arm 18 bewegt wird. Zum Zwecke des vorliegenden Beispiels wird das System 10 in dem Zusammenhang des Entfernens einer Photolackschicht oder Musters 22 (in der Dicke außerordentlich übertrieben) von einem Halbleiterwerkstück 30 beschrieben, obwohl der Fachmann anerkennen wird, dass dieses System für die Anwendung von anderen Prozessen leicht verwendbar ist, einschließlich, aber nicht auf diese beschränkt, auf verschiedene Ausführungen von chemischer Dampfabscheidung, Abscheidung atomarer Schichten und Plasmaätzen, wobei das Werkstück verschiedenen Drücken an verschiedenen Prozessstellen ausgesetzt wird. Dementsprechend wird zum Zwecke des vorliegenden Beispiels eine Plasmaprozesskammer 32 vorgesehen, die eine Plasmaquelle 34 hat wie zum Beispiel eine induktiv gekoppelte Plasmaquelle (ICP). Die Plasmaquelle 34 wird verwendet um ein Plasma 36 zu erzeugen, das für die Verwendung bei der Photolackentfernung geeignet ist. Andere geeignete Plasmaquellen umfassen, sind aber nicht auf diese beschränkt, Mikrowellenquellen, Oberflächenwellenplasmaquellen, ECR-Plasmaquellen, kapazitiv gekoppelte (parallele Platte) Plasmaquellen. Es wird angemerkt, dass die Ausdrücke „Prozessierkammer" oder „Prozesskammer" auf eine Anordnung zum Aufnehmen eines Werkstückes in Verbindung mit einer Behandlungsquelle, wie zum Beispiel einer Plasmaquelle, verweisen können.With further reference to the 1A , includes the system 10 a transfer chamber 12 which is only partially shown, the one robot positioned therein 14 Has. The robot 14 includes an arm 18 and an end effector (bucket) 20 that of the arm 18 is moved. For purposes of the present example, the system will 10 in the context of removing a photoresist layer or pattern 22 (greatly exaggerated in thickness) from a semiconductor workpiece 30 although one skilled in the art will appreciate that this system is readily applicable to the use of other processes, including, but not limited to, various types of chemical vapor deposition, atomic layer deposition, and plasma etching, where the workpiece has different pressures at different process locations is suspended. Accordingly, for purposes of the present example, a plasma processing chamber will be described 32 provided that a plasma source 34 has, for example, an inductively coupled plasma source (ICP). The plasma source 34 is used for a plasma 36 which is suitable for use in photoresist removal. Other suitable plasma sources include, but are not limited to, microwave sources, surface wave plasma sources, ECR plasma sources, capacitively coupled (parallel plate) plasma sources. It is noted that the terms "processing chamber" or "process chamber" may refer to an assembly for receiving a workpiece in conjunction with a treatment source, such as a plasma source.

Die Plasmakammer 34 kann dazu verwendet werden, um Plasmen in einem beispielhaften Prozessfenster zu implementieren, wie zum Beispiel:
Prozessdruck: 0,2 bis 20 Torr (ungefähr 1 Torr wurde als brauchbar gefunden)
Plasma-Hochfrequenzleistung (pro Werkstück): 500 bis 5000 W (ungefähr 3000 W wurde als brauchbar gefunden)
Prozessgas(e): eine Kombination oder alle der folgenden Gase:

  • • O2-Fluss: 1000–20000 (5000–10000 sccm wurde als brauchbar gefunden)
  • • Ar, He, N2-Fluss: Getrennt oder kombiniert 50–5000 sccm (ungefähr 500 sccm wurde als brauchbar gefunden)
  • • Ha, D2, HD-Fluss: Getrennt oder kombiniert 1000–20000 sccm (ungefähr 10000 sccm wurde als brauchbar gefunden)
  • • Schutzgas (4–10% N2-Gleichgewicht N2): 1000–15000 sccm (ungefähr 10000 sccm wurde als brauchbar gefunden)
  • • Kohlenwasserstoffgas (niedriges Molekulargewicht): Wie zum Beispiel CH4, C2H4, C2H6, C3H8, C4H10, usw. – Fluss 1000–10000 sccm (CH4 mit einem Fluss von ungefähr 5000 sccm wurde als brauchbar gefunden)
  • • Halogenkohlenwasserstoff enthaltendes Gas: Wie zum Beispiel CF4, C2F6, C4F6, C4F6, c-C4F8, CHF3, CH2F2, CH3F, C2HF5, C2H2F4, ClCF3, Cl2CF2, usw. – Fluss weniger als ungefähr 300 sccm
  • • HF-Fluss: weniger als ungefähr 300 sccm
  • • N2O-Fluss; 50–5000 sccm
  • • NH3-Fluss: 50–5000 sccm
The plasma chamber 34 can be used to implement plasmas in an exemplary process window, such as:
Process pressure: 0.2 to 20 torr (about 1 torr was found to be useful)
Plasma high frequency power (per workpiece): 500 to 5000 W (about 3000 W was found to be useful)
Process gas (s): a combination or all of the following gases:
  • O 2 flow: 1000-20000 (5000-10000 sccm was found to be useful)
  • • Ar, He, N 2 flow: separated or combined 50-5000 sccm (about 500 sccm was found to be useful)
  • • Ha, D 2 , HD flow: Separate or combined 1000-20000 sccm (approximately 10000 sccm was found to be useful)
  • Inert gas (4-10% N 2 equilibrium N 2 ): 1000-15000 sccm (about 10000 sccm was found to be useful)
  • • hydrocarbon gas (low molecular weight weight): such as CH 4 , C 2 H 4 , C 2 H 6 , C 3 H 8 , C 4 H 10 , etc. - Flow 1000-10000 sccm (CH 4 with a flow of about 5000 sccm was found to be useful found)
  • • Halocarbon-containing gas: such as CF 4 , C 2 F 6 , C 4 F 6 , C 4 F 6 , cC 4 F 8 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , ClCF 3 , Cl 2 CF 2 , etc. - flow less than about 300 sccm
  • • RF flux: less than about 300 sccm
  • • N 2 O flow; 50-5000 sccm
  • • NH 3 flow: 50-5000 sccm

Beim Entfernen von Photolack in einem Trockenprozess ist es bekannt, dass die Entfernungsrate des Photolacks mit Zunahme der Temperatur des Werkstücks, während es dem Plasma ausgesetzt ist, zunimmt. Zum Beispiel wird Heizen des Werkstücks auf eine Temperatur in einem Bereich von ungefähr 150°C bis 350°C in Erwägung gezogen, um einen höheren Werkstückdurchsatz zu erreichen.At the Removing photoresist in a dry process is known that the removal rate of the photoresist increases with temperature of the workpiece, while it is exposed to the plasma increases. For example, heating is going on of the workpiece a temperature in a range of about 150 ° C to 350 ° C is considered, to a higher workpiece throughput to reach.

Fortfahrend mit der Beschreibung der 1A wird das Werkstück 30 von einem Suszeptor 38 getragen. Der Suszeptor ist für das Heizen des Werkstücks auf die gewünschte Temperatur nützlich. Aufgrund von Einschränkungen beim Erhöhen der Temperatur des Suszeptors wurde es als praktisch gefunden, den Suszeptor während des gesamten Prozesszyklus auf einer festen Temperatur zu halten. Alternativ dazu kann der Suszeptor wenigstens vor einem Prozesszyklus vorgeheizt werden. Der Suszeptor kann auf einer Temperatur bei oder zwischen 90°C und 400°C gehalten oder vorgeheizt werden. Ungefähr 300°C wurden als brauchbar gefunden, obwohl niedrigere Werte verwendet werden können, um zusätzliches Heizen anzupassen, was aus der Plasmaaussetzung und/oder thermischen Einschränkungen des Materials/Gerätes resultiert. Jede geeignete Form eines Suszeptors kann verwendet werden, wie zum Beispiel eine geeignete Platte. Hubstifte 50 werden in Zusammenarbeit mit dem Roboter 14 verwendet zum Zwecke des Bewegens des Werkstücks 30, wobei die Hubstifte verwendet werden, um das Werkstück oberhalb des Endeffektors 20 und dem Suszeptor 38 wie benötigt zu positionieren und zu bewegen (nicht gezeigt). Die Hubstifte sind in einer zurückgezogenen Position gezeigt und der Endeffektor 20 ist in die Transferkammer 12 zurückgezogen gezeigt, so dass das Werkstück 30 auf dem Suszeptor 38 getragen ist. Ein Durchgang 42 ist zwischen der Transferkammer 12 und der Prozesskammer 32 definiert, so dass der Roboter 14 Werkstücke dort hindurch transferieren kann. Ein Absperrventil 44, wie zum Beispiel ein Vakuumabsperrdurchgangsventil oder Schlitzventil wird verwendet, um den Durchgang 42 wahlweise entweder vollständig oder teilweise zu verschließen (zum Beispiel für den Fall des Vorsehens einer Druckkommunikation zu Angleichungszwecken zwischen den beiden Kammern). In dem vorliegenden Beispiel wird das Ventil 44 unter Verwendung einer zusammengesetzten Bewegung in Richtungen geöffnet, die durch einen Pfeil 45 angezeigt sind, der einen Rechtsknick in seinem Schaft hat. Eine Auslassöffnung 46 wird beim Produzieren eines Vakuums in der Prozesskammer verwendet in Zusammenarbeit mit einer passenden Kanfiguration. Abgas 48 fließt in einer Richtung, die durch einen Pfeil bezeichnet ist.Continuing with the description of the 1A becomes the workpiece 30 from a susceptor 38 carried. The susceptor is useful for heating the workpiece to the desired temperature. Due to limitations in increasing the temperature of the susceptor, it has been found convenient to maintain the susceptor at a fixed temperature throughout the process cycle. Alternatively, the susceptor may be preheated at least one process cycle. The susceptor may be maintained at a temperature at or between 90 ° C and 400 ° C or preheated. About 300 ° C has been found useful, although lower values may be used to accommodate additional heating, resulting in plasma exposure and / or thermal limitations of the material / equipment. Any suitable form of susceptor may be used, such as a suitable plate. Lift pins 50 be in collaboration with the robot 14 used for the purpose of moving the workpiece 30 wherein the lift pins are used to move the workpiece above the end effector 20 and the susceptor 38 as needed to position and move (not shown). The lift pins are shown in a retracted position and the end effector 20 is in the transfer chamber 12 shown withdrawn, leaving the workpiece 30 on the susceptor 38 worn. A passage 42 is between the transfer chamber 12 and the process chamber 32 defined, so the robot 14 Workpieces can transfer through there. A shut-off valve 44 such as a vacuum shut-off valve or slit valve is used to restrict the passage 42 optionally either completely or partially closing (for example, in the case of providing pressure communication for equalization purposes between the two chambers). In the present example, the valve 44 opened using a compound movement in directions indicated by an arrow 45 are displayed, which has a right bend in its shaft. An outlet opening 46 is used when producing a vacuum in the process chamber in cooperation with a suitable configuration. exhaust 48 flows in a direction indicated by an arrow.

Die Plasmakammer oder Modul 34 umfasst einen Gasverteiler 50, der Eingänge aus einem Prozessgaseingang 52 und einer Nachfüllanordnung 54 erhält. Die letztere liefert einen Eingang an den Verteiler 50 bei einem Nachfülleinlass 56. Es wird angemerkt, dass die Eingänge 52 miteinander in einer „T"-Konfiguration verbunden werden können, um einen einzigen Gaseingang zu teilen, um das Erfordernis eines dualen Einlgangsverteilers 50 zu umgehen. Der Begriff "Nachfüll" wird verwendet, um damit das Bringen eines niedrigeren Drucks auf einen höheren Druck zu beschreiben als ein Ergebnis der Kommunikation mit einem anfänglich höheren Druck. Es wird angemerkt, dass der Verteiler ausgelegt worden ist, um den Druck in der Plasmaquelle 34 gleichmäßig zu verteilen und um die Möglichkeit zu minimieren, dass Plasma in den Gasverteiler 50 und die Gaseingangsleitungen 52 und 56 zurückfließt. Prozessgase 58 weisen im Allgemeinen die Gasmischungen auf, die für die Plasmaerzeugung verwendet werden, obwohl Gase auch über den Prozessgaseingang 52 zum Zwecke der Steigerung der Temperaturheraufsetzung des Werkstücks 30 eingeführt werden können. In diesem Zusammenhang werden die Prozessgase mittels eines MFC (Massendurchflussregler – Mass Flow Controller) 60 geregelt, der zum Zwecke des Regelns des Eingangs von Prozessgasen mit einem höheren Genauigkeitsgrad vorgesehen ist, während das Werkstück dem Plasma tatsächlich ausgesetzt ist, um die Eigenschaften des Plasmas zu steuern. Während der MFC eine solche genaue Steuerung liefert, ist die Flussrate ganz niedrig. Ferner sind Geräte wie das MFC durch einen maximalen Flusswert gekennzeichnet, der typischerweise niedrig ist. Daher wird anerkannt, dass in Bezug auf den Zufluss eine beträchtliche Einschränkung auferlegt wird, wenn man sich nur auf den MFC verlässt. Der Nachfülleingang 56 führt zu einem Nachfüllventil 66, das wiederum mit einer Nachfüllballastkammer 68 verbunden ist. Einzelheiten in Bezug auf das Volumen und die Betriebsweise der Nachfüllanordnung 54 werden unten geliefert. Für den Augenblick ist es ausreichend zu bemerken, dass der Nachfülleingang 56, das Ventil 66, die Nachfüllkammer 68 und alle zugehörigen Rohrleitungen ausgelegt sein sollten, um einen schnellen Druckanstieg in der Prozesskammer 32 zu fördern, zum Beispiel von einem niedrigen Plasmabehandlungsdruck zu einem höheren Werkstückvorheizdruck entweder allein oder in Zusammenarbeit mit dem Prozessgaseingang 52. Eine Nachfüllkammerleitung 70 führt von einem Nachfüllversorgungsventil 72 zur Nachfüllkammer 68. Eine entsprechende Versorgungsleitung 74 ist mit einem Eingang des Nachfüllversorgungsventils 72 verbunden. Nachfüllgas 76 ist mit einem Pfeil bezeichnet. Druck in der Nachfüllkammer 68 wird unter Verwendung eines Drucksensors 78 überwacht. Auf diese Weise kann ein bestimmter Ausgangsdruck in der Nachfüllkammer erreicht werden, bevor die Prozesskammernachfüllung in Zusammenarbeit mit der Verwendung des Versorgungsventils 72 eingeleitet wird. Alternativ dazu kann, um einen gesteuerten Druckzustand in der Nachfüllkammer sicher zu stellen, ein Massendurchflussregler (nicht gezeigt) verwendet werden, um Gas in die Nachfüllkammer über bekannte Zeitperioden zu dosieren. Es wird erachtet, dass ein Fachmann diese Nachfüllanordnung in Anbetracht dieser gesamten Offenbarung leicht implementieren kann. Ein Gasverteiler 79 ist zwischen der Plasmakammer 34 und der Prozesskammer 32 zum Zwecke des Steigerns der Prozessgleichförmigkeit angeordnet, jedoch ist der Gasverteiler keine Notwendigkeit.The plasma chamber or module 34 includes a gas distributor 50 , the inputs from a process gas inlet 52 and a refill assembly 54 receives. The latter provides an input to the distributor 50 at a refill inlet 56 , It is noted that the inputs 52 can be connected to each other in a "T" configuration to share a single gas inlet to meet the requirement of a dual in-line distributor 50 to get around. The term "refill" is used to refer to bringing a lower pressure to a higher pressure than a result of communication with an initially higher pressure. It is noted that the manifold has been designed to withstand the pressure in the plasma source 34 evenly distributed and to minimize the possibility that plasma in the gas distributor 50 and the gas input lines 52 and 56 flowing back. process gases 58 generally have the gas mixtures used for plasma generation, although gases also pass through the process gas inlet 52 for the purpose of increasing the temperature increase of the workpiece 30 can be introduced. In this context, the process gases are generated by means of an MFC (Mass Flow Controller - Mass Flow Controller) 60 regulated for the purpose of regulating the input of process gases with a higher degree of accuracy, while the workpiece is actually exposed to the plasma to control the properties of the plasma. While the MFC provides such precise control, the flow rate is quite low. Furthermore, devices such as the MFC are characterized by a maximum flow value, which is typically low. Therefore, it is recognized that there is a significant restriction on the inflow, if one relies only on the MFC. The refill entrance 56 leads to a refill valve 66 , in turn, with a refill ballast chamber 68 connected is. Details relating to the volume and operation of the refill assembly 54 will be delivered below. For the moment it is sufficient to note that the refill entrance 56 , the valve 66 , the refill chamber 68 and all associated piping should be designed to allow a rapid pressure rise in the process chamber 32 For example, from a low plasma treatment pressure to a higher workpiece preheat pressure either alone or in cooperation with the process gas inlet 52 , A refill chamber merleitung 70 leads from a refill supply valve 72 to the refill chamber 68 , A corresponding supply line 74 is with an input of the refill supply valve 72 connected. refill gas 76 is indicated by an arrow. Pressure in the refill chamber 68 is done using a pressure sensor 78 supervised. In this way, a certain output pressure in the refill chamber can be achieved before the process chamber replenishment in cooperation with the use of the supply valve 72 is initiated. Alternatively, to ensure a controlled pressure condition in the refill chamber, a mass flow controller (not shown) may be used to meter gas into the refill chamber over known time periods. It is considered that one skilled in the art can easily implement this refill assembly in light of this entire disclosure. A gas distributor 79 is between the plasma chamber 34 and the process chamber 32 for the purpose of increasing process uniformity, however, the gas distributor is not a necessity.

Verwendet man die Nachfüllanordnung 54 entweder allein oder in Zusammenarbeit mit dem Prozessgas-MFC 60, so wird erachtet, dass Prozesskammeranstiegsraten von mehr als 15 Torr pro Sekunde produziert werden können. Sogar Anstiegsraten von 150 Torr pro Sekunde und mehr werden als durchführbar erachtet.If one uses the refill arrangement 54 either alone or in collaboration with the process gas MFC 60 it is considered that process chamber rise rates of more than 15 Torr per second can be produced. Even rates of 150 Torr per second and more are considered feasible.

Die Aufmerksamkeit wird nun auf die 1B gelenkt, die schematisch eine modifizierte Systemimplementierung darstellt, die allgemein mit der Bezugszahl 80 bezeichnet ist. Das System 80 ähnelt dem System 10 der 1A mit der Ausnahme, dass die Nachfüllanordnung 54 nicht erfordert wird und ein einzelner Eingangsgasverteiler (nicht gezeigt) verwendet wird. Ferner ist eine Druckumführungsanordnung 82 dargestellt zum wahlweisen Vorsehen einer Druckkommunikation zwischen der Transferkammer 12 und der Prozesskammer 32. Die Umführungsanordnung 82 umfasst Rohrleitungen 84 und ein Umführungsventil 86. Das letztere kann auf irgendeine geeignete Weise geöffnet werden, um eine gewünschte Druckprofilangleichung zwischen der Prozess- und der Transferkammer zu bewerkstelligen. Alle Komponenten der Umführungsanordnung 82 können so abgemessen werden, dass sie die Implementierung einer raschen Druckangleichung zwischen den beiden Kammern bei einer Rate von wenigstens 15 Torr pro Sekunde erlauben. Raten von 150 Torr pro Sekunde oder mehr werden als durchführbar erachtet. Dementsprechend kann eine Überführung von weniger als 1 Torr zu 60 Torr als eine einfache Leistungsgrenze in weniger als 4 Sekunden ausgeführt werden. Natürlich werden weit kürzere Überführungszeiten mit weniger als 2 Sekunden in Erwägung gezogen, die für die gleiche Druckänderung bereits gezeigt worden sind. Die Steuerung der Systeme 10 und 80 kann von einem Fachmann und in Anbetracht dieser gesamten Offenbarung leicht bewerkstelligt werden, zum Beispiel durch Verwenden eines Computers 90 und geeigneter Druck und/oder Gasflusssteuersensoren auf eine Weise, welche die Implementierung aller hierin ein Erwägung gezogener Prozeduren berücksichtigt. Ein anderer Unterschied im System 80 liegt im Vorsehen eines MFC 92, der einen Eingang 94 hat, der mit einer geeigneten Gasversorgung und einem Auslass 96 verbunden ist, der in die Transferkammer 12 mündet. Der Zweck des MFC 92 wird unten beschrieben. Es wird angemerkt, dass der Druck in der Transferkammer 12 auf alternative Weisen gesteuert werden kann. Als nicht einschränkende Beispiele kann ein Drucksensor verwendet werden in Kombination mit einem Gasfluss-Absperrventil oder einem Drosselventil in Druckkommunikation mit der Transferkammer.Attention is now on the 1B which schematically illustrates a modified system implementation generally indicated by the reference numeral 80 is designated. The system 80 is similar to the system 10 of the 1A with the exception that the refill arrangement 54 is not required and a single input gas manifold (not shown) is used. Further, a pressure circulation arrangement 82 illustrated for selectively providing pressure communication between the transfer chamber 12 and the process chamber 32 , The Umführungsanordnung 82 includes piping 84 and a bypass valve 86 , The latter may be opened in any suitable manner to accomplish a desired pressure profile match between the process and transfer chambers. All components of the Umführungsanordnung 82 can be metered to allow the implementation of rapid pressure equalization between the two chambers at a rate of at least 15 Torr per second. Rates of 150 Torr per second or more are considered feasible. Accordingly, a conversion of less than 1 Torr to 60 Torr can be performed as a simple performance limit in less than 4 seconds. Of course, far shorter transfer times of less than 2 seconds have been considered, which have already been demonstrated for the same pressure change. The control of the systems 10 and 80 can be easily accomplished by one skilled in the art and in light of this entire disclosure, for example by using a computer 90 and appropriate pressure and / or gas flow control sensors in a manner that takes into account the implementation of all procedures considered herein. Another difference in the system 80 lies in the provision of an MFC 92 , the one entrance 94 has that with a suitable gas supply and an outlet 96 connected to the transfer chamber 12 empties. The purpose of the MFC 92 is described below. It is noted that the pressure in the transfer chamber 12 can be controlled in alternative ways. As non-limiting examples, a pressure sensor may be used in combination with a gas flow stop valve or throttle valve in pressure communication with the transfer chamber.

Wendet man sich nun den 2 und 3 in Verbindung mit der 1A zu, wird nun eine erste Betriebsweise, in weicher das System 10 verwendet werden kann, beschrieben. 2 ist ein Flussdiagramm das die verschiedenen Schritte dieser Betriebsweise darstellt, die allgemein mit der Bezugszahl 100 bezeichnet ist, während 3 ein Graph des Prozesskammerdrucks und der Werkstücktemperatur über der Zeit ist. Es wird mit Bezug auf alle hierin beschriebenen Graphen angemerkt, einschließlich desjenigen der 3, dass während spezifische Zeit-, Druck- und Temperaturwerte dargestellt sind, solche Werte keinesfalls als beschränkend gedacht sind, sondern ihrem Wesen nach beispielhaft sind. 2 kann wie andere unten beschriebene Figuren auf die Prozesskammer als „PK" und die Transferkammer als „TK" verweisen. Photolack kann als „PL" bezeichnet werden. In 3 stellt ein erster Graph 101a den Prozesskammerdruck 32 über der Zeit dar, ein zweiter Graph 101b stellt den Nachfüllkammerdruck 68 über der Zeit dar und ein dritter Graph 102 stellt die Werkstücktemperatur über der Zeit dar. In dieser Betriebsweise wird die Transferkammer 12 bei ungefähr demselben niedrigen Druck betrieben, der verwendet wird, um das Werkstück 30 und den auf diesem vorhandenen Photolack 22 dem Plasma auszusetzen. Dieser Druck wird hierein als ein Behandlungsdruck bezeichnet und ist in 3 mit P0 gekennzeichnet. Der Behandlungsdruck kann ein Bereich von ungefähr 0,01 bis 10 Torr sein, und er kann ungefähr 1 Torr sein. Zuerst wird ein Werkstück von der Transferkammer 12 zu der Prozesskammer 32 bewegt und auf dem Suszeptor 38 positioniert. Zu diesem Zeitpunkt wird das Absperr-/Durchlassventil 44 zwischen den beiden Kammern geöffnet (wie durch den Pfeil 56 angezeigt), sofern es nicht bereits offen ist. Im Zusammenhang mit dem Öffnen des Absperr-/Durchlassventils werden Hubstifte 40 über die obere Oberfläche des Suszeptors ausgefahren (sofern sie nicht bereits in der erhobenen/ausgefahrenen Position sind). Ebenfalls im Zusammenhang mit dem Öffnen des Absperr-/Durchlassventils wird ein Werkstück in die Prozesskammer eingesetzt und von dem Endeffektor 20 getragen, der an dem Roboterarm 18 angebracht ist. Nachdem das Werkstück eine gewünschte Position oberhalb der Hubstifte eingenommen hat, senkt der Endeffektor das Werkstück (z-Achsensteuerung des Roboterarms mit dem Endeffektor verbunden) auf die Hubstifte ab. Nachdem das Werkstück auf der Spitze der Hubstifte abgesetzt wurde, zieht der Roboterarm den Endeffektor 20 aus der Prozesskammer heraus. In zeitlicher Relation zu dem vollständigen Herausziehen des Endeffektors aus der Prozesskammer wird das Absperr-/Durchlassventil 44 geschlossen. Die vorangegangenen Schritte sollten dem Fachmann beim Bewerkstelligen der Bewegung des Werkstücks zu einer Behandlungsposition vertraut sein.Turning now to the 2 and 3 in conjunction with the 1A To, now becomes a first mode of operation, softening the system 10 can be used described. 2 Figure 3 is a flow chart illustrating the various steps of this mode of operation, generally indicated by the reference numeral 100 is designated while 3 is a graph of process chamber pressure and workpiece temperature over time. It will be noted with respect to all the graphs described herein, including that of U.S. Patent Nos. 4,674,866, 5,629,877, 5,329,877, 5,359,359, and 5,348,856 3 in that, while specific time, pressure and temperature values are shown, such values are by no means intended to be limiting, but are merely exemplary in nature. 2 like other figures described below, may refer to the process chamber as "PK" and the transfer chamber as "TK". Photoresist can be referred to as "PL" 3 represents a first graph 101 the process chamber pressure 32 over time, a second graph 101b Represents the refill chamber pressure 68 over time and a third graph 102 represents the workpiece temperature over time. In this mode, the transfer chamber 12 operated at about the same low pressure that is used to the workpiece 30 and on this existing photoresist 22 to expose to the plasma. This pressure is referred to herein as a treatment pressure and is in 3 marked with P 0 . The treatment pressure may be in the range of about 0.01 to 10 Torr, and may be about 1 Torr. First, a workpiece is transferred from the transfer chamber 12 to the process chamber 32 moved and on the susceptor 38 positioned. At this time, the shut-off / passage valve 44 opened between the two chambers (as indicated by the arrow 56 displayed), if it is not already open. Lifting pins are used in connection with the opening of the shut-off / flow-through valve 40 extended over the upper surface of the susceptor (unless already in the raised / extended NEN position). Also in connection with the opening of the shut-off / passage valve, a workpiece is inserted into the process chamber and from the end effector 20 worn on the robot arm 18 is appropriate. After the workpiece has assumed a desired position above the lift pins, the end effector lowers the workpiece (z-axis control of the robotic arm connected to the end effector) onto the lift pins. After the workpiece has settled on the top of the lift pins, the robotic arm pulls the end effector 20 out of the process chamber. In time relation to the complete withdrawal of the end effector from the process chamber is the shut-off / passage valve 44 closed. The foregoing steps should be familiar to those skilled in moving the workpiece to a treatment position.

Nachdem die anfängliche Werkstückpositionierung beschrieben wurde, wird im Schritt 103 der Betriebsweise 100 das Werkstück auf seine Behandlungsposition auf den Suszeptor 38 abgesenkt indem die Hubstifte 40 eingezogen werden, wie es in 1 der Fall ist. Die Werkstücktemperatur beträgt ungefähr T0 (siehe 3) zur Zeit t0 vor dem Heizen. Weil der Suszeptor bereits heiß ist, beginnt die Werkstücktemperatur von T0 an zu steigen sobald das Werkstück in der Nähe des geheizten Suszeptors ist, wobei der Suszeptor auf zwischen ungefähr 150°C und ungefähr 350°C geheizt ist. Der Werkstücktem peraturanstieg kann Strahlung, Gaskonvektion und dem Leitungsvermögen thermischer Transferprozesse zugeschrieben werden. Im Zusammenhang mit dem Werkstück, das auf dem Suszeptor zur Ruhe kommt, wird der Druck in der Prozesskammer 32 und somit die Plasmakammer 34 schnell von P0 in 3 auf einen Vorheizdruck P0 erhöht, der in einem Bereich von ungefähr 25 Torr bis 250 Torr sein kann, durch den Zusatz von Gas/Gasen, was für eine schnelle Werkstückaufwärmrate optimiert werden kann. Ein sehr schneller Druckanstieg, wie er in 3 von P0 auf P1 dargestellt ist, kann durch Verwenden der Nachfüllanordnung 54 in dem Intervall von der Zeit t0 zu t1 hergestellt werden. Es wird in Erwägung gezogen, dass ein Vorheizdruck von ungefähr 60 Torr angemessen ist und dass bei diesem Druck die meisten Vorteile von der verbesserten Heizrate, die von einem höheren Druck abgeleitet werden können, realisiert sind. Das bedeutet, Erhöhen des Drucks auf beträchtlich höher als 60 Torr erfordert zusätzliche Zeit, ebenso wie zusätzliche Zeit, um später den Druck zu reduzieren, wodurch der Durchsatz erniedrigt wird. Eine solch schnelle Zunahme des Drucks innerhalb der Prozesskammer wird durch Öffnen des Nachfüllventils 66 bewerkstelligt, um unter Druck stehendes Gas, das bei höherem Druck gespeichert ist, aus dem Nachfüllreservoir 68 auszulassen, Es wird angemerkt, dass das Nachfüllreservoir typischerweise in enger Nachbarschaft zu der Prozesskammer ist. In diesem Zusammenhang wird das Reservoir 68 von der Größe her ausgelegt, um ein ausreichendes Volumen an Gas bei einem ausreichenden Druck aufzunehmen, um die Prozess-/Plasmakammerkombination auf den gewünschten Druck zu bringen. Es ist wert im Zusammenhang mit allen hierin beschriebenen Ausführungen zu bemerken, dass minimieren des Prozesskammergasvolumens direkt zu Steigerungen der Rate des Druckanstiegs beiträgt, der erreicht werden kann.After the initial workpiece positioning has been described, in step 103 the mode of operation 100 the workpiece to its treatment position on the susceptor 38 lowered by the lifting pins 40 be fed, as it is in 1 the case is. The workpiece temperature is approximately T 0 (see 3 ) at time t 0 before heating. Because the susceptor is already hot, the workpiece temperature begins to rise from T 0 as soon as the workpiece is near the heated susceptor with the susceptor heated to between about 150 ° C and about 350 ° C. The workpiece temperature rise can be attributed to radiation, gas convection and the conductance of thermal transfer processes. In the context of the workpiece resting on the susceptor, the pressure in the process chamber becomes 32 and thus the plasma chamber 34 fast from P 0 in 3 increased to a preheat pressure P 0 , which may be in a range of about 25 Torr to 250 Torr, by the addition of gas / gases, which can be optimized for a fast workpiece warm-up. A very rapid increase in pressure, as in 3 from P 0 to P 1 can be determined by using the refill assembly 54 in the interval from time t 0 to t 1 . It is contemplated that a preheat pressure of about 60 Torr is adequate and that at this pressure most of the benefits are realized from the improved heating rate that can be derived from higher pressure. That is, increasing the pressure to considerably higher than 60 Torr requires extra time, as well as extra time to later reduce pressure, thereby lowering throughput. Such a rapid increase in pressure within the process chamber is achieved by opening the refill valve 66 accomplished to pressurized gas, which is stored at higher pressure, from the refill reservoir 68 It is noted that the refill reservoir is typically in close proximity to the process chamber. In this context, the reservoir becomes 68 sized to receive sufficient volume of gas at sufficient pressure to bring the process / plasma chamber combination to the desired pressure. It will be appreciated in the context of all of the embodiments described herein that minimizing the process chamber gas volume directly contributes to increases in the rate of pressure rise that can be achieved.

Es gibt wenigstens zwei Wege, auf welchen der schnelle Druckanstieg ausgeführt werden kann. 3 stellt eine Nachfüllangleichtechnik dar, bei welcher der Nachfülldruck zuvor erhöht oder auf einen ausgewählten Druckwert Psel gesetzt wird (siehe Graph 101b bei t0). Dieser Druckwert wird zum Teil basierend auf den Volumina der Nachfüllkammer und Prozesskammer derart ausgewählt, dass sich die zwei Kammern auf den Vorheizdruck P1 bei t1 anglei chen im Anschluss an das Öffnen des Nachfüllventils 66 bei t0. Das heißt, die Graphen 101a und 101b laufen bei t1 zusammen. Das Nachfüllventil 66 wird im Anschluss an die Angleichung geschlossen und es wird bewirkt, dass der Nachfüllkammerdruck (Graph 101b) auf Psel ansteigt van ungefähr t3 zu t5. Während Psel als 65 Torr gezeigt ist zum Zwecke der darstellerischen Einfachheit, ist es selbstverständlich, dass dieser Wert auf eine Anzahl von Weisen bestimmt werden kann, wie untenstehend beschrieben wird, und die Verwendung dieses Wertes ist nicht als beschränkend beabsichtigt. Als eine alternative Technik, die nicht in 3 aufgetragen ist, die aber angesichts der 1A leicht verstanden werden kann, kann der Nachfüllkammerdruck auf einen beträchtlich höheren Wert als Psel angehoben werden, so dass die Nachfüllkammer immer höher verbleibt als P1. Um das Nachfüllen zu beenden, wenn die Prozesskammer P1 erreicht, wird das Nachfüllventil 66 geschlossen. Das heißt, wenn einmal der Prozesskammerdruck auf den Vorheizdruck ansteigt, wird das Nachfüllventil 66 geschlossen. Als Teil von beiden Techniken kann das Zuführventil 72 geöffnet werden bei geschlossenem Nachfüllventil 66, um das Nachfüllreservoir 68 auf einen gewünschten Druck aufzufüllen. Abhängig von verschiedenen Faktoren einschließlich Kammervolumina und Flussraten kann die letztere, alternative Technik einen schnelleren Druckanstieg produzieren. Verwendet man jedoch eine der Techniken, kann eine schnellere Druckzunahme in der Prozesskammer produziert werden, wie es in dem gesamten Prozessierschema benötigt wird. Es wird angemerkt, dass jede dieser Techniken zu irgendeiner Zeit im Zusammenhang mit einem gesamten Prozessierschema verwendet werden kann, wenn es gewünscht ist, einen Druckanstieg in der Prozesskammer 32 unter Verwendung der Nachfüllkammer 68 hervorzurufen. Bei einer aktuellen Implementierung wurde eine Flussrate von ungefähr 30 Torr/Sekunde bewerkstelligt. Druckanstiegsraten von ungefähr 15 Torr auf mehr als 150 Torr/Sekunde werden als brauchbar angesehen.There are at least two ways in which the rapid increase in pressure can be performed. 3 FIG. 3 illustrates a refill light technique in which the refill pressure is previously increased or set to a selected pressure value P sel (see graph 101b at t 0 ). This pressure value is selected in part based on the volumes of the refill chamber and process chamber such that the two chambers on the preheating P 1 at t 1 anglei surfaces following the opening of the refill valve 66 at t 0 . That is, the graphs 101 and 101b converge at t 1 . The refill valve 66 is closed following the equalization and causes the refill chamber pressure (Graph 101b ) on P sel increases from about t 3 to t 5 . While P sel is shown as 65 Torr for illustrative simplicity, it will be understood that this value may be determined in a number of ways, as described below, and the use of this value is not intended to be limiting. As an alternative technique that is not in 3 is applied, but given the 1A can be easily understood, the Nachfüllkammerdruck can be raised to a considerably higher value than P sel , so that the refilling chamber always remains higher than P 1 . To stop refilling when the process chamber reaches P 1 , the refill valve becomes 66 closed. That is, once the process chamber pressure rises to the preheat pressure, the refill valve becomes 66 closed. As part of both techniques, the feed valve 72 to be opened with the refill valve closed 66 to the refill reservoir 68 to fill to a desired pressure. Depending on various factors including chamber volumes and flow rates, the latter alternative technique may produce a faster pressure rise. However, using one of the techniques, a faster pressure increase can be produced in the process chamber as needed throughout the processing scheme. It is noted that any of these techniques may be used at any time in the context of an overall processing scheme, if desired, to increase pressure in the process chamber 32 using the refill chamber 68 cause. In a current implementation, a flow rate of approximately 30 Torr / second has been accomplished. Pressure increase rates of about 15 torr to more than 150 torr / second is considered useful.

Bei Schritt 104, wenn sich die Werkstücktemperatur einmal der Suszeptortemperatur annähert (aber typischerweise geringfügig niedriger ist als die Suszeptortemperatur), wird der Druck in der Prozesskammer schnell auf einen Behandlungsdruck reduziert, der für den Photolackplasmaätzschritt benötigt wird. 3 stellt dar, dass der Druck P1 von der Zeit t1 bis zur Zeit t2 aufrechterhalten wird. Zur Zeit t2 wird jedoch der Prozesskammerdruck schnell erniedrigt, um mit der Rückkehr zu P0 zu beginnen. Der Behandlungsdruck P0 für den Plasmaaussetzungsschritt kann in einem Druckbereich sein, der sich von ungefähr 0,01 bis 10 Torr erstreckt. Der Behandlungsdruck wird bei t3 erreicht. Auch wird, wenn sich die Werkstücktemperatur der Suszeptortemperatur annähert oder in einer gewünschten Beziehung zu dieser steht wie zum Beispiel, dass sie geringfügig unterhalb der Suszeptortemperatur ist, Plasmagasflussbeginn in der Prozesskammer 32 ausgeführt. Plasmagas 58 fließt dann in den Verteiler 50 und in die Plasmakammer 34. Dies kann zum Beispiel in einem Zeitbereich geschehen, der wenigstens einen Teil des Intervalls zwischen und einschließlich t2 und t4 aufweist. Es wird bemerkt, dass Auslösen von Prozessschritten, wie sie in Begriffen des Erreichens von entweder Temperatur- oder Druckwerten beschrieben sind, für einen gemessenen Wert verantwortlich sein kann, feste Zeitperioden verwenden kann basierend auf vorhergehenden Systemleistungsbestimmungen, oder eine Kombination von beiden repräsentieren kann.At step 104 For example, once the workpiece temperature approaches the susceptor temperature (but is typically slightly lower than the susceptor temperature), the pressure in the process chamber is rapidly reduced to a treatment pressure needed for the photoresist plasma etch step. 3 represents that the pressure P 1 is maintained from time t 1 to time t 2 . At time t 2 , however, the process chamber pressure is rapidly lowered to begin the return to P 0 . The treatment pressure P 0 for the plasma exposure step may be in a pressure range extending from about 0.01 to 10 Torr. The treatment pressure is reached at t 3 . Also, as the workpiece temperature approaches or is in a desired relationship with the susceptor temperature, such as, for example, slightly below the susceptor temperature, plasma gas flow begins in the process chamber 32 executed. plasma gas 58 then flows into the distributor 50 and into the plasma chamber 34 , This can be done, for example, in a time range that has at least a portion of the interval between and including t 2 and t 4 . It is noted that triggering process steps, as described in terms of achieving either temperature or pressure values, may be responsible for a measured value, may use fixed time periods based on previous system performance determinations, or may represent a combination of both.

Im Schritt 106 werden im Zusammenhang mit dem Erreichen des gewünschten Behandlungsdrucks für den Plasmaätzschritt durch die Prozesskammer und nachdem die Plasmagasversorgung eingeführt wurde, eine Hochfrequenzleistungsversorgung und ein passendes Netzwerk (nicht gezeigt), die mit der Plasmakammer 34 verbunden sind, bei t4 eingeschaltet, und das passende Netzwerk beginnt sich auf einen Punkt einzustellen, bei dem ein stabiles Plasma zündet und der Plasmaätzprozess beginnt. 3 stellt eine zehn Sekunden Plasmaätzung dar, die zur Zeit t5 endet. Es kann gesehen werden, dass die Werkstücktemperatur von t4 zu 45 mit andauerndem dem Plasma Ausgesetztsein etwas anwächst. Der Werkstücktemperaturanstieg, der von dem Plasma Ausgesetztsein herrührt, kann durch die Verwendung einer elektrostatischen Klammeranordnung minimiert werden, die in den Suszeptor 38 eingebaut ist.In step 106 are associated with the achievement of the desired treatment pressure for the plasma etching step through the process chamber and after the plasma gas supply has been introduced, a radio frequency power supply and a matching network (not shown) connected to the plasma chamber 34 are turned on, at t 4 , and the appropriate network begins to adjust to a point where a stable plasma ignites and the plasma etching process begins. 3 represents a ten second plasma etch that ends at time t 5 . It can be seen that the workpiece temperature of t 4 to 45 with continuous exposure to plasma increases slightly. The workpiece temperature rise resulting from exposure to the plasma can be minimized by the use of an electrostatic clamp assembly placed in the susceptor 38 is installed.

In Bezug auf die Beendigung des Plasamätzprozesses bei t5 im Schritt 108 werden die Gase, die zur Plasmaerzeugung verwendet werden und die durch die Prozesskammer gehen, ausgesetzt. Der Druck der Prozesskammer und der Werkstücktransferkammer kann auf den Behandlungsdruck angeglichen werden, falls benötigt, und das Absperr-/Durchlassventil 44 zwischen der Prozesskammer und der Transferkammer wird geöffnet. Die Hubstifte werden ausgefahren und das Werkstück wird über die obere Oberfläche des Suszeptors angehoben.Regarding the completion of the plasma etching process at t 5 in step 108 the gases used for plasma generation and passing through the process chamber are exposed. The pressure of the process chamber and the workpiece transfer chamber can be adjusted to the treatment pressure, if needed, and the shut-off / port valve 44 between the process chamber and the transfer chamber is opened. The lift pins are extended and the workpiece is lifted over the upper surface of the susceptor.

Im Schritt 110 kann, so lange sowohl das Ventil 44 geöffnet ist und die Hubstifte 40 ausgefahren sind, der Endeffektor 20 in die Prozesskammer ausgefahren und das Werkstück zurück in die Transferkammer 12 transferiert werden.In step 110 can, as long as both the valve 44 is open and the lift pins 40 are extended, the end effector 20 extended into the process chamber and the workpiece back into the transfer chamber 12 be transferred.

Schritt 12 transferiert dann ein anderes Werkstück in die Behandlungskammer und der Prozess wiederholt sich wie oben beschrieben.step 12 then transfers another workpiece into the processing chamber and the process repeats as described above.

Die gasförmige Umgebung, die zur Erzielung der schnellen Aufheizrate des Werkstücks verwendet wird, besteht aus einem oder mehreren der folgenden Gase (die genaue Kombination und das Verhältnis hängen von der erforderten Aufheizrate des Werkstücks ab: Ar, He, H2, D2, HD, HF, O2, N2, NH3, N2O, ein Kohlenwasserstoffgas mit niedrigem Molekulargewicht (wie zum Beispiel CH4, C2H4, C2H6, C3H8, C4H10, usw.), ein Halogenkohlenwasserstoff enthaltendes Gas (wie zum Beispiel CF4, C2F6, C3F8, C4F6, c-C4F8, CHF3, CH2F2, CH3F, C2HF5, C2H2F4, ClCF3, Cl2CF2, usw.). Die spezifische gasförmige Umgebung kann für schnelle Aufheiz- und/oder Kühlraten des Werkstücks optimiert werden. Diese Gasmischung wird hierin als „Vorheizgas" bezeichnet. Ein Vorteil dieses Prozessierschemas ist es, die Zeit zu verringern, die benötigt wird, um Werkstücke zu prozessieren und dadurch die Anzahl an Werkstücken pro Stunde zu erhöhen, die prozessiert werden können. Es sollte anerkannt werden, dass die Verwendung des Vorheizgases beabsichtigt, Zeitintervalle zu verkürzen, die in den Zeichnungen in Bezug auf das Heizen des Werkstückes gezeigt sind, und verwendet werden kann, um Kühlintervalle zu verbessern, wie unten beschrieben wird.The gaseous environment used to achieve the rapid heating rate of the workpiece consists of one or more of the following gases (the exact combination and ratio will depend on the workpiece heating rate required: Ar, He, H 2 , D 2 , HD , HF, O 2 , N 2 , NH 3 , N 2 O, a low molecular weight hydrocarbon gas (such as CH 4 , C 2 H 4 , C 2 H 6 , C 3 H 8 , C 4 H 10 , etc. ), a halogenated hydrocarbon-containing gas (such as CF 4 , C 2 F 6 , C 3 F 8 , C 4 F 6 , cC 4 F 8 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , ClCF 3 , Cl 2 CF 2 , etc.) The specific gaseous environment can be optimized for rapid heating and / or cooling rates of the workpiece, which is referred to herein as "preheat gas." An advantage of this Processing schemes is to reduce the time needed to process workpieces and thereby increase the number of workpieces per hour that p can be processed. It should be appreciated that the use of the preheat gas is intended to shorten time intervals shown in the drawings in relation to the heating of the workpiece and may be used to improve cooling intervals, as described below.

Wendet man sich nun den 4 und 5 in Verbindung mit 1A zu, wird nun eine zweite Betriebsweise, in welcher das System 10 verwendet werden kann, beschrieben. 4 ist ein Flussdiagramm, das die verschiedenen Schritte dieser Betriebsweise zeigt, die allgemein mit der Bezugszahl 200 bezeichnet ist, während 3 ein Graph des Prozesskammerdrucks, Nachfüllkammerdrucks und der Werkstücktemperatur über der Zeit ist. Ein Graph 202a stellt den Prozesskammer 32 -druck über der Zeit dar, ein Graph 202b stellt den Nachfüllkammer 68 -druck über der Zeit dar, und ein Graph 204 stellt die Werkstücktemperatur über der Zeit dar. In dieser zweiten Betriebsweise wird die Transferkammer 12 im Wesentlichen kontinuierlich bei ungefähr dem Vorheizdruck P1 betrieben, der in der Prozesskammer während des Heizens des Werkstücks verwendet wird vor der Plasmaaussetzung beim Druck P0. Es wird angemerkt, dass gewisse Aspekte der zweiten Betriebsweise, die oben schon beschrieben wurden, wie zum Beispiel die Verwendung von Hubstiften 40 im Verbund mit dem Effektorarm 20 and dem Absperrventil 44, aus Gründen der Kürze nicht wiederholt werden. Darüber hinaus ist es selbstverständlich, dass diese Komponenten auf viele modifizierte, aber äquivalente Weisen und in einer abgestimmten Weise in Bezug aufeinander betrieben werden können, während das beabsichtigte Ziel des Bewegens eines Werkstücks zwischen der Transfer- und der Prozesskammer dennoch bewerkstelligt wird. Weitere Aspekte in Bezug auf den Betrieb der Hubstifte 40 im Zusammenhang mit der vorliegenden Erfindung werden unten beschrieben.Turning now to the 4 and 5 combined with 1A to, now becomes a second mode of operation, in which the system 10 can be used described. 4 FIG. 10 is a flow chart showing the various steps of this mode of operation, generally indicated by the reference numeral 200 is designated while 3 is a graph of process chamber pressure, backfill pressure, and workpiece temperature versus time. A graph 202a sets the process chamber 32 print over time, a graph 202b represents the refill chamber 68 pressure over time, and a graph 204 represents the workpiece temperature over time. In this second mode of operation, the transfer chamber becomes 12 operated substantially continuously at approximately the preheat pressure P 1 used in the process chamber during the heating of the workpiece is before the plasma exposure at pressure P 0 . It is noted that certain aspects of the second mode of operation already described above, such as the use of lift pins 40 in combination with the effector arm 20 and the shut-off valve 44 , for the sake of brevity, will not be repeated. Moreover, it will be understood that these components may be operated in a variety of modified but equivalent ways and in a concerted manner with respect to each other while still achieving the intended goal of moving a workpiece between the transfer and processing chambers. Other aspects related to the operation of the lift pins 40 in connection with the present invention will be described below.

Zunächst bewegt der Schritt 206 ein Werkstück 30 von der Transferkammer 12 zur Prozesskammer 32 und positioniert das Werkstück auf dem Suszeptor 38. Weil die Prozesskammer bereits auf dem Vorheizdruck ist, erfährt das Werkstück einen gesteigerten Heizeffekt, wenn es in die Nähe des Suszeptors 38 gebracht und dann auf den Suszeptor herabgelassen wird. Somit steigt die Temperatur des Werkstücks von T0 zur Zeit t0 auf T2 zur Zeit t2.First, the step moves 206 a workpiece 30 from the transfer chamber 12 to the process chamber 32 and positions the workpiece on the susceptor 38 , Because the process chamber is already at the preheat pressure, the workpiece experiences an increased heating effect when it is near the susceptor 38 and then lowered onto the susceptor. Thus, the temperature of the workpiece increases from T 0 at time t 0 to T 2 at time t 2 .

Im Schritt 208 wird in Verbindung mit dem Werkstück, das die Behandlungstemperatur T2 zur Zeit t2 erreicht, Druck in der Prozesskammer 32 von P1 auf den Behandlungsdruck P0 reduziert, der in dem vorliegenden Beispiel bei t3 erreicht wird. Es wird angemerkt, dass die zuvor beschriebene Vorheizgasmischung, falls sie eingesetzt wird, in sowohl der Transferkammer als auch in der Prozesskammer verwendet werden kann, und zwar aus Bequemlichkeitsgründen ebenso wie aus Gründen, die noch zu beschreiben sind. Ansonsten werden diese Gase entsprechend über den Verteiler 50 in die Prozesskammer eingeführt zum Zwecke des Bewerkstelligens des Vorheizens des Werkstücks, um die Rate des Temperaturanstiegs von t0 auf t2 auf eine Weise zu steigern, die dieses Zeitintervall verkürzt.In step 208 becomes pressure in the process chamber in conjunction with the workpiece that reaches the treatment temperature T 2 at time t 2 32 from P 1 to the treatment pressure P 0 , which is reached at t 3 in the present example. It is noted that the preheating gas mixture described above, if used, may be used in both the transfer chamber and the process chamber, for convenience as well as for reasons to be described. Otherwise these gases will be delivered via the distributor 50 introduced into the process chamber for the purpose of accomplishing the preheating of the workpiece to increase the rate of temperature rise from t 0 to t 2 in a manner that shortens that time interval.

Plasmaaussetzung wird bei t4 im Schritt 210 eingeleitet nach der Einführung von Plasmagas in die Prozesskammer und Plasmazündung, mit der Prozesskammer 32 auf P0. Die Plasmaaussetzung dauert bis zur Zeit t5 an. Die Plasmagaseinführung wird dann beendet.Plasma exposure is at t 4 in step 210 initiated after the introduction of plasma gas into the process chamber and plasma ignition, with the process chamber 32 on P 0 . The plasma exposure lasts until time t 5 . The plasma gas introduction is then stopped.

Schritt 212 bewerkstelligt das Erhöhen des Prozesskammerdrucks auf P1, was ausgeführt werden kann unter Verwendung der Vorheizgasmischung und Anheben des Werkstücks von dem Suszeptor 38. Ein schneller Druckanstieg, wie dargestellt, kann bewerkstelligt werden durch Verwenden der Nachfüllanordnung 54, wie oben beschrieben. Es wird angemerkt, dass ein Druckanstieg von P0 auf P1 von t5 zu t6 in den Prozesskammerdruckgraphen 202a hervorgerufen wird mit einem resultierenden Abfall des Nachfüllkammerdruckgraphen 202b über dieser Zeitperiode. Diesem Abfall des Nachfüllkammerdrucks folgend bei geschlossenem Nachfüllventil 66, kann Psel oder ein höherer gewünschter Druck in der Nachfüllkammer wiederhergestellt werden, wie durch den Graphen 202b vor t5 gezeigt ist. Wieder kann der Nachfüllkammerdruck auf einen beträchtlich höheren Wert als Psel erhöht werden, so dass die Nachfüllkammer immer höher als P1 verbleibt. In diesem Fall liegen die hauptsächlichen Unterschiede in dem Nachfüllkammerdruckgraphen 202b im Erhöhen des hohen Drucks über Psel sowie im Vorsehen eines steilen, mehr linearen Druckanstiegs von P0 zu P1, sowie einem steileren mehr linearen Abfall des Graphen 202b von Psel zu P1. Ferner werden die Graphen 202a und 202b bei t6 nicht asymptotisch zusammenlaufen, sondern sie werden mehr linearen geneigten Funktionen ähneln. Darüber hinaus kann die Nachfüllanordnung verwendet werden, um die Vorheizgasmischung einzuführen oder sie kann angepasst werden, um mit anderen Gasen in der Prozesskammer zu mischen, um eine gewünschte Gasmischung zu produzieren.step 212 accomplishes increasing the process chamber pressure to P 1 , which can be accomplished using the preheat gas mixture and lifting the workpiece from the susceptor 38 , Rapid increase in pressure, as shown, can be accomplished by using the refill assembly 54 , as described above. It is noted that a pressure increase from P 0 to P 1 from t 5 to t 6 in the process chamber pressure graph 202a is caused with a resulting drop in the Nachfüllkammerdruckgraphen 202b over this time period. Following this drop in refill chamber pressure with the refill valve closed 66 , P sel or a higher desired pressure in the refill chamber can be restored, as by the graph 202b is shown before t 5 . Again, the Nachfüllkammerdruck can be increased to a considerably higher value than P sel , so that the refilling chamber always higher than P 1 remains. In this case, the main differences are in the refill chamber pressure graph 202b in increasing the high pressure above P sel and providing a steep, more linear pressure increase from P 0 to P 1 , as well as a steeper more linear drop in the graph 202b from P sel to P 1 . Further, the graphs become 202a and 202b at t 6 they do not converge asymptotically, but they will resemble more linear inclined functions. In addition, the refill assembly may be used to introduce the preheat gas mixture or may be adapted to mix with other gases in the process chamber to produce a desired gas mixture.

Das Werkstück wird dann im Schritt 214 von der Prozesskammer 32 zur Transferkammer 12 transferiert bei ungefähr dem Vorheizdruck. Es wird angemerkt, dass Kühlen des Werkstücks verbessert wird als ein Ergebnis von dessen dem Vorheizdruck Ausgesetztsein im Anschluss an das dem Plasma Ausgesetztsein und während dessen Rückweg in die Transferkammer. Es wird abgeschätzt, dass das Werkstück auf diese Weise um so viel wie wenigstens 30 zusätzliche Grade Celsius kühlen kann, bevor es die Transferkammer 12 verlässt. Dieser Kühlungseffekt kann sogar weiter gesteigert werden mit der Verwendung der Vorheizgasmischung in entweder der Transfer- oder der Prozesskammer oder in beiden. Somit werden sowohl der „Vorheiz-" Gasdruck als auch die Mischung als vorteilhaft für die Kühlung des Werkstücks als auch für dessen Erwärmung angesehen. Es sollte anerkannt werden, dass ein großer Grad der Flexibilität in Bezug auf die Werkstückkühlung geboten wird. Durch die Auswahl des Vorheizdrucks kann die Rate der Kühlung des Werkstücks angepasst werden, so dass das Werkstück auf eine gewünschte Weise abkühlt während es sich durch die Transferkammer bewegt und diese verlässt. Ferner liefert die Auswahl der Kühlgasmischung eine Gelegenheit für eine sogar größere Anpassung der Kühlparameter.The workpiece is then in step 214 from the process chamber 32 to the transfer chamber 12 transfers at about the preheat pressure. It is noted that cooling of the workpiece is improved as a result of its exposure to preheat pressure following exposure to the plasma and its return to the transfer chamber. It is estimated that in this way the workpiece can cool as much as at least 30 additional degrees Celsius, before the transfer chamber 12 leaves. This cooling effect can even be further enhanced with the use of the preheat gas mixture in either the transfer or the process chamber, or both. Thus, both the "preheat" gas pressure and the mixture are considered to be beneficial for cooling the workpiece as well as for heating it, and it should be appreciated that a great deal of flexibility is provided in terms of workpiece cooling Preheat pressure may be adjusted to the rate of cooling of the workpiece so that the workpiece cools in a desired manner as it moves through and exits the transfer chamber. Further, the selection of the cooling gas mixture provides an opportunity for even greater adjustment of the cooling parameters.

Mit sowohl der Transferkammer 12 als auch der Prozesskammer 32 auf dem Vorheizdruck kann ein anderes Werkstück in die Prozesskammer transferiert werden (Schritt 216) und die vorangegangene Sequenz wird wiederholt.With both the transfer chamber 12 as well as the process chamber 32 on the preheat pressure another workpiece can be transferred into the process chamber (step 216 ) and the previous sequence is repeated.

Mit Bezugnahme auf die 5 und 6 wird nun eine dritte Betriebsweise beschrieben, in welcher das System 80 der 1B verwendet werden kann. In der dritten Betriebsweise wird die Transferkammer 12 unter Druck gesetzt, isoliert von der Prozesskammer 32, so dass sie einen ausgewählten Druckwert Psel erreicht, der höher ist als der Vorheizdruck P1 an gewissen Stellen im Gesamtbetrieb. MFC 92 ist vorgesehen, um den Transferkammerdruck auf den ausgewählten Druckwert zu erhöhen. Es wird angemerkt, dass die Druckprofile der dritten Betriebsweise in Bezug auf die Prozesskammer in der Erscheinung im Wesentlichen identisch sind mit denjenigen der zweiten Betriebsweise und daher mit der Erscheinung der Graphen 202a und 202b der 5. Das heißt, der Prozesskammerdruck wird durch den Graphen 202a repräsentiert, während der Transferkammerdruck durch den Graphen 202b repräsentiert wird, wie weiter beschrieben werden wird. 6 stellt die verschiedenen Stufen dar, welche die dritte Betriebsweise ausmachen, die allgemein mit der Bezugszahl 300 bezeichnet ist. Es wird wieder angemerkt, dass gewisse Aspekte der Modi, die oben bereits beschrieben wurden, wie zum Beispiel die Verwendung der Hubstifte 20 in Verbindung mit dem Effektorarm 20 und dem Absperrventil 40, aus Gründen der Kürze nicht wiederholt werden.With reference to the 5 and 6 Now a third mode of operation will be described in which the system 80 of the 1B can be used. In the third mode, the transfer chamber 12 pressurized, isolated from the process chamber 32 , so that it reaches a selected pressure value P sel , which is higher than the preheating pressure P 1 at certain points in the overall operation. MFC 92 is provided to increase the transfer chamber pressure to the selected pressure value. It is noted that the pressure profiles of the third mode of operation with respect to the process chamber are substantially identical in appearance to those of the second mode of operation and hence to the appearance of the graphs 202a and 202b of the 5 , That is, the process chamber pressure is through the graph 202a represents, while the transfer chamber pressure through the graph 202b is represented, as will be further described. 6 represents the various stages that make up the third mode of operation, generally indicated by the reference numeral 300 is designated. It is again noted that certain aspects of the modes already described above, such as the use of the lift pins 20 in conjunction with the effector arm 20 and the shut-off valve 40 , for the sake of brevity, will not be repeated.

Mit abermaliger Bezugnahme auf die 1B ist der Gedanke dieser dritten Betriebsweise, das ausgewählte Druckventil in der Transferkammer auf eine Weise zu verwenden, welche die Prozesskammer 32 nachfüllt, so dass bewirkt wird, dass sich die Prozesskammer und die Transferkammer wenigstens ungefähr an den Vorheizdruck angleichen. Das heißt, der Prozesskammerdruck steigt vom Behandlungsdruck P0 auf den Vorheizdruck P1, während der Transferkammerdruck 12 vom ausgewählten Druck Psel auf den Vorheizdruck P1 abfällt. Weil die Nachfüllkammer 68 der 1A auf diese Weise verwendet werden kann, wie oben beschrieben, sind Bestimmungen von ausgewählten Druckwerten in Bezug auf die Transferkammer gleichermaßen anwendbar auf Bestimmungen des ausgewählten Drucks der Nachfüllkammer, wenn sie in der zuvor beschriebenen Nachfülltechnik verwendet werden. Ein angemessener Wert für den ausgewählten Druck kann wenigstens in einer nützlichen Näherung bestimmt werden, wenn der Druck, der zum Vorheizen verwendet wird, viel höher ist als der Druck, der zur Plasmabehandlung verwendet wird (d. h. P1 >> P0), zum Beispiel, basierend auf Boyles Gesetz für ein idealisiertes Gas, geschrieben als: PselVtc = P1Vtot (1) With repeated reference to the 1B the idea of this third mode of operation is to use the selected pressure valve in the transfer chamber in a manner that the process chamber 32 refill so as to cause the process chamber and the transfer chamber to at least approximately equalize to the preheat pressure. That is, the process chamber pressure increases from the treatment pressure P 0 to the preheat pressure P 1 , while the transfer chamber pressure 12 from the selected pressure P sel drops to the preheating pressure P 1 . Because the refill chamber 68 of the 1A can be used in this way, as described above, determinations of selected pressure values with respect to the transfer chamber are equally applicable to determinations of the selected pressure of the refilling chamber when used in the refilling technique described above. An appropriate value for the selected pressure can be determined, at least in a useful approximation, if the pressure used for preheating is much higher than the pressure used for plasma treatment (ie P 1 >> P 0 ), for example based on Boyle's Law for an Idealized Gas, written as: P sel V tc = P 1 V dead (1)

Dabei ist Psel der ausgewählte Druckwert, der zu bestimmen ist, und P1 ist der Vorheizdruck, Vtc ist das Volumen der Transferkammer und Vtot ist das kombinierte Volumen aus der Transferkammer und der Prozesskammer. Es wird angemerkt, dass irgendein Beitrag des anfänglichen Drucks (d. h. des Behandlungsdrucks) in der Prozesskammer aus Gründen der Einfachheit ignoriert wurde, weil zum Beispiel bei 1 Torr dieser viel kleiner ist als der ausgewählte Druckwert. Natürlich kann der ausgewählte Druckwert vom Fachmann leicht bestimmt werden und/oder empirisch feinabgestimmt werden.Where P sel is the selected pressure value to be determined and P 1 is the preheat pressure, V tc is the volume of the transfer chamber and V tot is the combined volume of the transfer chamber and the process chamber. It is noted that any contribution of the initial pressure (ie, the treatment pressure) in the process chamber has been ignored for the sake of simplicity, because, for example, at 1 Torr, it is much smaller than the selected pressure value. Of course, the selected pressure value may be readily determined by one skilled in the art and / or empirically fine tuned.

Als beispielhafte Werte kann die Transferkammer auf einem Druck von ungefähr 25 bis 250 Torr gehalten werden mit ungefähr 65 Torr als einem potentiellen ausgewählten Druck. Die Prozesskammer wird auf dem Behandlungsdruck gehalten, der für den gewünschten Plasmaprozess benötigt wird, im Bereich von 0,01 bis 10 Torr mit 1 Torr als einem typischen Druck. Der Unterschied zwischen den jeweiligen Drücken ist so, dass zum Beispiel zum Erhöhen des Drucks in der Prozesskammer während des schnellen Heizprozesses auf ungefähr 60 Torr, und wenn das Verhältnis des Volumens der gasförmigen Umgebung derart ist, dass die Transferkammer ungefähr 10x derjenigen der Prozesskammer ist, man den Druck der Transferkammer auf ungefähr 65 Torr setzen kann, so dass wenn das Absperr-/Durchlassventil zwischen der Transferkammer und der Prozesskammer geöffnet wird, der Druck in beiden Kammern sich auf einen Druck von ungefähr 60 Torr angleicht. Natürlich erfordern verschiedene Verhältnisse der jeweiligen gasförmigen Umgebungen beider Kammern und ein verschiedener Vorheizdruck für den schnellen Heizzyklus des Werkstücks in der Prozesskammer das Setzen der Transferkammer auf einen unterschiedlichen ausgewählten Druck. Der höhere ausgewählte Druck und das größere Volumen der gasförmigen Umgebung der Transferkammer werden verwendet, um den Druck in der Prozesskammer „schnell" auf den gewünschten Vorheizdruck zu erhöhen zum schnellen Aufheizen des Werkstücks. Natürlich ist diese Diskussion gleichermaßen in Bezug auf die Verwendung der Nachfüllkammer 68 der 1 in der oben beschriebenen Nachfüllangleichtechnik anwendbar.As exemplary values, the transfer chamber may be maintained at a pressure of about 25 to 250 torr with about 65 torr as a potential selected pressure. The process chamber is maintained at the treatment pressure required for the desired plasma process, in the range of 0.01 to 10 torr with 1 torr as a typical pressure. The difference between the respective pressures is such that, for example, to increase the pressure in the process chamber during the rapid heating process to about 60 Torr, and when the ratio of the volume of the gaseous environment is such that the transfer chamber is about 10x that of the process chamber, one can set the pressure of the transfer chamber to about 65 Torr, so that when the shut-off / passage valve between the transfer chamber and the process chamber is opened, the pressure in both chambers equalizes to a pressure of about 60 Torr. Of course, different ratios of the respective gaseous environments of both chambers and a different preheat pressure for the rapid heating cycle of the workpiece in the process chamber require setting the transfer chamber to a different selected pressure. The higher selected pressure and the larger volume of the gaseous environment of the transfer chamber are used to "quickly" increase the pressure in the process chamber to the desired preheat pressure for rapid heating of the workpiece, of course, this discussion is equally with respect to the use of the refill chamber 68 of the 1 applicable in the refilling approach described above.

Verweist man auf die 1B und 5, die im Einklang mit der anhaltenden Prozessierung einer Vielzahl von Werkstücken stehen, wie gesehen werden wird, wird anfänglich im Schritt 304 angenommen, dass die Transferkammer 12 und die Prozesskammer 32 auf den Vorheizdruck P1 angeglichen werden und dass ein Werkstück in der Behandlungsposition in der Prozesskammer ist. Dementsprechend können das Absperrventil 44 und das Umführungsventil 86 beide geschlossen werden und das Werkstück 30 heizt sich von T0 zur Zeit t0 auf die Vorheiztemperatur T2 zur Zeit t2 auf.If you refer to the 1B and 5 which is consistent with the ongoing processing of a variety of workpieces, as will be seen, is initially in step 304 assumed that the transfer chamber 12 and the process chamber 32 be adjusted to the preheating pressure P 1 and that a workpiece is in the treatment position in the process chamber. Accordingly, the shut-off valve 44 and the bypass valve 86 both are closed and the workpiece 30 heats up from T 0 at time t 0 to preheat temperature T 2 at time t 2 .

Geht man zum Schritt 306, so wird, wenn die Ventile einmal geschlossen sind, die Behandlungskammer 12 auf den ausgewählten Druck Psel zurückgebracht. Es wird wieder angemerkt, dass ein Graph des Transferkammerdrucks der Erscheinung des Nachfüllkammergraphen 202b der 5 ähneln kann. Es wird erachtet, dass diese Graphen identisch sein werden, wenigstens von einem praktischen Standpunkt aus, wenn das Nachfüllkammervolumen und das Transferkammervolumen und die Flussraten ungefähr identisch sind, obwohl dies nicht erforderlich ist, und viele Modifikationen können vom Fachmann gemacht werden in Anbetracht dieser gesamten Offenbarung. Der Kürze wegen wird jedoch angenommen, dass der Graph 202b der 5 die Änderung des Transferkammerdrucks über der Zeit repräsentiert. Dementsprechend, beginnend kurz nach t0 und unter Verwendung von MFC 92, steigt der Transferkammerdruck auf Psel an. Es sollte anerkannt werden, dass dieses wieder unter Druck Setzen mit jeder geeigneten Rate ausgeführt werden kann und beginnend bei jeder passenden Zeit, solange die Transferkammer den ausgewählten Druckwert vor einem nachfolgenden Erfordernis erreicht, die Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck zu erhöhen.If you go to the step 306 Thus, once the valves are closed, the treatment chamber 12 returned to the selected pressure P sel . It is again noted that a graph the transfer chamber pressure the appearance of the Nachfüllkammergraphen 202b of the 5 can resemble. It is considered that these graphs will be identical, at least from a practical standpoint, when the refill chamber volume and transfer chamber volume and flow rates are approximately identical, although not required, and many modifications may be made by those skilled in the art in light of this entire disclosure , For brevity, however, it is assumed that the graph 202b of the 5 represents the change in transfer chamber pressure over time. Accordingly, starting shortly after t 0 and using MFC 92 , the transfer chamber pressure increases to P sel . It should be appreciated that this may be re-pressurized at any suitable rate and, starting at any appropriate time, as long as the transfer chamber reaches the selected pressure value prior to a subsequent requirement, to increase the process chamber from the treatment pressure to the preheat pressure.

In der zeitlichen Relation zum Werkstück, das die Behandlungstemperatur erreicht, stellt der Graph 202a der 5 eine Reduktion des Prozesskammerdrucks (Schritt 308) von P1 bei t2 zu P0 bei t3 dar. Diese Reduktion des Drucks wird durch Evakuierung bewerkstelligt als Folge von Vakuumpumpen an einer geeigneten Öffnung, die in der vorliegenden Figur nicht dargestellt ist, die aber durch die Auslassöffnung 46 der 1A repräsentiert werden kann.In the time relation to the workpiece, which reaches the treatment temperature, the graph represents 202a of the 5 a reduction of the process chamber pressure (step 308 ) Of P 1 at t 2 at t 0 to P 3. This reduction of pressure is done by evacuation as a result of vacuum pumps at a suitable opening which is not shown in the present figure, but through the outlet port 46 of the 1A can be represented.

In der zeitlichen Relation zur Prozesskammer 32, die P0 erreicht, kann das Ablösen des Photolacks im Schritt 310 mit einer Einführung von Plasmagasen und Anzünden des Plasmas eingeleitet werden, wie oben beschrieben. Das Ablöseintervall läuft bis zur Zeit t5 in der 5.In the temporal relation to the process chamber 32 , which reaches P 0 , the peeling of the photoresist in the step 310 with an introduction of plasma gases and igniting the plasma, as described above. The detachment interval runs until time t 5 in the 5 ,

Im Schritt 312 wird in zeitlicher Relation zur Beendigung des PL-Ablöseintervalls, begleitet von der Beendigung des Plasmagasflusses, die Prozesskammer 32 von der Transferkammer 12 nachgefüllt, um eine schnelle Zunahme des Prozesskammerdruckgraphen 202a von dem Behandlungsdruck auf den Vorheizdruck zu produzieren. Dieser Druckanstieg beginnt zur Zeit t5 und der Vorheizdruck wird bei t6 erreicht. Der Druckanstieg bei dem Prozesskammerdruckgraphen 202a wird als Folge auf einen Abfall des Transferkammerdruckgraphen 202b von Psel auf P1 produziert, der jeweils von t5 bis t6 geschieht. Es sollte anerkannt werden, dass, um diese Rate des Druckanstiegs zu erreichen, das System 80 eine relativ große, aber kurze Dauer des Gasflusses während des Nachfüllens aufnehmen muss. Die Umführungsanordnung 82 der 1B wird speziell für diesen Zweck konfiguriert. Das heißt, Öffnungen, Rohrleitungen 84 und Umführungsventil 86 werden alle größenmäßig so ausgelegt, um in Zusammenarbeit diese Rate des Flusses zu liefern. Ein Rohr mit großem Durchmesser sollte verwendet werden während sichergestellt wird, dass die Rohrlänge, einschließlich irgendwelcher dort dazwischen befindlicher Ventile, keinerlei Einschränkungen bieten, die den Gasfluss drosseln können. Es wird erachtet, dass der Fachmann in der Lage ist, die Umführungsanordnung im Hinblick auf die Öffnungen und Rohrleitung mit dieser Offenbarung bei der Hand zu implementieren. Spezifische Werte von Flussraten werden natürlich von den relativen Volumina der Transferkammer und der Prozesskammer abhängen. Druckanstiegsraten in der Prozesskammer, die vergleichbar oder größer sind als diejenigen, die bei Verwendung der Nachfüllkammeranordnung der 1A erreichbar sind, werden als erreichbar angesehen, weil Rohrleitungen und Komponenten mit hoher Leitfähigkeit verwendet werden können. Es wird angemerkt, dass die Positionen der Umführungsanordnungsöffnungen sowie die Positionen der Vakuumpumpöffnungen, die in den verschiedenen Figuren sind, ihrer Natur nach schematisch sind und nicht als einschränkend gedacht sind. Darüber hinaus kann die Umführungsanordnung als optional angesehen werden. Das heißt, das Absperrventil 44 kann konfiguriert sein, um die in Erwägung gezogenen Flussraten aufzunehmen. Als noch eine andere Alternative können die Umführungsanordnung und das Absperrventil in Kombination verwendet werden, um eine Verteilung des Nachfüllflusses zu liefern. Es wird angemerkt, dass erwogen werden sollte, das Eindringen von und/oder Störungen durch Partikel zu vermeiden, die in der Transfer- und/oder Prozesskammer anwesend sein könnten als ein Ergebnis einer großen Flussrate während des Nachfüllschrittes sowie während irgendeines anderen Schrittes mit hoher Flussrate. Dementsprechend können Positionen von Öffnungen, Dimensionen und/oder Orientierungen konfiguriert werden, indem man dies beachtet.In step 312 The process chamber is timed relative to the completion of the PL release interval, accompanied by the termination of the plasma gas flow 32 from the transfer chamber 12 refilled to a rapid increase in the process chamber pressure graph 202a from the treatment pressure to the preheating pressure. This pressure increase begins at time t 5 and the preheating pressure is reached at t 6 . The pressure increase in the process chamber pressure graph 202a as a result, a drop in the transfer chamber pressure graph 202b from P sel to P 1 , which happens from t 5 to t 6 , respectively. It should be recognized that to reach this rate of pressure increase, the system 80 must absorb a relatively large but short duration of gas flow during refilling. The Umführungsanordnung 82 of the 1B is configured specifically for this purpose. That is, openings, piping 84 and bypass valve 86 All are sized to co-operate to deliver this rate of flow. A large diameter pipe should be used while ensuring that the pipe length, including any valves therebetween, does not provide any restrictions that can restrict the flow of gas. It will be appreciated that those skilled in the art will be able to readily implement the umbilical and tubing diverter assembly with this disclosure. Specific values of flow rates will of course depend on the relative volumes of the transfer chamber and the process chamber. Pressure rise rates in the process chamber that are comparable to or greater than those using the refill chamber assembly of the 1A are achievable are considered achievable because piping and high conductivity components can be used. It should be noted that the positions of the Umführungsanordnungsöffnungen and the positions of the Vakuumpumpöffnungen that are in the various figures are schematic in nature and are not intended to be limiting. In addition, the Umführungsanordnung can be considered optional. That is, the shut-off valve 44 may be configured to accommodate the considered flow rates. As still another alternative, the bypass assembly and the shut-off valve may be used in combination to provide a distribution of refill flow. It is noted that consideration should be given to avoiding the intrusion and / or perturbation of particles that might be present in the transfer and / or processing chamber as a result of a large flow rate during the refill step as well as during any other high flow rate step , Accordingly, positions of openings, dimensions, and / or orientations may be configured by taking this into account.

Das behandelte Werkstück wird im Schritt 314 aus der Prozesskammer 32 entfernt und ein anderes Werkstück wird von der Transferkammer zu der Behandlungsposition in der Prozesskammer 32 bewegt.The treated workpiece is in step 314 from the process chamber 32 and another workpiece is transferred from the transfer chamber to the treatment position in the process chamber 32 emotional.

Verweist man auf die 1A und 1B, nachdem eine Anzahl von Betriebsweisen beschrieben worden sind, auf welche typische Systeme 10 und 80 betrieben werden können, werden nun weitere Aspekte im Hinblick auf den Betrieb der Hubstifte 40 beschrieben. Es sollte anerkannt werden, dass Vorheizen bewerkstelligt werden kann, wenn sich die Hubstifte oben, unten oder in einer geeigneten Kombination davon befinden. Solche Kombinationen umfassen zum Beispiel (i) eine Kombination von zuerst oben befindlichen Stiften gefolgt von unten befindlichen Stiften, (ii) eine Kombination von oben befindli chen Stiften gefolgt von unten befindlichen Stiften gefolgt von oben befindlichen Stiften für nachfolgende Prozessierschritte, (iii) eine Kombination von oben befindlichen Stiften gefolgt von unten befindlichen Stiften für nachfolgende Prozessierschritte, oder (iv) eine Kombination von unten befindlichen Stiften gefolgt von oben befindlichen Stiften gefolgt von unten befindlichen Stiften für nachfolgende Prozessierschritte. Dementsprechend wird ein weiter Bereich der Flexibilität in Erwägung gezogen in Bezug auf die Verwendung der Hubstifte. Die spezifische Wahl kann durch die Erfordernisse der gewünschten Prozessergebnisse bestimmt werden. Verschiedene Optionen erlauben dem Prozess optimiert zu werden, um verschiedene gewünschte Prozessergebnisse zu erzielen. Es sollte anerkannt werden, dass, wenn man die Hubstifte oben hat (das Werkstück tragend), die Wahrscheinlichkeit reduziert werden kann, dass sich das Werkstück auf der Werkstücktragestruktur bewegt (seine Position verschiebt) während einer schnellen Druckänderung. Natürlich passen die Stifte-oben/Stifte-unten-Kombinationen sowohl auf ein einzelnes Werkstück, das in einer Prozesskammer angeordnet ist, die ausgelegt ist, um ein einzelnes Werkstück zu prozessieren, als auch auf eine Prozesskammer, die ausgelegt ist, um zwei oder mehrere Werkstücke in paralleler und/oder serieller Kombination zu prozessieren.If you refer to the 1A and 1B After describing a number of modes of operation on which typical systems 10 and 80 can now be operated, further aspects in terms of the operation of the lift pins 40 described. It should be appreciated that preheating can be accomplished when the lift pins are up, down, or in a suitable combination thereof. Such combinations include, for example, (i) a combination of first above pins followed by lower pins; (ii) a combination of upper pins followed by lower pins followed by upper pins for subsequent ones Processing steps, (iii) a combination of upper pins followed by lower pins for subsequent processing steps, or (iv) a combination of lower pins followed by upper pins followed by lower pins for subsequent processing steps. Accordingly, a wide range of flexibility is considered with respect to the use of the lift pins. The specific choice can be determined by the requirements of the desired process results. Various options allow the process to be optimized to achieve various desired process results. It should be appreciated that having the lift pins up (supporting the workpiece) can reduce the likelihood that the workpiece will move (move position) on the workpiece support structure during a rapid pressure change. Of course, the pin-up / pin-down combinations will fit on both a single workpiece located in a process chamber designed to process a single workpiece and a process chamber designed to be two or more Process workpieces in parallel and / or serial combination.

Die Aufmerksamkeit wird nun auf die 7 gelenkt, die ein System darstellt, das gemäß der vorliegenden Erfindung hergestellt ist und das allgemein mit der Bezugszahl 400 bezeichnet ist. Das System 400 ist den zuvor beschriebenen Systemen ähnlich mit der Ausnahme, dass es duale Werkstückprozessierstationen einsetzt. Entsprechend wurde ein „a" an Bezugszahlen von Gegenständen angehängt, die mit einer ersten der Prozessierstationen in Verbindung stehen, während ein „b" an Bezugszahlen von Gegenständen angehängt wurde, die mit einer zweiten der Prozessierstationen in Verbindung stehen. Die Prozessierstationen a und b sind innerhalb einer gemeinsamen Prozesskammer 32' derart angeordnet, dass beide Werkstücke der gleichen Druckumgebung ausgesetzt sind. Entsprechend werden die Beschreibungen, die oben im Hinblick auf die Systeme 10 und 30 geliefert wurden, als gleichermaßen im Hinblick auf das System 400 anwendbar angesehen, außer dass die Werkstücke paarweise prozessiert werden können, um den Durchsatz des Systems zu erhöhen. Eine Umführungsventilanordnung 82 ist auch dargestellt, obwohl es keine Notwendigkeit gibt, sowohl die Nachfüll- als auch die Umführungsanordnung vorzusehen.Attention is now on the 7 which depicts a system made in accordance with the present invention and generally designated by the reference numeral 400 is designated. The system 400 is similar to the systems described above except that it employs dual workpiece processing stations. Accordingly, an "a" has been appended to reference numbers of items associated with a first one of the processing stations, while a "b" has been appended to reference numbers of items associated with a second one of the processing stations. The processing stations a and b are within a common process chamber 32 ' arranged such that both workpieces are exposed to the same printing environment. Accordingly, the descriptions above with regard to the systems 10 and 30 were delivered as equally with regard to the system 400 applicable, except that the workpieces can be processed in pairs in order to increase the throughput of the system. A bypass valve arrangement 82 is also shown, although there is no need to provide both the refill and the Umführungsanordnung.

Nachdem die vorliegende Erfindung oben in Einzelheiten beschrieben wurde, sollte anerkannt werden, dass es während der Prozessierung einer Serie von Werkstücken niemals erforderlich ist, in der Prozesskammer den Prozesskammerdruck auf mehr als den Druck zu erhöhen, der für das Vorheizen verwendet wird. Es wird erachtet, dass ein solches Erfordernis dazu dienen würde, den Durchsatz des Systems zu erniedrigen. Entsprechend wird die Verwendung irgendeines höheren Drucks (höher als der Vorheizdruck) in der Prozesskammer vollständig vermieden. Darüber hinaus kann das Ändern des Prozesskammerdrucks zwischen dem Vorheiz- und dem Behandlungsdruck immer sehr schnell bewerkstelligt werden, unbeachtlich dessen, ob die Druckänderung zunehmend oder abnehmend ist. Insbesondere ist die Verwendung einer Nachfüllannäherung von entweder einer Nachfüllreservoiranordnung und/oder die Verwendung einer Nachfüllung von der Transferkammer sehr vorteilhaft beim Erhöhen des Prozesskammerdrucks von dem Behandlungsdruck auf den Vorheizdruck. Gekoppelt mit dem Heizen beim Vorheizdruck wird ein Anstieg des Durchsatzes des Systems von der Größenordnung von 20–50% in Erwägung gezogen, abhängig von der Behandlungszeit. Ferner kann Nachfüllen von einem Nachfüllreservoir und von der Transferkammer in jeder gewünschten Kombination verwendet werden, entweder mit serieller oder paralleler Verwendung der jeweiligen Nachfüllquellen.After this the present invention has been described above in detail, It should be acknowledged that it is during the processing of a series of workpieces is never required, in the process chamber the process chamber pressure to increase more than the pressure the for the preheating is used. It is considered that such Requirement would serve to lower the throughput of the system. Accordingly, the Use of some higher Pressure (higher as the preheating pressure) in the process chamber completely avoided. About that addition can change the process chamber pressure between the preheat and the treatment pressure be done very quickly, regardless of whether the pressure change is increasing or decreasing. In particular, the use of a refill approach of either a refill reservoir assembly and / or the use of a refill from the transfer chamber very advantageous when increasing the process chamber pressure from the treatment pressure to the preheat pressure. Coupled with the heating of the preheat pressure is an increase in the Throughput of the system of the order of 20-50% in consideration pulled, dependent from the treatment time. Furthermore, refilling from a refill reservoir and used by the transfer chamber in any desired combination be either with serial or parallel use of the respective Nachfüllquellen.

Während das zuvor erwähnte '932-Patent ein AVA-System beschreibt, mit dem beabsichtigt ist, im Voraus entstehende Kosten zu minimieren, wird erachtet, dass die vorliegende Erfindung viele Vorteile gegenüber der Verwendung eines AVA-Systems bietet. Zum Beispiel ist der Durchsatz des Systems gesteigert durch Beseitigen des Bedürfnisses zum atmosphärischen Druck zurückzukehren, oder eines höheren Belade-/Entladedrucks, nachdem jedes Werkstück prozessiert worden ist. Die vorliegende Anmeldung transferiert Werkstücke zu und von der Prozesskammer bei Drücken, die bei oder unterhalb des Vorheizdrucks für das Werkstück sind, und beseitigt dadurch eine Anzahl an Druckänderungen, die durch die Annäherung des '932-Patents angeordnet werden. Ferner gewährleistet die vorliegende Erfindung Anpassung an die Kühlung, was oben beschrieben ist, wenn das Werkstück zu der Transferkammer zurückgebracht wird.While that previously mentioned '932 patent, an AVA system describes with which is intended to be incurred in advance costs to minimize, it is considered that the present invention many Advantages over the use of an AVA system. For example, the throughput is of the system increased by eliminating the need for the atmospheric To return pressure or a higher one Loading / unloading pressure after each workpiece has been processed. The present application transfers workpieces to and from the process chamber at pressures, which are at or below the preheat pressure for the workpiece, and thereby eliminates a number of pressure changes imposed by the approach of the '932 patent. Furthermore guaranteed the present invention adaptation to the cooling, which is described above is when the workpiece returned to the transfer chamber becomes.

Es wird vorgebracht, dass der Stand der Technik frei von der Erkenntnis ist, die hierin ans Licht gebracht wird, wonach Prozesskammerdruckanstiege immer auf eine sehr schnelle Weise ausgeführt werden können, wenn man vom Behandlungsdruck zum Vorheizdruck übergeht. Darüber hinaus liefert die vorliegende Anmeldung eine elegante und modernisierte Annäherung in Bezug auf das Implementieren von Druckänderungen in einem gesamten Prozessschema, einschließlich der Verwendung von Nachfüllung auf eine Weise, die als im Stand der Technik fehlend vorgebracht wird.It It is argued that the state of the art is free of knowledge which is brought to light herein, after which process chamber pressure increases always be able to run in a very fast manner, though one goes from the treatment pressure to the preheating pressure. Furthermore The present application provides an elegant and modernized approach in terms of implementing pressure changes throughout Process Scheme, including the use of refill in a way that was suggested as being absent in the prior art becomes.

Es sei bemerkt, dass wenigstens die folgenden Formulierungen als durch die vorangegangene Beschreibung offenbart angesehen werden.

  • 1. In einem System zum Behandeln von wenigstens einem Werkstück unter Verwendung eines Behandlungsprozesses, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregeleinrichtung umfasst zum Liefern von Prozessgas an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, ein Verfahren umfassend:
  • a) Angleichen des Transferkammerdrucks und des Prozesskammerdrucks an einen Behandlungsdruck, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll;
  • b) Transferieren des Werkstücks von der Transferkammer zu der Prozesskammer beim Behandlungsdruck;
  • c) Vorheizen des Werkstücks auf eine Behandlungstemperatur in Zusammenarbeit mit einem Erhöhen des Prozesskammerdrucks auf einen Vorheizdruck bei einer Druckanstiegsrate, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsflussrate zu der Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen;
  • d) Reduzieren des Prozesskammerdrucks auf den Vorheizdruck; und
  • e) Aussetzen des Werkstücks dem Plasmabehandlungsprozess wenigstens ungefähr bei dem Behandlungsdruck und bei der Behandlungstemperatur.
  • 2. Das Verfahren nach Anspruch 1, wobei die Druckanstiegsrate wenigstens 15 Torr pro Sekunde ist.
  • 3. Das Verfahren nach Anspruch 1, wobei das Werkstück eine Photolackschicht trägt und wobei das Vorheizen und das Aussetzen beim Entfernen der Photolackschicht unter Verwendung des Plasmabehandlungsprozesses zusammenarbeiten.
  • 4. Das Verfahren nach Anspruch 3, wobei der Plasmabehandlungsprozess ein Plasma produziert, das angepasst ist, um die Photolackschicht von dem Substrat bei der Behandlungstemperatur zu entfernen.
  • 5. Das Verfahren nach Anspruch 1, wobei das Werkstück von einem Suszeptor getragen wird und das Heizen des Suszeptors zum Verwenden beim Vorheizen des Werkstücks umfasst.
  • 6. Das Verfahren nach Anspruch 5, wobei das Heizen ein Heizen des Suszeptors auf eine wenigstens ungefähr feste Temperatur umfasst.
  • 7. Das Verfahren nach Anspruch 1, wobei der Behandlungsdruck in einem Bereich von ungefähr 0,01 bis 10 Torr ist.
  • 8. Das Verfahren nach Anspruch 1, wobei der Behandlungsdruck ungefähr 1 Torr ist.
  • 9. Das Verfahren nach Anspruch 1, wobei der Vorheizdruck in einem Bereich von ungefähr 25 bis 250 Torr ist.
  • 10. Das Verfahren nach Anspruch 1, wobei der Vorheizdruck wenigstens ungefähr 60 Torr ist.
  • 11. Das Verfahren nach Anspruch 1, wobei das Vorheizen Einführen einer Vorheizgasmischung in die Prozesskammer zum Steigern einer Rate der Temperaturzunahme des Werkstücks umfasst.
  • 12. Das Verfahren nach Anspruch 11, welches das Verwenden von Heliumgas als wenigstens einen Teil der Vorheizgasmischung umfasst.
  • 13. Das Verfahren nach Anspruch 1, welches das Konfigurieren einer Nachfüllreservoiranardnung umfasst zur wahlweisen Druckkommunikation mit der Prozesskammer zur Verwendung bei der wahlweisen Produktion einer Druckzunahme in der Prozesskammer durch Bewirken des zusätzlichen Prozesskammereingangsflusses, und wobei das Vorheizen des Werkstücks in Zusammenarbeit mit dem Erhöhen des Prozesskammerdrucks das Nachfüllen der Prozesskammer auf den Vorheizdruck umfasst unter Verwendung des zusätzlichen Prozesskammergaseingangsflusses von der Nachfüllreservoiranordnung.
  • 14. Das Verfahren nach Anspruch 13, wobei das Nachfüllen das Verwenden eines Gasverteilers umfasst zum Einführen des zusätzlichen Prozesskammergaseingangsflusses in die Prozesskammer von der Nachfüllreservoiranordnung.
  • 15. Das Verfahren nach Anspruch 14, das die Erzeugung eines Plasmas als Teil des Plasmabehandlungsprozesses unter Verwendung des Prozessgases umfasst, und wobei der Gasverteiler ferner zum Einführen des Prozessgases in die Prozesskammer verwendet wird.
  • 16. Das Verfahren nach Anspruch 13, wobei die Nachfüllreservoiranordnung konfiguriert ist, um ein Nachfüllreservoir und das Speichern eines Nachfüllgases in dem Nachfüllreservoir bei einem Druck zu umfassen, der größer ist als ein Zieldruck auf den die Prozesskammer nachgefüllt werden soll.
  • 17. Das Verfahren nach Anspruch 16, wobei der Zieldruck als der Vorheizdruck ausgewählt wird zur Verwendung während des Heizens des Werkstücks und des Heizens des Werkstücks auf eine Behandlungstemperatur zur nachfolgenden Verwendung während der Behandlung des Werkstücks.
  • 18. Das Verfahren nach Anspruch 13, welches zu bewirken umfasst, dass ein Nachfülldruck in dem Nachfüllreservair auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer bei dem Behandlungsdruck, der niedriger ist als der ausgewählte Wert, und wobei der Behandlungsdruck auch niedriger ist als ein Vorheizdruck bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll, und anschließend das Nachfüllen das Versetzen des Nachfüllreservoirs in eine Druckkommunikation mit der Prozesskammer auf eine Weise umfasst, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Steigern einer Heizrate des Werkstücks.
  • 19. Das Verfahren nach Anspruch 18, wobei die Prozessgasregelanordnung wenigstens ungefähr kein Prozessgas während des Nachfüllens liefert.
  • 20. Das Verfahren nach Anspruch 13, wobei die Druckanstiegsrate in der Prozesskammer in einem Bereich von ungefähr 15 bis 150 Torr pro Sekunde ist.
  • 21. Das Verfahren nach Anspruch 13, wobei das Nachfüllen das Hervorrufen der Druckanstiegsrate in der Prozesskammer bei ungefähr 30 Torr pro Sekunde umfasst.
  • 22. Das Verfahren nach Anspruch 1, welches das gleichzeitige Behandeln eines Paares von Werkstücken gemäß den Schritten (a) bis (e) umfasst.
  • 23. Das Verfahren nach Anspruch 1, welches das Prozessieren einer Serie von Werkstücken gemäß den Schritten (a) bis (e) umfasst.
  • 24. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung umfasst zum Liefern eines Prozessgases an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, eine Vorrichtung umfassend: eine erste Anordnung wenigstens zum Steuern des Prozesskammerdrucks, um den Prozesskammerdruck auf einen Behandlungsdruck zu reduzieren, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll, und um den Prozesskammerdruck wahlweise in Zusammenarbeit mit der Prozessgasregelanordnung auf einen Vorheizdruck zu erhöhen, der höher ist als der Behandlungsdruck, bei einer Druckanstiegsrate, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Flussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen; und eine zweite Anordnung in der Prozesskammer zum Vorheizen des Werkstücks auf eine Behandlungstemperatur in Zusammenarbeit mit dem Erhöhen des Prozesskammerdrucks von dem Behandlungsdruck auf den Vorheizdruck un ter Verwendung der ersten Anordnung, und wobei der Transferkammerdruck wenigstens ungefähr bei dem Behandlungsdruck verbleibt, so dass der Prozesskammerdruck dann auf den Behandlungsdruck reduziert werden kann und das Werkstück dem Plasmabehandlungsprozess wenigstens ungefähr bei dem Behandlungsdruck und der Behandlungstemperatur ausgesetzt ist.
  • 25. Die Vorrichtung nach Anspruch 24, wobei die Druckanstiegsrate wenigstens 15 Torr pro Sekunde ist.
  • 26. Die Vorrichtung nach Anspruch 24, wobei die Behandlungstemperatur in einem Bereich von ungefähr 0,01 bis 10 Torr ist.
  • 27. Die Vorrichtung nach Anspruch 24, wobei die Behandlungstemperatur ungefähr 1 Torr ist.
  • 28. Die Vorrichtung nach Anspruch 24, wobei die Vorheiztemperatur in einem Bereich von ungefähr 25 bis 250 Torr ist.
  • 29. Die Vorrichtung nach Anspruch 24, die zum gleichzeitigen Behandeln eines Paars von Werkstücken konfiguriert ist.
  • 30. Die Vorrichtung nach Anspruch 24, die das Prozessieren einer Serie von Werkstücken gemäß den Schritten (a) bis (e) umfasst.
  • 31. Die Vorrichtung nach Anspruch 24, wobei die erste Anordnung eine Nachfüllreservoiranordnung umfasst zur wahlweisen Druckkommunikation mit der Prozesskammer zur Verwendung beim wahlweisen Nachfüllen, als den zusätzlichen Prozesskammergaseingangsfluss, des Prozesskammerdrucks von dem Behandlungsdruck auf den Vorheizdruck.
  • 32. Die Vorrichtung nach Anspruch 31, wobei die Nachfüllanordnung einen Gasverteiler umfasst zum Einführen des zusätzlichen Prozesskammergaseingangsflusses in die Prozesskammer.
  • 33. Die Vorrichtung nach Anspruch 32, wobei die Prozesskammer einen Plasmagenerator umfassst zum Erzeugen eines Plasmas als Teil des Plasmabehandlungsprozesses unter Verwendung des Prozessgases und der Gasverteiler zum Einführen des Prozessgases in die Prozesskammer konfiguriert ist.
  • 34. Die Vorrichtung nach Anspruch 31, wobei die Nachfüllreservoiranordnung ein Nachfüllreservoir umfasst zum Speichern eines Nachfüllgases bei einem Nachfülldruck, der größer ist als der Vorheizdruck, auf den die Prozesskammer nachgefüllt werden soll.
  • 35. Die Vorrichtung nach Anspruch 34, die eine Steueranordnung umfasst, um zu bewirken, dass der Nachfülldruck in dem Nachfüllreservoir auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf einem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert und wobei der Behandlungsdruck auch niedriger ist als ein Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll und um danach die Prozesskammer nachzufüllen durch Setzen des Nachfüllreservoirs in Druckkommunikation mit der Prozesskammer auf eine Weise, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Steigern einer Heizrate des Werkstücks.
  • 36. Die Vorrichtung nach Anspruch 35, wobei die Prozessgasregelanordnung wenigstens ungefähr kein Prozessgas während des Nachfüllens liefert.
  • 37. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer gesteuert werden kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung um fasst zum Liefern eines Prozessgases an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die ansonsten in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, ein Verfahren aufweisend: Manipulieren von wenigstens dem Prozesskammerdruck und in Zusammenarbeit damit Bewegen des Werkstücks zwischen der Transferkammer und der Prozesskammer, so dass das Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen des Werkstücks auf eine Behandlungstemperatur und so, dass das Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt ist, wenigstens ungefähr bei einem Behandlungsdruck der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht worden ist, auf eine Weise, die einen maximalen Prozesskammerdruck produziert von nicht mehr als ungefähr dem Vorheizdruck unter Verwendung eines Wertes des Vorheizdrucks, der kleiner ist als der Atmosphärendruck, und Verwenden einer Rate der Druckzunahme in der Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate in die Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen.
  • 38. Das Verfahren nach Anspruch 37, wobei die Rate der Druckerhöhung wenigstens 15 Torr pro Sekunde ist.
  • 39. Das Verfahren nach Anspruch 37, wobei das Manipulieren das Aufrechterhalten des Transferkammerdrucks wenigstens ungefähr auf dem Behandlungsdruck umfasst.
  • 40. Das Verfahren nach Anspruch 37, wobei das Manipulieren das Aufrechterhalten des Transferkammerdrucks wenigstens ungefähr auf dem Vorheizdruck umfasst.
  • 41. In einem System zum Behandeln von wenigstens einem Werkstück gemäß einem mehrstufigen Gesamtprozess, der das Werkstück in einer Prozesskammer auf eine Behandlungstemperatur bei einem Vorheizdruck vorheizt und anschließend das Werkstück einem Plasma aussetzt bei einem Behandlungsdruck in der Prozesskammer und wenigstens ungefähr bei der Behandlungstemperatur, wobei der Behandlungsdruck kleiner ist als der Vorheizdruck, so dass der Prozesskammerdruck von dem Behandlungsdruck wenigstens auf den Vorheizdruck an einer oder mehreren Stellen während des mehrstufigen Gesamtprozesses erhöht werden muss, wobei das System ferner eine Prozessgasregelanordnung umfasst zum Liefern von Prozessgas an die Prozesskammer wenigstens während des Aussetzens des Werkstücks dem Plasma bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas mit einer maximalen Flussrate zu liefern, wobei eine Konfiguration einen Teil des Systems bildet, wobei die Konfiguration folgendes aufweist: eine Anordnung zur Verwendung beim Erhöhen des Prozesskammerdrucks von dem Behandlungsdruck wenigstens auf den Vorheizdruck an der einen oder den mehreren Stellen während des mehrstufigen Gesamtprozesses durch Liefern eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate die bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die maximale Flussrate.
  • 42. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, und das Werkstück auf eine Behandlungstemperatur bei einem Vorheizdruck geheizt wird und einem Behandlungsprozess bei einem Behandlungsdruck ausgesetzt wird, der kleiner ist als der Vorheizdruck, eine Vorrichtung umfassend: eine Nachfüllreservoiranordnung zur wahlweisen Druckkommunikation mit der Prozesskammer zur Verwendung beim wahlweisen Nachfüllen des Prozesskammerdrucks vom Behandlungsdruck wenigstens auf den Vorheizdruck.
  • 43. Die Vorrichtung nach Anspruch 42, wobei die Nachfüllanordnung einen Gasverteiler zum Einführen eines Nachfüllgases in die Prozesskammer umfasst.
  • 44. Die Vorrichtung nach Anspruch 43, wobei die Prozesskammer einen Plasmagenerator umfasst zum Erzeugen eines Plasmas als Teil des Behandlungsprozesses, der ein Plasmagas verwendet, und der Gasverteiler konfiguriert ist, um das Plasmagas in die Prozesskammer einzuführen.
  • 45. Die Vorrichtung nach Anspruch 42, wobei die Nachfüllreservoiranordnung ein Nachfüllreservoir umfasst zum Speichern eines Nachfüllgases bei einem Nachfülldruck, der größer ist als der Vorheizdruck, auf den die Prozesskammer nachgefüllt werden soll.
  • 46. Die Vorrichtung nach Anspruch 45, die eine Steueranordnung umfasst zum Bewirken, dass der Nachfülldruck in dem Nachfüllreservoir auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf dem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert, und um anschließend die Prozesskammer nachzufüllen durch Setzen des Nachfüllreservoirs in Druckkommunikation mit der Prozesskammer auf eine Weise, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Steigern einer Heizrate des Werkstücks.
  • 47. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung umfasst zum Liefern eines Prozessgases an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, ein Verfahren aufweisend:
  • a) Angleichen des Transferkammerdrucks und des Prozesskammerdrucks an einen Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll;
  • b) in Zusammenarbeit mit dem Angleichen des Transferkammerdrucks und des Prozesskammerdrucks Transferieren des Werkstücks von der Transferkammer zu der Prozesskammer;
  • c) Vorheizen des Werkstücks auf eine Behandlungstemperatur bei dem Behandlungsdruck in der Prozesskammer;
  • d) Reduzieren des Prozesskammerdrucks auf den Behandlungsdruck während die transferkammer wenigstens ungefähr auf dem Vorheizdruck verbleibt;
  • e) Aussetzen des Werkstücks einem Plasmabehandlungsprozess wenigstens ungefähr bei dem Behandlungsdruck und der Behandlungstemperatur;
  • f) Erhöhen des Prozesskammerdrucks wenigstens auf den Vorheizdruck bei einer Druckanstiegsrate, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine Gesamteingangsrate zu der Prozesskammer größer ist als die maximale Flussrate; und
  • g) in Zusammenarbeit mit der Erhöhung des Prozesskammerdrucks, Bewegen des Werkstücks von der Prozesskammer zu der Transferkammer.
  • 48. Das Verfahren nach Anspruch 47, wobei die Druckanstiegsrate wenigstens 15 Torr pro Sekunde ist.
  • 49. Das Verfahren nach Anspruch 47, welches das Konfigurieren einer Nachfüllreservoiranordnung zur wahlweisen Druckkommunikation mit der Prozesskammer umfasst zur Verwendung beim wahlweisen Produzieren einer Druckzunahme in der Prozesskammer, und das Erhöhen des Prozesskammerdrucks auf den Behandlungsdruck bei der Druckanstiegsrate Nachfüllen der Prozesskammer auf den Vorheizdruck umfasst unter Verwendung der Nachfüllreservoiranordnung.
  • 50. Das Verfahren nach Anspruch 49, wobei die Nachfüllreservoiranordnung konfiguriert ist, um ein Nachfüllreservoir zu umfassen und das Speichern eines Nachfüllgases in das Nachfüllreservoir bei einem Druck umfasst, der größer ist als der Zieldruck, auf den die Prozesskammer nachgefüllt werden soll.
  • 51. Das Verfahren nach Anspruch 50, wobei das Nachfüllen bewirkt, dass ein Nachfülldruck in dem Nachfüllreservoir auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf einem Behandlungsdruck ist, wobei der Behandlungsdruck niedriger ist als der ausgewählte Wert und der auch niedriger ist als der Vorheizdruck, bei dem das Werkstück auf die Behandlungstemperatur geheizt werden soll, und anschließend Setzen des Nachfüllreservoirs in Druckkommunikation mit der Prozesskammer auf eine Weise, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Steigern einer Heizrate des Werkstücks.
  • 52. Das Verfahren nach Anspruch 49, wobei das Nachfüllen das Herbeiführen einer Rate des Druckanstiegs in einem Bereich von ungefähr 10 bis 150 Torr pro Sekunde in der Prozesskammer umfasst.
  • 53. Das Verfahren nach Anspruch 47, wobei das Werkstück eine Photolackschicht trägt und wobei die Vorheiz- und Aussetzschritte konfiguriert sind, um beim Entfernen der Photolackschicht unter Verwendung des Plasmabehandlungsprozesses zusammenzuarbeiten.
  • 54. Das Verfahren nach Anspruch 53, wobei der Plasmabehandlungsprozess ein Plasma produziert, das angepasst ist, um die Photolackschicht von dem Substrat bei der Behandlungstemperatur zu entfernen.
  • 55. Das Verfahren nach Anspruch 47, wobei das Werkstück von einem Suszeptor getragen wird und das Heizen des Suszeptors zur Verwendung beim Vorheizen des Werkstücks umfasst.
  • 56. Das Verfahren nach Anspruch 55, wobei das Heizen ein Heizen des Suszeptors auf eine wenigstens ungefähr feste Temperatur umfasst.
  • 57. Das Verfahren nach Anspruch 47, wobei der Vorheizdruck in einem Bereich von ungefähr 25 bis 250 Torr ist.
  • 58. Das Verfahren nach Anspruch 47, wobei der Vorheizdruck wenigstens ungefähr 60 Torr ist.
  • 59. Das Verfahren nach Anspruch 47, wobei das Vorheizen Einführen einer Vorheizgasmischung in die Prozesskammer umfasst zum Steigern einer Rate der Temperaturzunahme des Werkstücks.
  • 60. Das Verfahren nach Anspruch 59, das Verwenden von Heliumgas wenigstens als einen Teil der Vorheizgasmischung umfasst.
  • 61. Das Verfahren nach Anspruch 47, das gleichzeitiges Behandeln eines Paars von Werkstücken entsprechend der Schritte (a) bis (g) umfasst.
  • 62. Das Verfahren nach Anspruch 47, welches das Prozessieren einer Serie von Werkstücken entsprechend der Schritte (a) bis (g) umfasst.
  • 63. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, ein Verfahren aufweisend:
  • a) bei Druckisolierung von der Prozesskammer Ändern des Transferkammerdrucks auf einen ausgewählten Druckwert, der größer ist als ein Vorheizdruck, bei dem das Werkstück geheizt werden soll, wenigstens ungefähr auf eine Behandlungstemperatur;
  • b) mit der Prozesskammer anfänglich wenigstens ungefähr bei einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, Angleichen des Drucks zwischen der Transferkammer und der Prozesskammer, so dass der ausgewählte Druck die Prozesskammer wenigstens ungefähr auf den Vorheizdruck nachfüllt;
  • c) in Zusammenarbeit mit dem Angleichen des Drucks auf den Vorheizdruck Bewegen des Werkstücks von der Transferkammer zu der Prozesskammer;
  • d) Vorheizen des Werkstücks wenigstens ungefähr auf eine Behandlungstemperatur bei dem Vorheizdruck in der Prozesskammer;
  • e) Reduzieren des Prozesskammerdrucks auf den Behandlungsdruck bei Druckisolierung von dem Transferkammerdruck; und
  • f) Aussetzen des Werkstücks einem Plasmabehandlungsprozess bei wenigstens ungefähr dem Behandlungsdruck und wenigstens ungefähr bei der Behandlungstemperatur.
  • 64. Das Verfahren nach Anspruch 63, das ferner aufweist:
  • g) nach Angleichen des Drucks zwischen der Transferkammer und der Prozesskammer und bei Druckisolierung von der Prozesskammer Erhöhen des Transferkammerdrucks vom Vorheizdruck auf den ausgewählten Druckwert;
  • h) mit der Prozesskammer wenigstens ungefähr bei dem Behandlungsdruck nach Aussetzen des Werkstücks dem Plasmabehandlungsprozess und mit der Transferkammer auf dem ausgewählten Druckwert, wieder Angleichen des Drucks zwischen der Transferkammer und der Prozesskammer, so dass der ausgewählte Druckwert bewirkt, dass die Prozesskammer wenigstens ungefähr auf den Vorheizdruck nachfüllt;
  • i) Transferieren des Werkstücks von der Behandlungskammer zu der Transferkammer in Zusammenarbeit mit dem wieder Angleichen des Transferkammerdrucks und des Behandlungskammerdrucks.
  • 65. Das Verfahren nach Anspruch 64, das ferner aufweist:
  • j) nach dem wieder Angleichen Wiederholen der Schritte (c) bis (i) für wenigstens ein zusätzliches Werkstück.
  • 66. Das Verfahren nach Anspruch 63, wobei ein Absperrventil wahlweise Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorsieht und wobei das Angleichen Öffnen des Absperrventils umfasst und das Werkstück durch das Absperrventil bewegbar ist.
  • 67. Das Verfahren nach Anspruch 63, wobei eine Umführungsanordnung wahlweise eine Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorsieht zur Verwendung beim Angleichen und ein Absperrventil zwischen der Transferkammer und der Prozesskammer wenigstens ein Bewegen des Werkstücks dort hindurch zwischen der Transferkammer und der Prozesskammer vorsieht.
  • 66. Das Verfahren nach Anspruch 63, das Auswählen des ausgewählten Drucks umfasst, wenigstens zum Teil basierend auf einem Prozesskammervolumen der Prozesskammer und einem Transferkammervolumen der Transferkammer.
  • 69. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, eine Vorrichtung aufweisend: eine erste Anordnung zum Ändern des Transferkammerdrucks bei Druckisolierung von der Prozesskammer auf einen ausgewählten Druckwert, der größer ist als ein Vorheizdruck, bei dem das Werkstück geheizt werden soll, wenigstens ungefähr auf eine Behandlungstemperatur; und eine zweite Anordnung zum Angleichen von Druck zwischen der Transferkammer und der Prozesskammer, wobei die Prozesskammer anfänglich wenigstens ungefähr auf einem Behandlungsdruck ist, der niedriger ist als der Vorheizdruck, so dass der ausgewählte Druck die Prozesskammer wenigstens ungefähr auf den Vorheizdruck nachfüllt.
  • 70. Die Vorrichtung nach Anspruch 69, die ein Absperrventil umfasst, durch welches das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt wird und das konfiguriert ist, um wahlweise eine Druckkommunikation zwischen der Transferkammer und der Prozesskammer zur Druckangleichung vorzusehen.
  • 71. Die Vorrichtung nach Anspruch 69, wobei eine Umführungsanordnung wahlweise eine Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorsieht zur Verwendung bei der Angleichung, und ein Absperrventil zwischen der Transferkammer und der Prozesskammer wenigstens ein Bewegen des Werkstücks dort hindurch zwischen der Transferkammer und der Prozesskammer vorsieht.
  • 72. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer gesteuert werden kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, ein Verfahren aufweisend: Manipulieren wenigstens des Prozesskammerdrucks und in Zusammenarbeit damit Bewegen des Werkstücks zwischen der Transferkammer und der Prozesskammer, so dass das Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen des Werkstücks auf eine Behandlungstemperatur und so, dass das Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt ist, wenigstens ungefähr bei einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht worden ist, auf eine Weise, die einen maximalen Prozesskammerdruck von nicht mehr als ungefähr dem Vorheizdruck produziert unter Verwendung eines Wertes für den Vorheizdruck der kleiner ist als der Atmosphärendruck aber größer als der Behandlungsdruck, und das Manipulieren Erhöhen des Transferkammerdrucks auf einen ausgewählten Wert umfasst und anschließend Bewirken einer Druckkommunikation zwischen der Transferkammer und der Prozesskammer, was dazu führt, dass der Transferkammerdruck in einen Bereich von dem ausgewählten Wert zu dem Vorheizdruck auf eine Weise sinkt, welche die Prozesskammer nachfüllt, so dass der Prozesskammerdruck von dem Behandlungsdruck auf den Vorheizdruck zunimmt.
  • 73. Das Verfahren nach Anspruch 72, das Initiieren der Bewegung des Werkstücks zwischen der Transferkammer und der Prozesskammer umfasst in einer zeitlichen Relation zu dem Transferkammerdruckabfall in dem Bereich zwischen dem ausgewählten Wert und dem Vorheizdruck.
  • 74. Das Verfahren nach Anspruch 72, wobei eine Umführungsanordnung wahlweise eine Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorsieht zur Verwendung beim Angleichen und ein Absperrventil zwischen der Transferkammer und der Prozesskammer wenigstens das Bewegen des Werkstücks dort hindurch zwischen der Transferkammer und der Prozesskammer vorsieht.
  • 75. Beim Behandeln einer Vielzahl von Werkstücken unter Verwendung eines Systems, das wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und jedes Werkstück der Vielzahl an Werkstücken zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregeleinrichtung umfasst zum Liefern von Prozessgas an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses bei einer gegebenen Flussrate, und die andererseits in der Lage ist, das Prozessgas bei einer maximalen Flussrate zu liefern, ein Verfahren aufweisend: Manipulieren von wenigstens dem Prozesskammerdruck und in Zusammenarbeit damit Bewegen eines ersten der Werkstücke zwischen der Transferkammer und der Prozesskammer so, dass das erste Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen des ersten Werkstücks auf eine Behandlungstemperatur und so, dass das erste Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt ist, wenigstens ungefähr bei einem Behandlungsdruck der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht worden ist, auf eine Weise, die einen maximalen Prozesskammerdruck liefert von nicht mehr als ungefähr dem Vorheizdruck unter Verwendung eines Wertes des Vorheizdrucks der kleiner ist als der Atmosphärendruck, und Verwenden einer Rate der Druckzunahme in der Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck, die wenigstens zum Teil vom Verwenden eines zusätzlichen Prozesskammergaseingangsflusses bei einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate in die Prozesskammer größer ist als die maximale Flussrate, ohne den Transferkammerdruck zu erhöhen.
  • 76. Das Verfahren nach Anspruch 75, das ferner umfasst: Behandeln von nachfolgenden der Vielzahl an Werkstücken durch damit Fortfahren den Transferkammerdruck, den Prozesskammerdruck zu manipulieren und in Zusammenarbeit damit Bewegen jedes einzelnen der nachfolgenden Werkstücke zwischen der Transferkammer und der Prozesskammer, so dass die nachfolgenden der Werkstücke dem Vorheizdruck in der Prozesskammer ausgesetzt werden zur Verwendung beim Heizen jedes der nachfolgenden Werkstücke auf die Behandlungstemperatur und so dass die nachfolgenden der Werkstücke dem Behandlungsprozess in der Prozesskammer ausgesetzt werden, wenigstens ungefähr bei dem Behandlungsdruck, nachdem wenigstens ungefähr die Behandlungstemperatur auf diese Weise erreicht wurde, die den maximalen Prozesskammerdruck von nicht mehr als ungefähr dem Vorheizdruck herstellt unter Verwendung eines Wertes des Vorheizdrucks, der kleiner ist als der Atmosphärendruck.
  • 77. Das Verfahren nach Anspruch 75, wobei das Manipulieren Aufrechterhalten des Transferkammerdrucks wenigstens ungefähr bei dem Behandlungsdruck umfasst während die Vielzahl der Werkstücke behandelt wird.
  • 78. Das Verfahren nach Anspruch 75, wobei das Manipulieren Aufrechterhalten des Transferkammerdrucks wenigstens ungefähr bei dem Vorheizdruck umfasst während die Vielzahl der Werkstücke behandelt wird.
  • 79. Das Verfahren nach Anspruch 75, das gleichzeitiges Aussetzen einer vielfachen Anzahl der Werkstücke dem Behandlungsprozess umfasst.
  • 80. Beim Behandeln einer Vielzahl von Werkstücken unter Verwendung eines Systems, das wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und jedes Werkstück der Vielzahl an Werkstücken zwischen der Transferkammer und der Prozesskammer bewegt werden kann, ein Verfahren aufweisend: Manipulieren des Transferkammerdrucks und des Prozesskammerdrucks und in Zusammenarbeit damit Bewegen jedes der Werkstücke zwischen der Transferkammer und der Prozesskammer, so dass jedes Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen jedes Werkstücks auf eine Behandlungstemperatur und so, dass jedes Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt ist, wenigstens ungefähr bei einem Behandlungsdruck, der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht worden ist, auf eine Weise, die einen maximalen Prozesskammerdruck produziert von nicht mehr als ungefähr dem Vorheizdruck unter Verwendung eines Wertes des Vorheizdrucks, der kleiner ist als der Atmosphärendruck, und das Manipulieren ein periodisches Durchlaufen des Drucks der Transferkammer umfasst zwischen einem ausgewählten Druck und dem Vorheizdruck in zeitlicher Relation zum Behandeln jedes Werkstücks, wobei das periodische Durchlaufen des Drucks wenigstens zum Teil vom Einrichten einer Druckkommunikation zwischen der Transferkammer und der Prozesskammer resultiert, so dass der ausgewählte Druck verwendet wird, um die Prozesskammer nachzufüllen.
  • 81. Das Verfahren nach Anspruch 80, das Initiieren der Bewegung des Werkstücks zwischen der Transferkammer und der Prozesskammer in zeitlicher Relation zu dem Transferkammerdruckabfall in dem Bereich von dem ausgewählten Wert zu dem Vorheizdruck umfasst.
  • 82. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer durch ein Absperrventil bewegt werden kann, eine Konfiguration aufweisend: eine Umführungsanordnung zum wahlweisen Vorsehen einer Druckkommunikation zwischen der Transferkammer und der Prozesskammer zur Verwendung bei der Druckangleichung zwischen diesen ohne ein Erfordernis, das Absperrventil zu verwenden.
  • 83. Die Konfiguration nach Anspruch 82, wobei die Umführungsanordnung ein Umführungsventil zum wahlweisen Steuern der Druckkommunikation zwischen der Transferkammer und der Prozesskammer umfasst.
  • 84. Die Konfiguration nach Anspruch 83, wobei die Umführungsanordnung konfiguriert ist, um eine Druckzunahme in der Prozesskammer bei einer Rate von wenigstens 15 Torr pro Sekunde hervorzurufen.
  • 85. Die Konfiguration nach Anspruch 83, wobei die Umführungsanordnung konfiguriert ist, um eine Druckzunahme in der Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck hervorzurufen, gekennzeichnet durch eine Rate der Druckzunahme, die größer ist als 30 Torr pro Sekunde.
  • 86. Die Konfiguration nach Anspruch 82, die eine Steueranordnung umfasst zum Bewirken eines Anstiegs des Transferkammerdrucks auf einen ausgewählten Wert, wobei die Prozesskammer auf einem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert und der auch niedriger ist als ein Vor heizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll, und um anschließend die Prozesskammer nachzufüllen durch Öffnen von wenigstens der Umführungsanordnung zwischen der Transferkammer und der Prozesskammer auf eine Weise, die bewirkt, dass sich der Prozesskammerdruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Heizen des Werkstücks.
  • 87. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer durch ein Absperrventil bewegt werden kann, eine Konfiguration aufweisend: das Absperrventil, das konfiguriert ist, um wahlweise eine Druckkommunikation zwischen der Transferkammer und der Prozesskammer vorzusehen zur Verwendung bei der Druckangleichung zwischen diesen, um eine Rate der Druckzunahme in der Prozesskammer von wenigstens 15 Torr pro Sekunde hervorzurufen.
  • 88. Die Konfiguration nach Anspruch 87, die eine Steueranordnung umfasst um zu bewirken, dass der Transferkammerdruck auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf einem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert und der auch niedriger ist als ein Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll und um anschließend die Prozesskammer nachzufüllen durch Öffnen des Absperrventils auf eine Weise, die bewirkt, dass sich der Prozesskammerdruck und der Behandlungskammerdruck wenigstens ungefähr auf den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Heizen des Werkstücks.
  • 89. In einem System zum Behandeln von wenigstens einem Werkstück, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer durch ein Isolationsventil bewegt werden kann, eine Konfiguration umfassend: eine Nachfüllanordnung in wahlweiser Druckkommunikation mit der Prozesskammer zur Verwendung beim Hervorrufen einer Druckzunahme in der Prozesskammer.
  • 90. Die Konfiguration nach Anspruch 89, wobei die Prozesskammer einen Gasverteiler umfasst, der konfiguriert ist, um ein Nachfüllgas von der Nachfüllanordnung einzuführen.
  • 91. Die Konfiguration nach Anspruch 89, wobei die Nachfüllanordnung ein Nachfüllreservoir umfasst zum Speichern eines Nachfüllgases bei einem Druck der größer ist als ein Zieldruck, auf den die Prozesskammer nachgefüllt werden soll.
  • 92. Die Konfiguration nach Anspruch 91, wobei der Zieldruck ein Vorheizdruck ist, bei welchem das Werkstück wenigstens ungefähr auf eine Behandlungstemperatur geheizt wird zur nachfolgenden Verwendung beim Behandeln des Werkstücks.
  • 93. Die Konfiguration nach Anspruch 91, wobei die Nachfüllanordnung ein Nachfüllventil umfasst zum Steuern der Druckkommunikation zwischen der Transferkammer und der Prozesskammer.
  • 94. Die Konfiguration nach Anspruch 91, die eine Steueranordnung umfasst um zu bewirken, dass ein Nachfülldruck in dem Nachfüllreservoir auf einen ausgewählten Wert ansteigt, wobei die Prozesskammer auf einem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert und der auch niedriger ist als ein Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll und um anschließend die Prozesskammer nachzufüllen, indem das Nachfüllreservoir in Druckkommunikation mit der Prozesskammer auf eine Weise gesetzt wird, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr an den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Steigern einer Heizrate des Werkstücks.
  • 95. Die Konfiguration nach Anspruch 89, wobei die Nachfüllanordnung konfiguriert ist, um einen Druckanstieg in der Prozesskammer bei einer Rate von wenigstens 15 Torr pro Sekunde hervorzurufen.
  • 96. Die Konfiguration nach Anspruch 90, wobei das System konfiguriert ist, um das Werkstück einem Plasma bei einer Behandlungstemperatur auszusetzen und um das Werkstück auf eine Behandlungstemperatur zu heizen, bevor das Werkstück dem Plasma in der Prozesskammer ausgesetzt wird und das Plasma unter Verwendung eines Plasmagases in einer Plasmakammer erzeugt wird, die einen Teil der Prozesskammer bildet, und wobei die Prozesskammer einen Gasverteiler umfasst, der konfiguriert ist, um ein Nachfüllgas von der Nachfüllanordnung einzuführen, und die ferner konfiguriert ist, um das Plasmagas einzuführen.
It should be understood that at least the following formulations are considered to be disclosed by the foregoing description.
  • In a system for treating at least one workpiece using a treatment process, the system having at least one transfer chamber and a processing chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and the workpiece between the transfer chamber and the process chamber may be moved, the system further comprising a process gas controller for supplying process gas to the process chamber at least during a plasma treatment process at a given flow rate and capable of delivering the process gas at a maximum flow rate, a method comprising:
  • a) adjusting the transfer chamber pressure and the process chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process;
  • b) transferring the workpiece from the transfer chamber to the process chamber at the treatment pressure;
  • c) preheating the workpiece to a treatment temperature in cooperation with increasing the process chamber pressure to a preheat pressure at a pressure rise rate that results at least in part from using an additional process chamber gas input flow at an input flow rate that causes a total input flow rate to the process chamber to be greater than that maximum flow rate without increasing the transfer chamber pressure;
  • d) reducing the process chamber pressure to the preheat pressure; and
  • e) subjecting the workpiece to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • The method of claim 1, wherein the pressure rise rate is at least 15 Torr per second.
  • 3. The method of claim 1, wherein the workpiece carries a photoresist layer, and wherein preheating and exposure cooperate in removing the photoresist layer using the plasma processing process.
  • 4. The method of claim 3, wherein the plasma processing process produces a plasma adapted to remove the photoresist layer from the substrate at the treatment temperature.
  • 5. The method of claim 1, wherein the workpiece is carried by a susceptor and comprises heating the susceptor for use in preheating the workpiece.
  • 6. The method of claim 5, wherein the heating comprises heating the susceptor to at least about a fixed temperature.
  • The method of claim 1, wherein the treatment pressure is in a range of about 0.01 to 10 Torr.
  • The method of claim 1, wherein the treatment pressure is about 1 Torr.
  • The method of claim 1, wherein the preheat pressure is in a range of about 25 to 250 Torr.
  • The method of claim 1, wherein the preheat pressure is at least about 60 Torr.
  • 11. The method of claim 1, wherein preheating comprises introducing a preheat gas mixture into the process chamber to increase a rate of the temperature increase of the workpiece.
  • 12. The method of claim 11, comprising using helium gas as at least a portion of the preheat gas mixture.
  • 13. The method of claim 1, including configuring a refill reservoir assembly for selectively communicating pressure with the process chamber for use in selectively producing an increase in pressure in the process chamber by effecting the additional process chamber inlet flow, and preheating the workpiece in cooperation with increasing the process chamber pressure refilling the process chamber to the preheat pressure comprises using the additional process chamber gas input flow from the refill reservoir assembly.
  • 14. The method of claim 13, wherein the refilling includes using a gas manifold to introduce the additional process chamber gas input flow into the process chamber from the refill reservoir assembly.
  • 15. The method of claim 14, comprising generating a plasma as part of the plasma processing process using the process gas, and wherein the gas manifold is further used to introduce the process gas into the process chamber.
  • 16. The method of claim 13, wherein the refill reservoir assembly is configured to include a refill reservoir and storing a refill gas in the refill reservoir at a pressure greater than a target pressure to be refilled to the process chamber.
  • 17. The method of claim 16, wherein the target pressure is selected as the preheat pressure for use during heating of the workpiece and heating of the workpiece to a treatment temperature for subsequent use during treatment of the workpiece.
  • 18. The method of claim 13, comprising causing a replenishment pressure in the refill reservoir to increase to a selected value, wherein the process chamber is at the treatment pressure lower than the selected value, and wherein the treatment pressure is also lower than a preheat pressure at which the workpiece is to be heated to a treatment temperature, and then refilling comprises displacing the replenishment reservoir into pressure communication with the process chamber in a manner that causes the replenishment pressure and the treatment chamber pressure to be at least approximately equal to the refilling pressure Adjust preheating pressure for subsequent use in increasing a heating rate of the workpiece.
  • 19. The method of claim 18, wherein the process gas control assembly provides at least approximately no process gas during refilling.
  • 20. The method of claim 13, wherein the pressure rise rate in the process chamber is in a range of about 15 to 150 Torr per second.
  • 21. The method of claim 13, wherein the refilling comprises causing the rate of pressure rise in the process chamber at about 30 Torr per second.
  • 22. The method of claim 1, comprising simultaneously treating a pair of workpieces according to steps (a) through (e).
  • 23. The method of claim 1, comprising processing a series of workpieces according to steps (a) to (e).
  • 24. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and move the workpiece between the transfer chamber and the process chamber The system further comprises a process gas control arrangement for supplying a process gas to the process chamber at least during a plasma treatment process at a given flow rate and capable of delivering the process gas at a maximum flow rate, comprising a device comprising at least one first arrangement for controlling the process chamber pressure to reduce the process chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process and to selectively increase the process chamber pressure in cooperation with the process gas control assembly to a preheat pressure higher than the treatment pressure, at a pressure increase rate that results at least in part from using an additional process chamber gas input flow at a flow rate that causes a total input rate to the process chamber greater than the maximum flow rate without increasing the transfer chamber pressure; and a second arrangement in the process chamber for preheating the workpiece to a treatment temperature in cooperation with increasing the process chamber pressure from the treatment pressure to the preheat pressure using the first arrangement, and wherein the transfer chamber pressure remains at least approximately at the treatment pressure such that the process chamber pressure can be reduced to the treatment pressure and the workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and the treatment temperature.
  • 25. The apparatus of claim 24, wherein the pressure increase rate is at least 15 Torr per second.
  • 26. The apparatus of claim 24, wherein the treatment temperature is in a range of about 0.01 to 10 Torr.
  • 27. The apparatus of claim 24, wherein the treatment temperature is about 1 Torr.
  • 28. The apparatus of claim 24, wherein the preheat temperature is in a range of about 25 to 250 Torr.
  • 29. The apparatus of claim 24 configured for simultaneously treating a pair of workpieces.
  • The apparatus of claim 24, comprising processing a series of workpieces according to steps (a) through (e).
  • 31. The apparatus of claim 24, wherein the first assembly includes a refill reservoir assembly for selectively communicating pressure with the process chamber for use in selectively refilling, as the additional process chamber gas input flow, the process chamber pressure from the treatment pressure to the preheat pressure.
  • 32. The apparatus of claim 31, wherein the refill assembly includes a gas manifold for introducing the additional process chamber gas input flow into the process chamber.
  • 33. The apparatus of claim 32, wherein the process chamber comprises a plasma generator configured to generate a plasma as part of the plasma processing process using the process gas and the gas manifold for introducing the process gas into the process chamber.
  • 34. The apparatus of claim 31, wherein the refill reservoir assembly includes a refill reservoir for storing a refill gas at a refill pressure greater than the preheat pressure to which the process chamber is to be refilled.
  • 35. The apparatus of claim 34 including control means for causing the make-up pressure in the make-up reservoir to increase to a selected value, the process chamber being at a treat pressure lower than the selected value, and wherein the treat pressure is also lower is as one A preheat pressure, wherein the workpiece is to be heated to a treatment temperature and then to refill the process chamber by setting the refill reservoir in pressure communication with the process chamber in a manner that causes the replenishment pressure and the treatment chamber pressure to at least approximately equal the preheat pressure for subsequent use when increasing a heating rate of the workpiece.
  • 36. The apparatus of claim 35, wherein the process gas control assembly provides at least approximately no process gas during refilling.
  • 37. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can be controlled and the workpiece between the transfer chamber and the process chamber wherein the system further comprises a process gas control arrangement for supplying a process gas to the process chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of delivering the process gas at a maximum flow rate, comprising a method comprising: manipulating at least the process chamber pressure and in cooperation therewith moving the workpiece between the transfer chamber and the process chamber such that the workpiece is subjected to a preheat pressure in the process chamber for use in heating the workpiece to a treatment temperature and so forth; d the workpiece is exposed to a treatment process in the process chamber, at least approximately at a treatment pressure lower than the preheat pressure after at least approximately reaching the treatment temperature, in a manner that produces a maximum process chamber pressure of not more than about the preheat pressure using a value of the preheat pressure that is less than the atmospheric pressure, and using a rate of pressure increase in the process chamber from the treatment pressure to the preheat pressure that results at least in part from using an additional process chamber gas input flow at an input flow rate that causes a total input rate in the process chamber is greater than the maximum flow rate without increasing the transfer chamber pressure.
  • 38. The method of claim 37, wherein the rate of pressure increase is at least 15 Torr per second.
  • 39. The method of claim 37, wherein manipulating comprises maintaining the transfer chamber pressure at least approximately at the treatment pressure.
  • 40. The method of claim 37, wherein the manipulating comprises maintaining the transfer chamber pressure at least approximately at the preheat pressure.
  • 41. In a system for treating at least one workpiece according to a multi-stage overall process that preheats the workpiece in a process chamber to a treatment temperature at a preheat pressure and then exposes the workpiece to a plasma at a treatment pressure in the process chamber and at least approximately at the treatment temperature the treatment pressure is less than the preheat pressure, such that the process chamber pressure must be increased from the treatment pressure to at least the preheat pressure at one or more locations during the multi-stage overall process, the system further comprising a process gas control arrangement for supplying process gas to the process chamber at least during exposure of the workpiece to the plasma at a given flow rate and capable of delivering the process gas at a maximum flow rate, wherein a configuration forms part of the system, the configuration comprising: an arrangement for use in increasing the process chamber pressure from the treatment pressure to at least the preheat pressure at the one or more locations during the multi-stage overall process by providing an additional process chamber gas input flow at an input flow rate that causes a total input rate to the process chamber to be greater than the maximum flow rate ,
  • 42. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and move the workpiece between the transfer chamber and the process chamber and the workpiece is heated to a treatment temperature at a preheat pressure and subjected to a treatment process at a treatment pressure less than the preheat pressure, a device comprising: a refill reservoir arrangement for selectively communicating pressure with the process chamber for use in selectively refilling the process chamber pressure from Treatment pressure at least on the preheating pressure.
  • 43. The apparatus of claim 42, wherein the refill assembly includes a gas manifold for introducing a make-up gas into the process chamber.
  • 44. The apparatus of claim 43, wherein the process chamber includes a plasma generator for generating a plasma as part of the treatment process using a plasma gas, and the gas manifold is configured to introduce the plasma gas into the process chamber.
  • 45. The apparatus of claim 42, wherein the refill reservoir assembly includes a refill reservoir for storing a refill gas at a refill pressure greater than the preheat pressure to which the process chamber is to be refilled.
  • 46. The apparatus of claim 45, including control means for causing the make-up pressure in the make-up reservoir to increase to a selected value, the process chamber being at the treat pressure lower than the selected value, and then refilling the process chamber Placing the replenishment reservoir in pressure communication with the process chamber in a manner that causes the replenishment pressure and the treatment chamber pressure to at least approximately equal the preheat pressure for subsequent use in increasing a heating rate of the workpiece.
  • 47. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and move the workpiece between the transfer chamber and the process chamber The system further comprises a process gas control arrangement for supplying a process gas to the process chamber at least during a plasma treatment process at a given flow rate and capable of delivering the process gas at a maximum flow rate, comprising:
  • a) adjusting the transfer chamber pressure and the process chamber pressure to a preheat pressure at which the workpiece is to be heated to a treatment temperature;
  • b) in cooperation with matching the transfer chamber pressure and the process chamber pressure, transferring the workpiece from the transfer chamber to the process chamber;
  • c) preheating the workpiece to a treatment temperature at the treatment pressure in the process chamber;
  • d) reducing the process chamber pressure to the treatment pressure while the transfer chamber remains at least approximately at the preheat pressure;
  • e) exposing the workpiece to a plasma treatment process at least approximately at the treatment pressure and the treatment temperature;
  • f) increasing the process chamber pressure at least to the preheat pressure at a pressure ramp rate that results at least in part from using an additional process chamber gas input flow at an input flow rate that causes a total input rate to the process chamber greater than the maximum flow rate; and
  • g) in cooperation with increasing the process chamber pressure, moving the workpiece from the process chamber to the transfer chamber.
  • 48. The method of claim 47, wherein the pressure increase rate is at least 15 Torr per second.
  • 49. The method of claim 47, further comprising configuring a refill reservoir assembly for selectively communicating pressure with the process chamber for use in selectively producing an increase in pressure in the process chamber, and increasing the process chamber pressure to the treatment pressure at the pressure rise rate replenishing the process chamber to the preheat pressure Use of the refill reservoir assembly.
  • 50. The method of claim 49, wherein the refill reservoir assembly is configured to include a refill reservoir and includes storing a refill gas into the refill reservoir at a pressure greater than the target pressure to which the process chamber is to be refilled.
  • 51. The method of claim 50, wherein the refill causes a make-up pressure in the refill reservoir to increase to a selected value, the process chamber being at a treat pressure, the treat pressure being lower than the selected value and also lower than the preheat pressure in which the workpiece is to be heated to the treatment temperature and then placing the replenishment reservoir in pressure communication with the process chamber in a manner that causes the replenishment pressure and the treatment chamber pressure to at least approximately equal the preheat pressure for subsequent use in increasing a heating rate of the workpiece.
  • 52. The method of claim 49, wherein the refilling comprises causing a rate of pressure increase in a range of about 10 to 150 Torr per second in the process chamber.
  • 53. The method of claim 47, wherein the workpiece carries a photoresist layer and wherein the preheat and exposure steps are configured to cooperate in removing the photoresist layer using the plasma processing process.
  • 54. The method of claim 53, wherein the Plasma treatment process produces a plasma adapted to remove the photoresist layer from the substrate at the treatment temperature.
  • 55. The method of claim 47, wherein the workpiece is carried by a susceptor and comprises heating the susceptor for use in preheating the workpiece.
  • 56. The method of claim 55, wherein the heating comprises heating the susceptor to at least about a fixed temperature.
  • 57. The method of claim 47, wherein the preheat pressure is in a range of about 25 to 250 Torr.
  • 58. The method of claim 47, wherein the preheat pressure is at least about 60 Torr.
  • 59. The method of claim 47, wherein preheating includes introducing a preheat gas mixture into the process chamber to increase a rate of the temperature increase of the workpiece.
  • 60. The method of claim 59, comprising using helium gas at least as part of the preheat gas mixture.
  • 61. The method of claim 47, comprising simultaneously treating a pair of workpieces according to steps (a) to (g).
  • 62. The method of claim 47, comprising processing a series of workpieces according to steps (a) through (g).
  • 63. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and move the workpiece between the transfer chamber and the process chamber can be, having a method:
  • a) in pressure isolation from the process chamber, changing the transfer chamber pressure to a selected pressure value greater than a preheat pressure at which the workpiece is to be heated, at least approximately to a treatment temperature;
  • b) with the process chamber initially at least approximately at a treatment pressure lower than the preheat pressure, equalizing the pressure between the transfer chamber and the process chamber so that the selected pressure at least approximately replenishes the process chamber to the preheat pressure;
  • c) in cooperation with adjusting the pressure on the preheat pressure, moving the workpiece from the transfer chamber to the process chamber;
  • d) preheating the workpiece at least approximately to a treatment temperature at the preheat pressure in the process chamber;
  • e) reducing the process chamber pressure to the treatment pressure at pressure isolation from the transfer chamber pressure; and
  • f) exposing the workpiece to a plasma treatment process at at least about the treatment pressure and at least approximately at the treatment temperature.
  • 64. The method of claim 63, further comprising:
  • g) after equalizing the pressure between the transfer chamber and the process chamber and when isolating the pressure from the process chamber, increasing the transfer chamber pressure from the preheat pressure to the selected pressure value;
  • h) with the process chamber at least approximately at the treatment pressure after exposure of the workpiece to the plasma treatment process and with the transfer chamber at the selected pressure value, re-equalizing the pressure between the transfer chamber and the process chamber such that the selected pressure value causes the process chamber to be at least approximately at Refilling preheating pressure;
  • i) transferring the workpiece from the processing chamber to the transfer chamber in cooperation with re-equalizing the transfer chamber pressure and the treatment chamber pressure.
  • 65. The method of claim 64, further comprising:
  • j) after re-equalizing, repeating steps (c) through (i) for at least one additional workpiece.
  • 66. The method of claim 63, wherein a check valve selectively provides pressure communication between the transfer chamber and the process chamber, and wherein the adjusting comprises opening the check valve and the workpiece is movable by the check valve.
  • 67. The method of claim 63, wherein a bypass assembly selectively provides pressure communication between the transfer chamber and the process chamber for use in aligning, and a shut-off valve between the transfer chamber and the process chamber provides at least movement of the workpiece therethrough between the transfer chamber and the process chamber.
  • 66. The method of claim 63, comprising selecting the selected pressure based at least in part on a process chamber volume of the process chamber and a transfer chamber volume of the transfer chamber.
  • 69. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and move the workpiece between the transfer chamber and the process chamber can be, having a device: a first arrangement for changing the transfer chamber pressure at pressure isolation from the process chamber to a selected pressure value, which is greater than a preheating pressure at which the workpiece is to be heated, at least approximately to a treatment temperature; and a second arrangement for equalizing pressure between the transfer chamber and the process chamber, wherein the process chamber is initially at least approximately at a treatment pressure lower than the preheat pressure so that the selected pressure at least approximately replenishes the process chamber to the preheat pressure.
  • 70. The apparatus of claim 69 including a check valve by which the workpiece is moved between the transfer chamber and the process chamber and configured to selectively provide pressure communication between the transfer chamber and the pressure equalization process chamber.
  • 71. The apparatus of claim 69, wherein a bypass arrangement selectively provides pressure communication between the transfer chamber and the process chamber for use in alignment, and a check valve between the transfer chamber and the process chamber provides at least movement of the workpiece therethrough between the transfer chamber and the process chamber ,
  • 72. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can be controlled and the workpiece between the transfer chamber and the process chamber moving, manipulating at least the process chamber pressure and in cooperation therewith moving the workpiece between the transfer chamber and the process chamber such that the workpiece is exposed to a preheat pressure in the process chamber for use in heating the workpiece to a treatment temperature and such that the workpiece is subjected to a treatment process in the process chamber, at least approximately at a treatment pressure lower than the preheat pressure, after at least approximately reaching the treatment temperature, in a manner that maximizes a process cycle pressure of not more than about the preheat pressure produced using a value for the preheat pressure which is less than the atmospheric pressure but greater than the treatment pressure, and manipulating comprises increasing the transfer chamber pressure to a selected value, and then effecting pressure communication between the transfer chamber and the process chamber causing the transfer chamber pressure to fall within a range from the selected value to the preheat pressure in a manner that refills the process chamber so that the process chamber pressure increases from the treatment pressure to the preheat pressure.
  • 73. The method of claim 72, initiating the movement of the workpiece between the transfer chamber and the process chamber in a time relationship to the transfer chamber pressure drop in the range between the selected value and the preheat pressure.
  • 74. The method of claim 72, wherein a bypass arrangement selectively provides pressure communication between the transfer chamber and the process chamber for use in aligning and a check valve between the transfer chamber and the process chamber provides at least movement of the workpiece therethrough between the transfer chamber and the process chamber.
  • 75. In treating a plurality of workpieces using a system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can vary and each workpiece of the plurality of workpieces between the transfer chamber and the process chamber, the system further comprising a process gas control device for supplying process gas to the process chamber at least during a plasma treatment process at a given flow rate, and capable of delivering the process gas at a maximum flow rate, comprising: Manipulating at least the process chamber pressure and in cooperation therewith moving a first of the workpieces between the transfer chamber and the process chamber such that the first workpiece is exposed to a preheat pressure in the process chamber for use in heating the first one Workpiece to a treatment temperature and so that the first workpiece is subjected to a treatment process in the process chamber, at least approximately at a treatment pressure which is lower than the preheating after at least approximately the treatment temperature has been reached in a manner that provides a maximum process chamber pressure of not more than about the preheat pressure using a value of the preheat pressure that is less than the atmospheric pressure, and using a rate of pressure increase in the process chamber from the treatment pressure to the preheat pressure, at least in part, using an additional process chamber gas input flow at ei The result is an input flow rate that causes a total input rate into the process chamber to be greater than the maximum flow rate without increasing the transfer chamber pressure.
  • 76. The method of claim 75, further comprising: treating subsequent ones of the plurality of workpieces by continuing the transfer chamber pressure to manipulate the process chamber pressure and, in cooperation therewith, moving each of the subsequent workpieces between the transfer chamber and the process chamber; the workpieces are subjected to the preheating pressure in the process chamber for use in heating each of the subsequent workpieces to the treatment temperature and so that the subsequent ones of the workpieces are subjected to the treatment process in the process chamber at least approximately at the treatment pressure after at least approximately reaching the treatment temperature in this manner which produces the maximum process chamber pressure of not more than about the preheat pressure using a value of the preheat pressure that is less than the atmospheric pressure.
  • 77. The method of claim 75, wherein manipulating maintaining the transfer chamber pressure comprises at least approximately at the treatment pressure while treating the plurality of workpieces.
  • 78. The method of claim 75, wherein manipulating maintaining the transfer chamber pressure comprises at least approximately at the preheat pressure while treating the plurality of workpieces.
  • 79. The method of claim 75, comprising simultaneously exposing a multiple number of the workpieces to the treatment process.
  • 80. When treating a plurality of workpieces using a system having at least one transfer chamber and a process chamber so that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can vary and each workpiece of the plurality of workpieces between the transfer chamber and manipulating the transfer chamber pressure and the process chamber pressure, and moving each of the workpieces between the transfer chamber and the process chamber such that each workpiece is exposed to a preheat pressure in the process chamber for use in heating each workpiece to a process chamber Treatment temperature and so that each workpiece is subjected to a treatment process in the process chamber, at least approximately at a treatment pressure which is lower than the preheating after at least approximately reached the treatment temperature that is, in a manner that produces a maximum process chamber pressure of no more than about the preheat pressure using a value of the preheat pressure that is less than the atmospheric pressure, and manipulating periodically traversing the pressure of the transfer chamber between a selected pressure and the one Preheating pressure in time relation to treating each workpiece, wherein periodically traversing the pressure results at least in part from establishing pressure communication between the transfer chamber and the process chamber such that the selected pressure is used to replenish the process chamber.
  • 81. The method of claim 80, including initiating movement of the workpiece between the transfer chamber and the process chamber in temporal relation to the transfer chamber pressure drop in the range from the selected value to the preheat pressure.
  • 82. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and the workpiece passes between the transfer chamber and the process chamber a shut-off valve can be moved, having a configuration comprising: a bypass arrangement for selectively providing pressure communication between the transfer chamber and the process chamber for use in pressure equalization therebetween without a need to use the shut-off valve.
  • 83. The configuration of claim 82, wherein the bypass assembly comprises a bypass valve for selectively controlling pressure communication between the transfer chamber and the process chamber.
  • 84. The configuration of claim 83, wherein the bypass assembly is configured to cause a pressure increase in the process chamber at a rate of at least 15 Torr per second.
  • 85. The configuration of claim 83, wherein the bypass assembly is configured to cause a pressure increase in the process chamber from the treatment pressure to the preheat pressure, characterized by a rate of pressure increase greater than 30 Torr per second.
  • 86. The configuration of claim 82 including a control arrangement for effecting an increase in the transfer chamber pressure to a selected value, wherein the process chamber is at a treatment pressure which is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature, and then to refill the process chamber by opening at least the bypass arrangement between the transfer chamber and the Process chamber in a manner that causes the process chamber pressure and the treatment chamber pressure at least approximately equal to the preheating pressure for subsequent use in the heating of the workpiece.
  • 87. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber so that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can vary and the workpiece passes between the transfer chamber and the process chamber a shut-off valve may be moved, having a configuration comprising: the shut-off valve configured to selectively provide pressure communication between the transfer chamber and the process chamber for use in pressure equalization therebetween at a rate of pressure increase in the process chamber of at least 15 torr per second cause.
  • 88. The configuration of claim 87, including control means for causing the transfer chamber pressure to increase to a selected value, wherein the process chamber is at a treatment pressure that is lower than the selected value and that is also lower than a preheat pressure in that the workpiece is to be heated to a treatment temperature and then to refill the process chamber by opening the shut-off valve in a manner that causes the process chamber pressure and the treatment chamber pressure to at least approximately equal the preheat pressure for subsequent use in heating the workpiece.
  • 89. In a system for treating at least one workpiece, the system having at least one transfer chamber and a process chamber such that both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber may vary and the workpiece passes between the transfer chamber and the process chamber an isolation valve may be moved, a configuration comprising: a refill assembly in selective pressure communication with the process chamber for use in inducing a pressure increase in the process chamber.
  • 90. The configuration of claim 89, wherein the process chamber includes a gas manifold configured to introduce a make-up gas from the refill assembly.
  • 91. The configuration of claim 89, wherein the refill assembly includes a refill reservoir for storing a refill gas at a pressure greater than a target pressure to which the process chamber is to be replenished.
  • 92. The configuration of claim 91, wherein the target pressure is a preheat pressure at which the workpiece is heated at least approximately to a treatment temperature for subsequent use in treating the workpiece.
  • 93. The configuration of claim 91, wherein the refill assembly includes a refill valve for controlling pressure communication between the transfer chamber and the process chamber.
  • 94. The configuration of claim 91, including control means for causing a make-up pressure in the make-up reservoir to increase to a selected value, the process chamber being at a treat pressure lower than and less than the selected value Preheating pressure, wherein the workpiece is to be heated to a treatment temperature, and then refilling the process chamber by setting the refill reservoir in pressure communication with the process chamber in a manner that causes the replenishment pressure and the treatment chamber pressure to at least approximately equal the preheat pressure subsequent use in increasing a heating rate of the workpiece.
  • 95. The configuration of claim 89, wherein the refill assembly is configured to cause a pressure increase in the process chamber at a rate of at least 15 Torr per second.
  • 96. The configuration of claim 90, wherein the system is configured to suspend the workpiece to a plasma at a treatment temperature and to heat the workpiece to a treatment temperature before the workpiece is exposed to the plasma in the process chamber and the plasma using a plasma gas is generated in a plasma chamber forming part of the process chamber, and wherein the process chamber includes a gas manifold configured to introduce a make-up gas from the refill assembly, and further configured to introduce the plasma gas.

Obwohl jede der zuvor beschriebenen physikalischen Ausführungen mit verschiedenen Komponenten dargestellt wurde, die besondere jeweilige Orientierungen haben, ist es selbstverständlich, dass die vorliegende Erfindung eine Vielzahl spezifischer Konfigurationen annehmen kann, wobei die verschiedenen Komponenten in einer großen Vielzahl an Positionen und gegenseitigen Orientierungen angeordnet sein können. Darüber hinaus können die hierin beschriebenen Verfahren auf eine unbegrenzte Anzahl von Weisen modifiziert werden, zum Beispiel durch Neuordnen, Modifizieren und Rekombinieren der verschiedenen Schritte. Zum Beispiel kann irgendeine Maßnahme, die auf ein bestimmtes Ereignis reagierend ergriffen wird oder in zeitlicher Relation dazu steht an irgendeiner Stelle innerhalb eines Intervalls erfolgen, das um dieses bestimmte Ereignis zentriert ist, wobei das Intervall durch irgendeinen Begriff definiert sein kann wie Zeit, Druck oder Temperatur. Als ein anderes Beispiel sollte es mit der vorliegenden Offenbarung in der Hand anerkannt werden, dass zwei oder mehr Transferkammern betrieben werden können, wenn sie mit einer gewöhnlichen Transferkammer verbunden sind, in Übereinstimmung mit den hierin enthaltenen Lehren. Dementsprechend sollte es offensichtlich sein, dass die Anordnungen und zugehörigen Verfahren, die hierin offenbart sind, in einer Vielzahl verschiedener Konfigurationen vorgesehen und auf eine unbegrenzte Anzahl verschiedener Weisen modifiziert werden können, und dass die vorliegende Erfindung durch viele andere spezifische Formen verkörpert werden kann, ohne den Umfang oder die Reichweite der Erfindung zu verlassen. Deshalb sind die vorliegenden Beispiele und Verfahren als darstellend anzusehen und nicht als beschränkend, und die Erfindung ist nicht auf die hierin gegebenen Einzelheiten beschränkt, sondern kann wenigstens innerhalb der Reichweite der beigefügten Ansprüche modifiziert werden.Although each of the above-described physical embodiments has been illustrated with various components having particular respective orientations, it is to be understood that the present invention includes a variety of specific ones Configurations may take place, wherein the various components may be arranged in a wide variety of positions and mutual orientations. Moreover, the methods described herein can be modified in an unlimited number of ways, for example, by rearranging, modifying, and recombining the various steps. For example, any action taken responsively or in temporal relation to a particular event may occur anywhere within an interval centered around that particular event, which interval may be defined by any term such as time, pressure, or Temperature. As another example, it should be appreciated with the present disclosure that two or more transfer chambers can be operated when connected to a common transfer chamber, in accordance with the teachings contained herein. Accordingly, it should be apparent that the arrangements and associated methods disclosed herein may be provided in a variety of different configurations and modified in an infinite number of different ways, and that the present invention may be embodied in many other specific forms without to abandon the scope or scope of the invention. Therefore, the present examples and methods are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified at least within the scope of the appended claims.

ZusammenfassungSummary

Eine Werkstückbehandlung verwendet eine Transferkammer in Zusammenarbeit mit einer Prozesskammer. Das Werkstück ist auf eine Behandlungstemperatur unter einem Vorheizdruck zu erwärmen und wird nachfolgend einem Plasma bei einem Behandlungsdruck ausgesetzt, der kleiner ist als der Vorheizdruck. Der Prozesskammerdruck übersteigt nicht den Vorheizdruck und trotzdem können sehr rasche Druckanstiege in der Prozesskammer induziert werden beim Übergang von dem Behandlungsdruck zu dem Vorheizdruck. Die Transferkammer kann auf dem Behandlungsdruck oder dem Vorheizdruck gehalten werden oder auf einen ausgewählten Druck angehoben werden zum Nachfüllen der Prozesskammer auf den Vorheizdruck. Eine Nachfüllanordnung kann selektiv rasche Druckanstiege in der Prozesskammer induzieren. Eine Bypass- bzw. Umgehungsanordnung sieht eine selektive Druckverbindung bzw. -kommunikation zwischen der Transferkammer und der Prozesskammer vor und kann verwendet werden zum Nachfüllen der Prozesskammer aus der Transferkammer.A Workpiece treatment uses a transfer chamber in cooperation with a process chamber. The workpiece is to be heated to a treatment temperature below a preheating pressure and is subsequently exposed to a plasma at a treatment pressure, which is smaller than the preheating pressure. The process chamber pressure does not exceed the preheat pressure and still can very rapid pressure increases in the process chamber are induced at the transition from the treatment pressure to the preheating pressure. The transfer chamber can be maintained at the treatment pressure or preheating pressure or on a selected one Pressure to be refilled the process chamber to the preheating pressure. A refill arrangement can selectively induce rapid pressure increases in the process chamber. A Bypass or Umgehungsanordnung sees a selective pressure connection or communication between the transfer chamber and the process chamber before and can be used to refill the process chamber the transfer chamber.

Claims (25)

Verfahren für ein System zum Behandeln von wenigstens einem Werkstück unter Verwendung eines Behandlungsprozesses, wobei das System wenigstens eine Transferkammer und eine Prozesskammer hat, so dass sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung umfasst zum Liefern von Prozessgas an die Prozesskammer wenigstens während eines Plasmabehandlungsprozesses mit einer vorgegebenen Flussrate, und die in der Lage ist, das Prozessgas mit einer maximalen Flussrate zu liefern, wobei das Verfahren die folgenden Schritte aufweist: a) Angleichen des Transferkammerdrucks und des Prozesskammerdrucks an einen Behandlungsdruck, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll; b) Transferieren des Werkstücks von der Transferkammer zu der Prozesskammer beim Behandlungsdruck; c) Vorheizen des Werkstücks auf eine Behandlungstemperatur gemeinsam mit einem Erhöhen des Prozesskammerdrucks auf einen Vorheizdruck mit einer Druckanstiegsrate, die wenigstens zum Teil von der Verwendung eines zusätzlichen Prozesskammer-Gaseingangsflusses mit einer Eingangsflussrate resultiert, die bewirkt, dass eine gesamte Eingangsrate zu der Prozesskammer größer ist als die genannte maximale Flussrate, und zwar ohne den Transferkammerdruck zu erhöhen; d) Reduzieren des Prozesskammerdrucks auf den Behandlungsdruck; und e) Aussetzen des Werkstücks dem Plasmabehandlungsprozess bei dem ungefähren Behandlungsdruck und bei der ungefähren Behandlungstemperatur.Procedure for a system for treating at least one workpiece below Use of a treatment process, wherein the system at least a transfer chamber and a process chamber has, so that both a Transfer chamber pressure in the transfer chamber as well as a process chamber pressure in the process chamber can vary and the workpiece between the transfer chamber and the process chamber can be moved, wherein the The system further comprises a process gas control arrangement for delivering of process gas to the process chamber at least during a plasma treatment process with a given flow rate, and which is capable of the process gas with a maximum flow rate, the method being the following Steps: a) adjusting the transfer chamber pressure and the process chamber pressure to a treatment pressure at which the workpiece a Plasma treatment process is to be suspended; b) Transfer of the workpiece from the transfer chamber to the process chamber at the treatment pressure; c) Preheating the workpiece to a treatment temperature along with an increase in the Process chamber pressure on a preheating pressure with a pressure rise rate, at least in part from the use of an additional Process chamber gas inlet flow results in an input flow rate, which causes a total input rate to the process chamber is larger as the said maximum flow rate, without the transfer chamber pressure to increase; d) Reducing the process chamber pressure to the treatment pressure; and e) Subjecting the workpiece the plasma treatment process at the approximate treatment pressure and at the approximate Treatment temperature. Verfahren nach Anspruch 1, wobei die Druckanstiegsrate wenigstens 15 Torr pro Sekunde ist.The method of claim 1, wherein the pressure increase rate is at least 15 Torr per second. Verfahren nach Anspruch 1, wobei das Werkstück eine Photolackschicht trägt und wobei das Vorheizen und das Aussetzen beim Entfernen der Photolackschicht unter Verwendung des Plasmabehandlungsprozesses zusammenwirken.The method of claim 1, wherein the workpiece is a Photoresist layer carries and wherein the preheating and the exposure upon removal of the photoresist layer interact using the plasma treatment process. Verfahren nach Anspruch 3, wobei der Plasmabehandlungsprozess ein Plasma produziert, das zum Entfernen der Photolackschicht von dem Substrat bei der Behandlungstemperatur angepasst ist.The method of claim 3, wherein the plasma treatment process produces a plasma that is used to remove the photoresist layer from adapted to the substrate at the treatment temperature. Verfahren nach Anspruch 1, wobei das Werkstück von einem Suszeptor getragen wird und das Heizen des Suszeptors zum Vorheizen des Werkstücks verwendet wird.The method of claim 1, wherein the workpiece is carried by a susceptor and heating the susceptor to preheat the work piece is used. Verfahren nach Anspruch 5, wobei das Heizen ein Heizen des Suszeptors auf eine wenigstens ungefähr feste Temperatur umfasst.The method of claim 5, wherein the heating is heating of the susceptor to at least about a fixed temperature. Verfahren nach Anspruch 1, wobei der Behandlungsdruck in einem Bereich von ungefähr 0,01 bis 10 Torr liegt.The method of claim 1, wherein the treatment pressure in a range of about 0.01 to 10 Torr. Verfahren nach Anspruch 1, wobei der Behandlungsdruck ungefähr 1 Torr beträgt.The method of claim 1, wherein the treatment pressure approximately 1 Torr. Verfahren nach Anspruch 1, wobei der Vorheizdruck in einem Bereich von ungefähr 25 bis 250 Torr liegt.The method of claim 1, wherein the preheat pressure in a range of about 25 to 250 Torr. Verfahren nach Anspruch 1, wobei der Vorheizdruck wenigstens ungefähr 60 Torr beträgt.The method of claim 1, wherein the preheat pressure at least about 60 Torr. Verfahren nach Anspruch 1, wobei das Vorheizen das Einführen einer Vorheizgasmischung in die Prozesskammer umfasst, um eine Rate der Temperaturzunahme des Werkstücks zu erhöhen.The method of claim 1, wherein the preheating is the Introduce a preheat gas mixture into the process chamber at a rate the temperature increase of the workpiece to increase. Verfahren nach Anspruch 11, bei dem Heliumgas als wenigstens ein Teil der Vorheizgasmischung verwendet wird.The method of claim 11, wherein helium gas as at least a portion of the preheating gas mixture is used. Verfahren nach Anspruch 1, das ferner folgendes aufweist: Konfigurieren einer Nachfüllreservoiranordnung zur wahlweisen Druckkommunikation mit der Prozesskammer zur Verwendung beim selektiven Erzeugen einer Druckzunahme in der Prozesskammer durch Bewirken des zusätzlichen Prozesskammereingangsflusses, und wobei das Vorheizen des Werkstücks gemeinsam mit dem Erhöhen des Prozesskammerdrucks das Nachfüllen der Prozesskammer auf den Vorheizdruck unter Verwendung des zusätzlichen Prozesskammergaseingangsflusses von der Nachfüllreservoiranordnung umfasst.The method of claim 1, further comprising having: Configure a refill reservoir arrangement for optional Pressure communication with the process chamber for use in selective Generating a pressure increase in the process chamber by effecting of the additional Process chamber input flow, and wherein the preheating of the workpiece together with the increase the process chamber pressure refilling the process chamber the preheat pressure using the additional process chamber gas input flow from the refilling reservoir assembly includes. Verfahren nach Anspruch 13, wobei das Nachfüllen das Verwenden eines Gasverteilers bzw. -diffusors umfasst zum Einführen des zusätzlichen Prozesskammergaseingangsflusses in die Prozesskammer von der Nachfüllreservoiranordnung.The method of claim 13, wherein the refilling the Using a gas distributor or diffuser comprises for introducing the additional process chamber gas input flow into the process chamber from the refill reservoir assembly. Verfahren nach Anspruch 14, bei dem ein Plasma als Teil des Plasmabehandlungsprozesses unter Verwendung des Prozessgaseserzeugt wird, und bei dem der Gasverteiler ferner zum Einführen des Prozessgases in die Prozesskammer verwendet wird.The method of claim 14, wherein a plasma as Part of the plasma treatment process using the process gas generated is, and wherein the gas distributor further for introducing the Process gas is used in the process chamber. Verfahren nach Anspruch 13, bei dem die Nachfüllreservoiranordnung derart konfiguriert ist, das ein Nachfüllreservoir und das Speichern eines Nachfüllgases in dem Nachfüllreservoir mit einem Druck vorgesehen ist, der größer ist als ein Zieldruck, auf den die Prozesskammer nachgefüllt werden soll.The method of claim 13, wherein the refill reservoir assembly is configured such that a refill reservoir and storing a refill gas in the refill reservoir is provided with a pressure that is greater than a target pressure, on refilled the process chamber shall be. Verfahren nach Anspruch 16, bei dem der Zieldruck als der Vorheizdruck ausgewählt wird zur Verwendung während des Heizens des Werkstücks und bei dem das Werkstück auf eine Behandlungstemperatur zur nachfolgenden Verwendung während der Behandlung des Werkstück aufgeheizt wird.The method of claim 16, wherein the target pressure selected as the preheating pressure is for use during of heating the workpiece and where the workpiece to a treatment temperature for subsequent use during the Treatment of the workpiece is heated. Verfahren nach Anspruch 13, bei dem ein Nachfülldruck in dem Nachfüllreservoir auf einen ausgewählten Wert gebracht wird, während die Prozesskammer auf dem Behandlungsdruck ist, der niedriger ist als der ausgewählte Wert, und auch niedriger als ein Vorheizdruck, bei dem das Werkstück auf eine Behandlungstemperatur geheizt werden soll, und bei dem das anschließende Nachfüllen das Herstellen einer Druckkommunikation zwischen Nachfüllreservoir und Prozesskammer auf eine Weise vorsieht, die bewirkt, dass sich der Nachfülldruck und der Behandlungskammerdruck wenigstens ungefähr auf den Vorheizdruck angleichen zur nachfolgenden Verwendung beim Fördern einer Heizrate des Werkstücks.The method of claim 13, wherein a refill pressure in the refill reservoir on a selected one Value is brought while the process chamber is at the treatment pressure that is lower as the selected one Value, and also lower than a preheating pressure at which the workpiece on a Treatment temperature to be heated, and in which the subsequent refilling the Establishing a pressure communication between refill reservoir and process chamber in a manner that causes itself the refill pressure and the treatment chamber pressure at least approximately equal to the preheat pressure for subsequent use in promoting a heating rate of the workpiece. Verfahren nach Anspruch 18, wobei die Prozessgasregelanordnung wenigstens ungefähr kein Prozessgas während des Nachfüllens liefert.The method of claim 18, wherein the process gas control arrangement at least about no process gas during of refilling supplies. Verfahren nach Anspruch 13, wobei die Druckanstiegsrate in der Prozesskammer in einem Bereich von ungefähr 15 bis 150 Torr pro Sekunde ist.The method of claim 13, wherein the pressure increase rate in the process chamber is in a range of about 15 to 150 Torr per second. Verfahren nach Anspruch 13, wobei das Nachfüllen eine Druckanstiegsrate in der Prozesskammer von ungefähr 30 Torr pro Sekunde induziert.The method of claim 13, wherein the refilling a Pressure increase rate in the process chamber of about 30 Torr per second induced. Verfahren nach Anspruch 1, bei dem gleichzeitig ein Paar von Werkstücken gemäß den Schritten (a) bis (e) behandelt wird.The method of claim 1, wherein simultaneously a pair of workpieces according to the steps (a) to (e) is treated. Verfahren nach Anspruch 1, bei dem eine Serie von Werkstücken gemäß den Schritten (a) bis (e) behandelt wird.The method of claim 1, wherein a series of workpieces according to the steps (a) to (e) is treated. System zum Behandeln wenigstens eines Werkstücks, wobei das System wenigstens eine Transferkammer und eine Prozesskammer aufweist, wobei sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer variieren kann und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegt werden kann, wobei das System ferner eine Prozessgasregelanordnung aufweist zum Liefern eines Prozessgases an die Prozesskammer, und zwar wenigstens wäh rend eines Plasmabehandlungsprozesses, und zwar mit einer vorgegebenen Flussrate, und die in der Lage ist, das Prozessgas mit einer maximalen Flussrate zu liefern, wobei das System ferner eine Vorrichtung umfasst, die folgendes aufweist: eine erste Anordnung, die wenigstens zum Steuern des Prozesskammerdrucks vorgesehen ist, um den Prozesskammerdruck auf einen Behandlungsdruck zu reduzieren, bei dem das Werkstück einem Plasmabehandlungsprozess ausgesetzt werden soll, und um den Prozesskammerdruck selektiv gemeinsam mit der Prozessgasregelanordnung auf einen Vorheizdruck zu erhöhen, der höher ist als der Behandlungsdruck, und zwar mit einer Druckanstiegsrate, die sich wenigstens teilweise aus der Verwendung eines zusätzlichen Prozesskammer-Gaseingangsflusses mit einer Eingangsflussrate ergibt, die bewirkt, dass eine Gesamteingangsrate in die Prozesskammer größer ist als die genannte maximale Flussrate, und zwar ohne den Transferkammerdruck zu erhöhen; und eine zweite Anordnung in der Prozesskammer zum Vorheizen des Werkstücks auf eine Behandlungstemperatur gemeinsam mit dem Erhöhen des Prozesskammerdrucks von dem Behandlungsdruck auf den Vorheizdruck unter Verwendung der ersten Anordnung, wobei der Transferkammerdruck wenigstens ungefähr bei dem Behandlungsdruck verbleibt, so dass der Prozesskammerdruck dann auf den Behandlungsdruck reduziert werden kann und das Werkstück dem Plasmabehandlungsprozess wenigstens ungefähr bei dem Behandlungsdruck und der Behandlungstemperatur ausgesetzt ist.A system for treating at least one workpiece, the system including at least one transfer chamber and a process chamber, wherein both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber can vary and the workpiece can be moved between the transfer chamber and the process chamber, the system Further, a process gas control arrangement comprises for supplying a process gas to the process chamber, at least during a plasma treatment process, with a predetermined flow rate, and which is capable of, the process gas with to provide a maximum flow rate, the system further comprising an apparatus comprising at least one of controlling the process chamber pressure to reduce the process chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process; and selectively increase the process chamber pressure along with the process gas control assembly to a preheat pressure higher than the treatment pressure with a pressure increase rate resulting, at least in part, from the use of an additional process chamber gas input flow at an input flow rate that causes a pressure increase Total input rate into the process chamber is greater than said maximum flow rate, without increasing the transfer chamber pressure; and a second arrangement in the process chamber for preheating the workpiece to a treatment temperature along with increasing the process chamber pressure from the treatment pressure to the preheat pressure using the first assembly, wherein the transfer chamber pressure remains at least approximately at the treatment pressure such that the process chamber pressure is then at the treatment pressure can be reduced and the workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and the treatment temperature. Verfahren für ein System zum Behandeln wenigstens eines Werkstücks, wobei das System wenigstens eine Transferkammer und eine Prozesskammer aufweist, wobei jeweils sowohl ein Transferkammerdruck in der Transferkammer als auch ein Prozesskammerdruck in der Prozesskammer steuerbar ist und das Werkstück zwischen der Transferkammer und der Prozesskammer bewegbar ist, wobei das System ferner eine Prozessgasregelanordnung aufweist zum Liefern eines Prozessgases an die Prozesskammer, und zwar wenigstens während eines Plasmabehandlungsprozesses und mit einer vorge gebenen Flussrate, und die in der Lage ist, das Prozessgas mit einer maximalen Flussrate zu liefern, wobei das Verfahren die folgenden Schritt aufweist: Manipulieren von wenigstens dem Prozesskammerdruck und kooperatives Bewegen des Werkstücks zwischen der Transferkammer und der Prozesskammer so, dass das Werkstück einem Vorheizdruck in der Prozesskammer ausgesetzt ist zur Verwendung beim Heizen des Werkstücks auf eine Behandlungstemperatur, und so, dass das Werkstück einem Behandlungsprozess in der Prozesskammer ausgesetzt ist, und zwar wenigstens ungefähr bei einem Behandlungsdruck der niedriger ist als der Vorheizdruck, nachdem wenigstens ungefähr die Behandlungstemperatur erreicht wurde, und zwar auf eine Weise, die einen maximalen Prozesskammerdruck erzeugt, der nicht größer ist als der ungefähre Vorheizdruck unter Verwendung eines Wertes des Vorheizdrucks der kleiner ist als Atmosphärendruck und unter Verwendung einer Rate der Druckzunahme in der Prozesskammer von dem Behandlungsdruck auf den Vorheizdruck, die sich wenigstens teilweise aus der Verwendung eines zusätzlichen Prozesskammer-Gaseingangsflusses mit einer Eingangsflussrate ergibt, die bewirkt, dass eine Gesamteingangsrate in die Prozesskammer größer ist als die genannte maximale Flussrate, ohne den Transferkammerdruck zu erhöhen.Procedure for a system for treating at least one workpiece, the system at least a transfer chamber and a process chamber, wherein both a transfer chamber pressure in the transfer chamber and a process chamber pressure in the process chamber is controllable and the workpiece between the transfer chamber and the process chamber is movable, wherein the System further comprises a process gas control arrangement for delivering a process gas to the process chamber, at least during one Plasma treatment process and with a given flow rate, and which is able to process gas at a maximum flow rate to deliver, the method comprising the following steps: Manipulate at least the process chamber pressure and cooperatively moving the Workpiece between the transfer chamber and the process chamber so that the workpiece a Pre-heating pressure in the process chamber is exposed for use in the Heating the workpiece to a treatment temperature, and so that the workpiece a Treatment process is exposed in the process chamber, namely at least about at a treatment pressure lower than the preheating pressure, after at least about the treatment temperature has been reached, in a way which produces a maximum process chamber pressure that is not greater as the approximate Preheating pressure using a preheating pressure value of less than atmospheric pressure and using a rate of pressure increase in the process chamber from the treatment pressure to the preheat pressure, at least partly from the use of an additional process chamber gas inlet flow with an input flow rate that causes a total input rate larger in the process chamber as the said maximum flow rate, without the transfer chamber pressure to increase.
DE112005000153T 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing Withdrawn DE112005000153T5 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US53449504P 2004-01-06 2004-01-06
US60/534,495 2004-01-06
PCT/US2005/000423 WO2005067634A2 (en) 2004-01-06 2005-01-06 Advanced multi-pressure worpiece processing

Publications (1)

Publication Number Publication Date
DE112005000153T5 true DE112005000153T5 (en) 2006-11-16

Family

ID=34794284

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005000153T Withdrawn DE112005000153T5 (en) 2004-01-06 2005-01-06 Advanced multi-pressure workpiece processing

Country Status (7)

Country Link
US (1) US20050205210A1 (en)
JP (1) JP2007518278A (en)
KR (1) KR20060127019A (en)
CN (1) CN1910308A (en)
DE (1) DE112005000153T5 (en)
TW (1) TWI257647B (en)
WO (1) WO2005067634A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
CN104934353B (en) * 2014-03-18 2018-01-19 北京北方华创微电子装备有限公司 Transmission system, reaction chamber and semiconductor processing equipment
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
WO2018031997A1 (en) * 2016-08-12 2018-02-15 Wisconsin Alumni Research Foundation Methods and systems for transmission and detection of free radicals
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113658891A (en) * 2021-08-19 2021-11-16 上海稷以科技有限公司 Wafer processing device
KR102611478B1 (en) * 2021-09-17 2023-12-08 주식회사 플라즈맵 Apparatus for plasma treatment
WO2023043043A1 (en) * 2021-09-17 2023-03-23 주식회사 플라즈맵 Plasma processing apparatus

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3125232A (en) * 1964-03-17 Transfer device
JPS6362233A (en) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp Reactive ion etching apparatus
DE3731444A1 (en) * 1987-09-18 1989-03-30 Leybold Ag DEVICE FOR COATING SUBSTRATES
US5868854A (en) * 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JP3466607B2 (en) * 1989-09-13 2003-11-17 ソニー株式会社 Sputtering equipment
US5135391A (en) * 1990-04-24 1992-08-04 Micron Technology, Inc. Semiconductor processing gas diffuser plate
KR100238627B1 (en) * 1993-01-12 2000-01-15 히가시 데쓰로 Plasma processing apparatus
DE4427984C2 (en) * 1994-08-08 2003-07-03 Unaxis Deutschland Holding Device for feeding in and out of workpieces in a coating chamber
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
JP2000021871A (en) * 1998-06-30 2000-01-21 Tokyo Electron Ltd Plasma treating method
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
DE19835154A1 (en) * 1998-08-04 2000-02-10 Leybold Systems Gmbh Apparatus for vacuum coating of substrates, in particular, those with spherical surfaces comprises two vacuum chambers which are located adjacent to one another and have rotating transport arms
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP2002026108A (en) * 2000-07-12 2002-01-25 Tokyo Electron Ltd Transfer mechanism for works, processing system and method of using transfer mechanism
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system

Also Published As

Publication number Publication date
KR20060127019A (en) 2006-12-11
CN1910308A (en) 2007-02-07
JP2007518278A (en) 2007-07-05
TW200535928A (en) 2005-11-01
WO2005067634A3 (en) 2005-09-15
TWI257647B (en) 2006-07-01
US20050205210A1 (en) 2005-09-22
WO2005067634A2 (en) 2005-07-28

Similar Documents

Publication Publication Date Title
DE112005000153T5 (en) Advanced multi-pressure workpiece processing
DE69937554T2 (en) SYNCHRONOUS MULTIPLEXED ARCHITECTURE FOR VACUUM PROCESSING WITH A SURPLUS NEAR SULL
DE69731199T2 (en) METHOD AND DEVICE FOR CONTACTLESS TREATMENT OF A SHEET OF SHAPED SEMICONDUCTOR SUBSTRATE
DE60033312T2 (en) PLASMA TREATMENT DEVICE AND METHOD
DE102012206598B4 (en) MANUFACTURE OF METAL HARD MASKS
DE60318170T2 (en) vacuum evaporator
DE10157223B4 (en) A method of making a contact in a semiconductor device using a cluster device having at least one plasma pre-treatment module
DE4412902B4 (en) Process for plasma enhanced, chemical vapor deposition and vacuum plasma chamber
EP3103135B1 (en) Method and device for bonding substrates
DE102010000002B4 (en) Method for depositing multilayer films and / or gradient films
DE112008003029T5 (en) Workpiece carrier with fluid zones for temperature control
DE4412915A1 (en) Plasma treatment plant and method of its operation
EP2521804B1 (en) Inline coating installation
DE112014001586B4 (en) Device for processing two or more substrates in a batch process
WO2012073142A2 (en) Method and device for ion implantation
DE102018004086A1 (en) Continuous flow system and method for coating substrates
EP3422396B1 (en) Device for transport of a substrate, treatment device with a holder plate adapted to a substrate holder of such a device and a method for processing a substrate using such a device for transporting a substrate and treatment plant
DE60104753T2 (en) Method for producing a thin layer on a semiconductor substrate
DE102013111790A1 (en) Energy and material consumption optimized CVD reactor
DE60108078T2 (en) Heating system and method for heating a reactor
DE102014115497A1 (en) Tempered gas supply with diluent gas streams fed in at several points
WO2015132214A1 (en) Device for processing plasma with a circulation of process gas in multiple plasmas
DE102009018700B4 (en) Coating line and method for coating
WO1999030347A1 (en) Method and device for improving surfaces
DE19735399C2 (en) Gas pipe system for a process reactor, in particular a vertical furnace, for the treatment of wafers and method for the treatment of wafers in a process reactor

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 112005000153

Country of ref document: DE

Date of ref document: 20061116

Kind code of ref document: P

8125 Change of the main classification

Ipc: G03F 7/42 AFI20060728BHDE

8139 Disposal/non-payment of the annual fee