TW200529250A - Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) - Google Patents

Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) Download PDF

Info

Publication number
TW200529250A
TW200529250A TW094103756A TW94103756A TW200529250A TW 200529250 A TW200529250 A TW 200529250A TW 094103756 A TW094103756 A TW 094103756A TW 94103756 A TW94103756 A TW 94103756A TW 200529250 A TW200529250 A TW 200529250A
Authority
TW
Taiwan
Prior art keywords
oxygen
bis
patent application
free
item
Prior art date
Application number
TW094103756A
Other languages
English (en)
Inventor
Kang-Sub Yim
Yi Zheng
Srinivas D Nemani
Li-Qun Xia
Eric P Hollar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200529250A publication Critical patent/TW200529250A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/068Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using ionising radiations (gamma, X, electrons)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers

Description

200529250 玖、發明說明 【發明所屬之技術領域】 本發明實施例大致係關於積體電路的製造,詳言之, 係關於一種沉積介電層於一基材上的方法及包括該介電層 之結構。 【先前技術】
自從數十年前首次引入半導體元件至今,半導體元件的 尺寸大致依循摩爾定律,即每兩年縮小一半尺吋的規則在演 進’亦即’ 一個晶片上所能容納之元件的數目係以每兩年成 長一倍的速度在增加。目前,製造廠已能常規地製作0.13微 来甚至0.1微米尺寸的元件,預期未來將能製作出更小尺寸的 元件。 為了進一步降低積體電路上的元件尺寸,必須使用低電 阻的導電材料及低介電常數(k)的絕緣層以降低相鄰金屬線 間的電容搞合現象。這類低k材料的例子之一為旋塗玻璃, 例如’未摻雜的矽玻璃(USG)或摻雜氟的矽玻璃(FSG),其係 可作為一半導體製程中的間隙填充層。低k材料的其他例子 包括摻雜碳的二氧化矽及聚四氟乙烯。但是,持續降低元件 尺寸的動作也使得業界對更低k值來料的需求日益增加。 近來低k材料的研發多集中於將矽、碳及氧原子併入至 沉積層中的技術。此領域的一項挑戰是研發出一種具有低k 特性,内含矽、碳及氧原子,同時還能表現出欲求的熱及機 3 200529250 械性質的材料。較常見的是,具低k值且㈣、碳及氧原子 網路組成的膜層,通常機械強度極差且易於受蝕刻化學劑及 後續電漿處理的破壞’導致積體電路失效。 因此,需要一種能製備低k材料的方法,該低]^材料係能 改善積體電路上兀件的速度及效率,以及該積體電路的耐用 性及機械強度。 【發明内容】 本發明實施例大致係關於一種用以沉積一低k祺層的 方法。在一實施例中,本發明方法包括在足以沉θ 艰 低k 膜層於一基材表面上的沉積條件下,傳送一氣體混合物至 該基材表面,該氣體混合物包括一或多種直鏈、不含氧 有機矽化物,一或多種不含氧的碳氫化物其係… ,, . % 及 一或二個碳-碳雙鍵於該環上,及一或多種氧化氣體。或 者,該低k臈層係經過後處理(post-treated)。在_能# ^
態樣下, 〇低k膜層係經過一電子束的後處理。 在另一實施例中,本發明用以沉積一低k膜層的方、 括在足以沉積一低k膜層於一基材表面上的沉積條件下 送一氣體混合物至該基材表面,該氣體混合物包括傳 種直鏈、不含氧的有機矽化物,一或多種不含氣 山 -„ 的碳氫化 物其係具有如下結構·· 4 200529250
R
CH3
及一或多種氧化氣體。或者,該低k膜層係經過後處理 (post-treated)。在一態樣下,該低k膜層係經過一電子束的 【實施方式】 以下揭示詳細說明。 本發明包括一種用以沉積一包括矽、氧及碳在内之低k 膜層的方法,其係藉由讓一或多種直鏈、不含氧的有機矽 化物’一或多種不含氧的碳氫化物其包含一環及一或二個 碳-碳雙鍵於該環上,及一或多種氧化氣體,在足以沉積出 處理别之膜層網路(pre-treated network)的條件下 反應。該膜層可藉電漿之助於一能形成化學氣相沉積(cvd) 的製程室中沉積而成。該電漿可以一恆定的無線電波(rf) 電力、脈衝式無線電波、高頻RF、雙頻rf、雙相RF或任何 其他習知或尚未發現的電漿生成技術來產生。 在膜層沉積之後,可對該膜層施以後處理,例如,硬 化’其係藉由一電子束來去除懸附的有機基團,例如,沉 積期間被併入至膜層網路中的有機化合物的環狀基團。對 5 200529250
膜層施以後處理的步驟可提光能量至膜層網路以揮發並去 除至少一部份的有機基團,例如在該膜層網路中的有機環 狀基團,而留下具有一低介電常數值之更多孔性的膜層網 路。在大部分的情況中,相較於依據所述實施例製備而成 但未經硬化的膜層來說,以電子束硬化的膜層可展現出至 少雨兩倍’甚至高出六倍,的硬度。以電子束硬化的膜層 表現出非預期的k值下降,及非預期的硬度增加的特性。典 型的情況是’該硬化膜層的介電常數約為25或25以下, 較佳是約2.2或更低,其硬度則約大於〇 6 Gpa。 「有機石夕化物(organosilicon compound)」一詞在此係 包括碳原子於其有機基團中之含矽化合物。有機基團可包 括烷基、烯烴基、及乙烯基及其之衍生官能基。較佳是, 該有機矽化物包括一或多個連接至一矽原子的碳原子,其 中該碳原子並無法輕易的由製程條件中的氧化反應加以移 除。 適當的直鏈型、不含氧的有機矽化物包括脂肪性有機 带化物,其具有由一或多個碎原子與一或多個石炭原子所構 成的直鏈或支鍵結構。部分例式的直鏈、不含氧的有機石夕 化物包括: 曱基甲梦烧 CH3-SiH3
=曱基曱(CH3)2-SiH2 三·曱基甲石夕姨* (CH3)3-SiH 四甲基甲石夕烧 (CH3)4-Si 6 200529250 乙基甲碎院 二曱矽烷基甲烷 雙(甲基甲矽烷基)甲烷 1,2-二甲矽烷基乙烷 1,2-雙(甲基甲矽烷基)乙烷 2,2_二甲矽烷基丙烷 二乙基甲矽烷 丙基甲矽烷 乙烯基甲基曱矽烷 1,1,2,2-四曱基乙矽烷 六甲基乙矽烷 1,1,2,2,3,3-六曱基丙矽烷 1,1,2,3,3-五曱基丙矽烷 1,3-雙(甲基矽甲烷基)丙烷 1,2-雙(二甲基矽甲烷基)乙烷 1,3-雙(二甲基矽甲烷基)丙烷 CH3-CH2-SiH3
SiH3-CH2-SiH3 CH3-SiH2-CH2-SiH3-CH3
SiHrCH2-CH2-SiH3 CH3-SiH2-CH2-CH2-SiH2-CH3
SiHrC(CH3)2-SiH3 (C2H5)2-SiH2 C3H7-S1H3 (CH2=CH)- S1H2-CH3 (CH3)2-SiH-SiH-(CH3)2 (CH3)3-Si-Si-(CH3)3 (CH3)2-SiH-Si(CH3)2-SiHKCH3)2 (CH3)rSiH-SiH(CH3)-SiH-(CH3)2 CHrSiH2-CH2)rSiH2-CH3 (CH3)rSiH-(CH2)2-SiH-(CH3)2 (CH3)2-SiH-(CH2)3-SiH-(CH3)2
該一或多個直鍵、不含氧的有機碎化物係與一或 不含氧之碳氩化物反應,該碳氫化物包含一或多個環 或二個碳-碳雙鍵在該環上。該環可只包含4個碳原子 外,該環較佳是鍵結在一直鏈或支鏈的官能基上。該 或支鏈的官能基較佳是含有一烷基或乙烯烷基團且具 1至20個碳原子。在一較佳實施例中,該不含氧之碳氫 具有如下之通式: 多個 及一 〇此 直鏈 有約 化物 7 200529250
CH
其中R係由具有1至5個碳原子的直鏈烷基團中選出。 實施例中,R是一甲基且該不含氧之碳氫化物是市售 萜品烯(alpha-terpinene,ATP)。 該一或多個直鏈、不含氧的有機矽化物及該一或 不含氧之碳氩化物係與一或多種氧化氣體反應。適當 化氣體包括氧氣(〇2)、臭氧(03)、一氧化二氣(n20)、 化碳(CO)、二氧化碳(c〇2)、水、2,3-丁二酮或其之組 或者,該低k膜層係經過後處理(post-treated)。在 樣下,該低k膜層係經過一電子束的後處理。當以臭氧 氧化氣體時,一臭氧產生器可將ό °/〇至2 0 %,典型 15%(重量%)之-氧氣來源中的氧氣轉換成臭氧,其他 的則仍為氧氣。但《,可依據所需臭氧量及所使用臭 生器的形式來增加或減少臭氧濃度。可於氣體進入沉 月J於微波至中將氧氣或内含氧的化合物加以解離 以降低該含⑦化合物的過度解離。較佳是,施加一無 波電力於反應區中以增加解離度。 或者,除了該一或多個直鏈、不含氧的有機矽化 或多個不含氧之碳氫化物與一或多種氧化氣體外, 在一 之α· 多個 的氧 一氧 合。 一態 作為 是約 剩餘 氧產 積室 ,藉 線電 物、 可再 8 200529250 於>儿積至中引入一或多種載氣。可使用的載氣的例子包括 氦氣、氬氣、氫氣、乙烯及其之組合。 此外,也可在該一或多個直鏈、不含氧的有機矽化物、 一或多個不含氧之碳氩化物與一或多種氧化氣體外,再於 沉積室中引入一或多個含氧的有機矽化物。部分例式的含 氧的有機石夕化物包括: 1,3,5,7-四甲基環四矽氧烷(TMCTS) -(SiHCHrCKU-(環狀)
八曱基環四矽氧烷(OMCTS) -(Si(CH3)2-0-)4-(環狀) 1,3,5,7,9-五曱基環五石夕氧烷 -(SiHCH3-0-)5-(環狀) 1,3,5,7-四矽氧烷-2,6-二氧基-4,8-二甲撐-(SiH2-CH2- SiH2-0-)2-(環狀)
六曱基環三矽氧烷 二乙氧曱基甲矽烷(DEMS) 1,3-二甲基二矽氧烷 1,1,3,3-四甲基二矽氧烷 六曱基二矽氧烷(HMDS) 1,3-雙(石夕烷曱標)二矽氧烷 雙(1-甲基二矽氧烷基)甲烷 2,2-雙(1-曱基二矽氧烷基)丙烷 六曱氧基二矽氧烷(HMDOS) -(Si(CH3)r〇-)3-(環狀) CH3-SiH-(0-CH2-CH3)2 CHrSiH2-0-SiHrCH3 (CH3)2-SiH-0-SiH-(CH3)2 (CH3)3-Si-0-Si-(CH3)3 (SiH3-CHr〇- SiH2_)2-CH2 (CHrSiHrO- SiH2-)2-CH2 (CH3-SiH2-0- SiH2-)2-C(CH3)2 (CH30)3-Si-0-Si-(0CH3)3 二曱基二曱氧基矽烷(DMDMOS) (CH30)2-Si-(CH3)2 二曱氧基甲基乙烯基矽烷(DMMVS) (CH30)2-Si-(CH3)-CH2=CH3 較佳是,該沉積膜層的碳含量在不計算氫原子的情況 下是約5至約3 0原子% ;例如,硬化後是約1 〇至約3 0原子%。 9 200529250 該沉積臈層的碳含量係指該膜層結構的元素分析而言。該 碳含量係由該沉積膜層的碳原子數百分比來代表,其不叶 入氮原子數目’因氫原子數非常難定量。舉例來說,一具 有平均為-個碎原+…氧原子、_碳原子及兩個氮原子 的膜層具有20原子%之碳含量(每5個原子中有一個碳原子) 或是在不計算氫原子時具有3 3 0原子%之碳含量(每3個原 子中有一個是碳原子)。
沉積時’基材典型係維持在約251至約35(rc的溫度 下。對一約3 00毫米的基材來說,典型係使用約〇〇7瓦/平 方公分至約2_ 8瓦/平方公分之電力密度,其係為一種約5〇 瓦至約2000瓦間的RF電力。較佳是,該rf電力係在約1〇〇 瓦至約1 500瓦間。該RF電力係以約〇 〇1MHz至約3〇〇MHz 的頻率來提供。該RF電力可以是循環的或脈衝式,以降低 對基材加熱的情況,並促進沉積膜層的多孔性。該RF電力 可以是連續的或是不連續的。 在本發明一態樣中,該一或多個直鍵、不含氧的有機 石夕化物係以約1 〇 〇 S C C m至約2,〇 〇 〇 s c c m的流速,例如約3 0 0 seem至約2,〇〇〇 sccm的流速,較佳是約〗,〇〇〇 sCCm的流速被 引入至一化學氣相沉積室中。該一或多個不含氧的碳氫化 物係以約1 〇〇 sccm至約5,0 00 seem的流速,例如約500 seem 至約5,〇〇〇 secm的流速,較佳是約3,〇〇〇 seem的流速被引入 至一化學氣相沉積室中。最佳是,該不含氧的有機矽化物 與該不含氧的碳氫化物之流速比是約1 ·· 3。該一或多種氧 10 •200529250 化氣體流速係介於約5 0 s c c m至約5,0 0 〇 s c c m間,例如約 100 seem至約l,〇〇〇 sccm的流速,較佳是約200 seem的流 速。該一或多種選擇性加入的載氣流速係介於約500 seem 至約5,000 seem間。較佳是,該直鏈、不含氧的有機矽化 物是三甲基甲石夕烧(trimethylsilane),該不含氧的碳氫化物 是α-袍品烯,且該氧化氣體是氧氣且其中混有二氧化碳。
較佳疋’在沉積該低k膜層後,對該膜層施以後-處理 (post-treatment)。可以熱或電漿增強硬化製程或電子束來 進行該後-處理。在一實施例中,該膜層係於約2〇〇(rc至約 400°C的溫度硬化約2小時至約}小時,較佳是約3〇分鐘。一 諸如氦氣、氫氫、氮氣或其之混合物係以約1〇〇 sccm至約 1〇,〇〇〇 seem的速率被引入。製程室壓係維持在約2拢耳 (t〇r〇至約1〇按耳間。硬化期間該”電力係約2〇〇瓦至約 1,〇〇〇瓦,頻率約13.56 MHz,且較佳的基材間距是約· 密爾至約8〇〇密爾間。在沉積該低k膜層後,於約2〇〇t:至約 40(TC的溫度下硬化該膜層以將至少部分膜層上的有機基 成孔係。可被揮發的有機基團 中的有機組成,例如該一或多 含一環及一或兩個位在該環上 團加以揮發’以在膜層中形 係衍生自此所述氣體混合物 個不含氧之碳氫化物,其包 的碳-碳雙鍵。 在另一實施例中,兮U^ Λ 該低k膜層係以電子束進行後-處 理。該電子束處理中的雷J. ^
〒的電子束典型劑量為在約1至20 KeV 下’約50微庫倫/平方公分〈 2 丁刀△刀Uc/Cm2)至約2000微庫倫/平方公 11 200529250 分。該電子束處理典型是在約室溫至約45 ϋ c的溫度下執行 約1分鐘至約1 5分鐘,例如約2分鐘。較伟 &佳是,該電子束處 理是在約400 °C的溫度下執行約2分鐘。左 牧〜態樣中,該電 子束處理的條件包括400。(:下, 4*5KV ' 1.5mA 及 1 50 pc/cm2。雖然可使用任一種電子走挺 电卞末裝置,例示的裝置 是美商應用材料公司出售的EBK室。 該電子束硬化製程可改善沉積膜層網路的機械強度同 時可降低k值。該激發的電子束可改變沉積祺層分子網路中 的化學鍵結並移除至少部分的分子基團,_如該含有一環 種不含氧之碳氫 且環上具有"或 '一個碳-碳雙鍵之一或多 化物其環上的有機組成。移除分子基團的動作可在該膜層 上創造出孔隙並降低k值。該電子束處理也可藉由^ Si-0-Si與Si-C-Si間產生交聯而能強化臈層網路結構,此可 由FTIR光譜分析獲得證實。 以下揭示一例示用以沉積一低k膜層之製程室的實施 例0 例示的CVD反應器 第1圖示出一具有高真空區15之平行的板狀化學氣相 沉積室10的一垂直、截面圖。該製程室1〇包含一氣體分配 歧管1 1其具有用以分散製程氣體的孔洞使穿過其中並到達 一基材。該基材係置放在一基材支樓板或承接板1 2上。該 承接板12係架設在一支撐柱13上,用以連接該承接板12至 12 200529250 一舉升馬達14。該舉升馬達14可在一處理位置及一較低的 基材承載位置間升高或降低該承接板丨2,使得該承接板 1 2(且該基材係支承在該承接板2 2之上表面)以可控制的方 式在一較低的承載/卸載位置及一較高的靠近該歧管11的 處理位置間移動。當該承接板12及基材是在較高的處理位 置時’其係由一絕緣器1 7所圍繞。
在處理期間,引入至該歧管1 1的氣體係均勻的徑向分 布板跨該基材表面。具有一節流閥之真空幫浦3 2可控制自 版程至中由歧管24排出氣體的速率。沉積氣體及載氣流動 通過氣體管線1 8進入一混合系統1 9之後再進入該歧管丨}。 大致說來,每一製程氣體供應管線18包括(i)安全關閉閥 (未不出),其係用來自動或手動關閉製程氣體使不會流入 製程室,及(ii)流量控制器(未示出),其係用來測量通過氣 體供應管線之氣體的流量,當製程中有使用有毒氣體時, 會在每一製程氣體供應管線丨8上設置數個安全關閉閥。 沉積製程係在製程室1 〇中執行,其可以是一種熱製程 或一電漿增強製程。在電漿製程中,典型係在氣體分配歧 吕11上以一 RF電力供給25施加一 RF能量以在靠近該基材 處形成一受控制的電漿。或者,可在承接板12上提供RF電 力或是將RF電力以不同的頻率提供到不同的組件上。該RF 電力供給25可提供單頻或混頻的rf電力以增強被引入至 阿真空區15之反應性物種的沉積。一混頻的111?電力供給典 型可於一 13.56 MHz之高RF頻率(RF1)下供應電力至分配 13 200529250 歧管11,並在一 360 KHz之低RF頻率(RF2)下提供電力至該 承接板1 2上。 當需要將氧化氣體進一步解離時,可在氣體進入製程 室之前以一微波室28來輸入約〇瓦至約6000瓦的電力至該 氧化氣體上。使用該額外的微波電力於氧化氣體上,可避 免該有機矽化物在與氧化氣體反應前即被過度解離。
典型情況是,製程室襯墊、歧管11、承接板12及各種 其他的反應器硬體之任一者或全部,均係由諸如鋁或陽極 化鋁之類的材料所製成。這類CVD反應器的例子之一揭示 於王等人之美國專利第5,000,113號,標題為「A Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process」,其全文並入於此作為參考。該處理系 統1 〇可被整合至一積體製程平台上,例如美商應用材料公 司所出品的Producer®平台。該Producer®平台的詳細内容揭 示於本申請案共同受讓人所有之M ay dan等人之美國專利 第 5,855,68 1 號,標題為「Ultra High Throughput Wafer
Vaccum Processing System」,其全文併入於此作為參考。 一系統控制器3 4可控制該舉升馬達1 4、該氣體混合系 統1 9、及RF電力供給,其係由控制線3 6連接上述各者。該 系統控制器3 4可控制該CVD反應器的活動且典型包括一硬 碟、一軟碟及--^架(card rack)。該卡架含有一單板電腦 (single board computer,SBC)、類比與數位輸入/輸出板、 14 200529250 介面板及步進馬達控制器板。該系統控制器3 4符合專門用 來疋義板、卡架及連接器尺寸與類型之Versa Modular Eupoeans (VME)標準。該VME標準也定義具有16_位元資料 匯流排及24-位元位址匯流排之匯流排結構。
第2圖不出一與第!圖該例示的cvd反應器合用的電腦 程式產品的階層控制結構流程圖。該系統控制器3 4係在一 電腦程式410的控制下進行操作,該電腦程式41〇係儲存在 硬碟38上。該電腦程式可指示出一特定製成的時間、氣體 混合物、RF電力、承接板位置、及其他製程參數。可以任 何一種習知電腦可讀的程式語言來撰寫該電腦程式碼,例 如68000組合§吾言、c、c + +或。適當的電腦程式碼 係以一習知的文字編輯器輸入至一單獨檔案或多個檔案 中’並儲存或嵌在一電腦可用的媒體上,例如電腦的一記 憶體系統。如果所輸入的程式碼文字是高階語言,該程式 碼即被加以編譯,之後將所得該編譯過的程式碼與一預編 譯視由-貝料庫常式的目的程式碼加以連結。為執行所連結 之編譯過的目的程式碼,系統使用者會啟動該目的程式 碼’致動電腦系統載入儲存於記憶體的輕式螞,之後cpu 讀了該程式碼後即會執行程式中所定義的工作。 再次參照第2圖,一使用者會輸入一製程組號碼及製程 室號碼到一製程選擇器次常式420中以回應顯示在CRT監 視器上之由光筆介面所啟動的選單。該製程選擇器次常式 42〇(1)從一工具叢集(例如,美商應用材料公司之Centura® 15
200529250 平台)中選出一欲求的製程室;及(ii)選出_可 室以執行所欲製程之一組製程參數。該用以執 程的製程參數係以配方方式提供給使用者,且 如製程氣體組成、流速、溫度、壓力之類的反 如RF偏壓電力高低及磁場電力之類的電漿條件 壓力及製程室壁溫度。由配方指定的參數係以 視器介面輸入。用以監視製程的訊號是由系統 類比及數位輸入板來提供,且用以控制製程的 至系統控制器3 4的類比及數位輸入板上。 一製程排序次常式43 0包含用以接受來自 器次常式420指定之製程室及製程參數組的程玄 控制各製程室之運作的程式碼。多個使用者可 程組號碼及多個製程室號碼,或是依使用者可 程至號碼,使得排序次常式43 〇可以欲求方式來 程之順序。較佳是,該排序次常式4 3 〇包括電腦 碼用以執行下列步驟··⑴監視製程室的運作以 室是否被使用;(ii)決定該正被使用的製程室應 程,及(n〇依據製程室目前可被利用的情況及 程種類來執行欲求的製程。可使用習知用以監 方法,例如抽樣調查。當對製程排序時,該排3 可破扣疋需將目前JL在使用的製程室的狀況納 -選定製程的欲求製程條件相比較,或是每一 者請求的時間歷史,或是任一系統程式人員想 操作該製輕 行一特定製 其係有關諸 應條件,諸 ,冷卻氣體 光筆/CRT監 控制器3 4的 訊號係輸出 該製程選擇 I碼,及用以 輸入多個製 輸入多個製 排定所選製 可讀的程式 決疋該製程 執行哪一製 所欲執行製 視製程室的 ^次常式4 3 〇 入考量並與 輸入之使用 要納入以& 16 200529250 定排序先後順序的相關因素。
一旦排序次常式430決定出接下來將要執行的製輕室 與製私組的組合後’該排序次常式4 3 0會藉由將該特定製程 組參數傳到一製程室管理次常式440上而致動該製程的執 行,該製程室管理次常式440係可依據由該排序次常式430 所決定的製程來控制一製程室中的多項工作。舉例來說, 該製程室管理次常式44 0包括用以控制製程室1〇中之CVD 製程之運作的程式碼。該製程室管理次常式44〇也可 制各 種製程室組件次常式的執行,該等製程室組件次常 巧係可 控制用來執行選定製程所必須使用之製程室組件的 、 j 3¾ 作。 該等製程室組件次常式的例子包括承接板控制 吊式 450、製程氣體控制次常式460、壓力控制次常式47〇、 、加熱 器控制次常式480、及電漿控制次常式49〇。習知技藝人 應能輕易了解也可視需要(例如,一製程室中應執行 、 丨〜欲 求製程等)納入其他製程室控制次常式。 操作時,該製程室管理次常式44〇會依據欲執行之特〜 製程組來專一性的排序或呼叫該製程組件次常式。制 °茨製程 室管理次常式440將製程組件次常式排序的方式與辨序^ 常式43 0對接下來欲執行之製程室與製程組的排序 人 々式类員 似。典型的情況是,該製程室管理次常式44〇包括 •歹 l| 驟·監視各種製程室組件、依據將被執行之製程組的製。 參數決定哪一組件將被操作、並致動製程室組件次常式秩 執行以回應該監視及決定步輝。 的 17 200529250
特定製程室組件次常式的操作將參照第2圖詳細說明 如后。該承接板控制位置次常式45〇包含用以控制將基材載 入至承接板12上及選擇性的舉升該基材至製程室ι〇中一欲 求高度以控制該基材與該氣體分散歧管丨丨間的距離之製程 室組件的程式碼。當一基材被載入至該製程室10中,下降 該承接板12以收受該基材,之後,升高該承接板12至製程 室中的欲求高度,以於CVD製程中維持該基材與該氣體分 配歧管11相距一第一距離或間距。在操作時,該承接板控 制次常式450可控制該承接板12的移動,以回應由該製程室 管理次常式440所傳來的製程組參數。 該製程氣體控制次常式4 6 0包含用以控制製程氣體組 成及流速的程式碼。該製程氣體控制次常式4 6 〇可控制該安 全關閉閥的開/關位置,也可將流量控制器往上/下調節以 獲得一欲求的氣體流速。該製程氣體控制次常式4 6 〇係由該 製程室管理次常式440所啟動,其他所有製程室組件次常式 亦然。並可從製程室管理次常式接收關於欲求製程氣體流 速的製程參數。典型的情況是,該製程氣體控制次常式46〇 藉由打開氣體供應管線並重複下列步驟來進行運作:(丨)讀 取必須的質量流控制器,(i i)將讀值與從製程室管理次常 式440所接收之欲求的流量速度相比較,及(Ηί)必要時調整 該氣體供應管線的流速。此外,該製程氣體控制次常式4 6 〇 也包括下列步驟:監視不安全的氣體流速,及在彳貞測到不 安全狀況時啟動該安全閥。 18
200529250 在某些製程中’會在引入反應性製程氣體之前,輪 一諸如氦氣或氬氣之類的惰性氣體至製程室1 〇中,以穩 製程室中的壓力。對這些製程而言,該製程氣體控制次 式460係被程式化以執行將惰性氣體流入至製程室丨〇中 段時間以穩定製程室壓力的步驟’之後,即可接著執行 述的步驟。此外’當製程氣體係由一前趨物液體中揮發 來時,該製程氣體控制次常式460可被寫入包括從一通氣 件通入一諸如‘氦氣之類的載氣至該前趨物液體的步驟的 式。對這類步驟而言,該製程氣體控制次常式460可調控 載氣的流速、通氣廢力、及通氣溫度以便將製程氣體流 維持在欲求範圍。如上述,欲求的製程氣體流速係被傳 到該製程氣體控制次常式460以作為製程參數使用。此外 該製程氣體控制次常式460也包括藉由存取内含一給定 程必要的氣體流速值之儲存表格來為欲求製程氣體流速 得必要的載氣流速、通氣壓力、通氣溫度的步驟。一旦 得該等必要數值後,即可監控該載氣的流速、通氣壓力 通氣溫度’並依據所得數值加以調整。 該壓力控制次常式470包含可控制製程室1〇壓力的 式碼’其係藉由調控排氣幫浦32之節流閥打開的大小來 制壓力。節流閥打開的大小可相對總製程氣體流、製程 體積、及排氣幫浦32的設定點壓力來控制製程室壓力至 求範圍。田壓力控制次常式4 7 〇被致動後,會從該製程室 理次常式440接收欲求的目標壓力值作為一參數。該壓力 入 定 常 前 出 組 程 該 速 送 , 製 獲 取 及 程 控 室 欲 管 控 19
200529250 制次常式4 7 0可運作以測量製程室1 0中的壓力, 取連接到該製程室的習知壓力計上的值,再 較,從對應至目標麈力值之壓力健存表格上系 (比例、完整壓力及分麋)’並依據该PID值調整 者,該壓力控制次常式470可被寫入可打開或關 至一特定打開大小的輕式瑪’以調控該製程室 欲求範圍。 加熱器控制次常式4 8 0包含用以控制可加 12之加熱模組或輻射熱之溫度的程式碼。該加 常式480也可為該製程室管理次常式440所致動 度參數的一目標值或一設定值。該加熱器控讳 可測量溫度,其係藉由測量該承接板1 2上一熱 位,並與一溫度設定值比較,之後再增加或降 熱模組上的電流,以獲得該設定的溫度值。可 儲存轉換表上的對應溫度而從所測得的電位計 或是以一多元四次方程式來計算出該温度值。 制次常式480可藉藉由往上/往下調整來逐步控 加熱模組上的電流。該逐步往上/往下調節的方 加熱模組的壽命及其之可信賴度。此外,可引 失效-安全模式,用以偵測製程是否符合安全性 在製程室10的操作不恰當時關閉該加熱模組。 電漿控制次常式4 9 〇包含用以設定施加到 製程電極上該RF偏壓電位電力高低的程式碼, 其係藉由讀 與目標值比 I得一 PID值 節流閥。或 閉該節流閥 10之壓力至 熱該承接板 熱器控制次 ,並接收溫 ij次常式4 8 0 耦的輸出電 低施加到該 藉由查看一 算出溫度, 該加熱器控 制施加到該 式可增加該 入一内建的 設定,並可 製程室10中 且可選擇性 20 200529250 的設定由反應器所產生的磁場大小。類似前述製程室組件 次常式,該電漿控制次常式490也可為該製程室管理次常式 440所致動。
用以沉積本發明一低k值膜層的方法並不限於任一特 定設備上來實施也不限以任一特定電漿激發方法實施。上 述CVD系統的描述僅為闡述之用,也可使用其他CVD設 備,例如電子迴旋加速共振(electrode cyclotron resonance, ECR)電漿CVD裝置、誘發式-耦合RF高密度電漿CVD裝置 等等。此外,也可對上述系統作各種變化,例如改變承接 板的設計、加熱器的設計、RF電力連接的位置等。例如, 可用一電阻式加熱的承接板來支撐並加熱一基材。 低介電常數膜層(低k膜層)的發 第3圖示出一具有本發明一低1^膜層沉積於其上之嵌刻 結構。該低k膜層係以介電層314沉積在一介電襯墊層或阻 障層312上。一帽層316係沉積在該介電層314上。該帽層316 係在後續基材處理期間作為—為力丨 别间馮蝕刻終止層或是作為一襯墊 層。該帽層316、介電層314及介電襯 汉"电m蝥層或阻障層3 1 2係被 圖案化蚀刻以界定出内遠綠 出円連線317的開口,例如可暴露出其下 導電特徵310的蝕刻線。一 导電襯墊層/阻障層318係沉積在 該内連線317中,曰—迸恭,, 導電材料320係沉積於其上以填充該 内連線317。該基材典型係一 ”斤不’在沉積後被施以平坦化 處理。 21 200529250
第4 A-4C圖是具有本發明一低k膜層沉積於其上之基 材300的截面示意圖。如第4A圖所示,一低k介電層314係 以約5,000A至約1〇,〇〇〇Α的厚度(視所欲製造結構的大小而 定)’被沉積在該襯墊層或阻障層312上。該襯墊層或阻障 層312可以疋一碳化碎層(siiic〇11 carbide layer),例如,使 用一惰性氣體之電漿自一烷基矽烷的PECVD中獲得。該碳 化矽層可摻雜氧或氮。該襯墊層或阻障層312也可包含其他 材料,例如氮化矽,其係可將導電材料(例如銅,其也可包 含之前形成在基材300上的導電特徵300)的氧化和或擴散 降至最低。 之後’以三曱基矽烷間的反應將該帽層316(其可以是 一具有低k值的碳化矽層)沉積在該介電層314上,厚度約 200A至約1〇〇〇人,對一 2〇〇毫米晶圓來說,所使用rF電力約 為10瓦至1 000瓦間。該碳化矽材料也可摻雜氧或氮。 如第4B所示,該帽層316、該介電層314及該襯墊層或 阻障層3 1 2係被圖案化以界定出内連線3 1 7及暴露出基材 3 〇〇上的導電特徵310。較佳是,以習知的微影蝕刻方法及 碳化矽層蝕刻法來將該帽層3 1 6、該介電層3 1 4及該襯墊層 或阻障層312加以圖案化。用來圖案化該帽層316的任一光 阻或其他材料再以氧氣剝除法或其他適當方法加以移除。 在沉積材料蝕刻及移除光阻材料之後,可以一反應性 預-清潔製程來處理該帽層316、該介電層31 4及該襯墊層或 阻障層3 1 2被暴露出來的部分,以移除可能形成於該内連線 22
200529250 317之暴露表面上級基材表面上之任何污染物 殘留物及氧化物。該反應性預-清潔製程包含將 一電漿下,該電漿較佳是包含氫、氬、氦、氮 合物,對一 2 0 0亳米的晶圓來說,所使用電流 0.03瓦/平方公分至3.2瓦/平方公分間,或至少$ 瓦間。在該反應性預-清潔製程期間,製程室係 拢耳或更低的壓力下,且基材溫度是約450 °C 下。 參照第4 C圖,在蝕刻該帽層3 1 6、該介電 塾層或阻障層3 1 2以界定出内連線3 1 7且光阻被 以一導電材料3 2 0來填充該内連線3 1 7。該結構 諸如IS、銅、鎢或其之組合的導電材料來進行 趨勢是使用銅來形成較小的特徵,因銅的電 (1.7Q-cm,鋁則是3·1Ωπιη)。 較佳是,該導電阻障層3 1 8係先被同形沉積 317上,以防止銅遷移進入周圍的石夕和/或介電 障層包括鈦、氮化鈦、鈕、氮化鈕及其之組合 知的阻障層材料。之後,以化學氣相沉積法、 積法、電鍍或其之組合來沉積銅3 2〇以形成該導 旦該結構已充填了銅或其他導電材料後,即可 研磨方式來將其表面平坦化,以製造出如第3圖 嵌刻結構。 第5圖示出一包含兩低k膜層及兩碳化矽帽 、材料顆粒、 基材暴露在 、或其之混 密度係介於 约10瓦至100 維持在約20 或更低溫度 | 314及該襯 移除之後, 較佳是以一 填充。目前 阻較低之故 於該内連線 材料中。阻 ,及其他習 物理氣相沉 -電結構。一 以化學機械 所示的最終 層或有摻雜 23
200529250 之碳化矽帽層沉積於其上之雙嵌刻結構。基材500上形 一導電特徵502。第一低k膜層係以第一介電層510的形 積在一襯墊或阻障層5丨2上,例如,碳化矽層。一第一 石夕帽層514係沉積在該第一介電層510上。該碳化矽帽^ 可降低該低k膜層的介電常數,且其被圖案化蝕刻以界 垂直内連線(例如接觸孔/通孔)的開口。對雙嵌刻應 說’一包含該第二低k膜層之第二介電層518係沉積在 案化的碳化矽帽層5 1 4之上。該第二碳化矽帽層5 1 9係 在該第二介電層518上且其被圖案化蝕刻以界定出水 連線(例如,接觸線)。並在以一導電材料填充該内連 前’執行一蝕刻製程以便往下界定出該水平内連線直 作為一蝕刻終止層之該第一碳化矽帽層5 1 4為止,並界 該垂直内連線及將基材5 00上的導電特徵5 02暴露出來 示於第5圖之該用來製備一雙嵌刻結構的較佳方 繪示於第6A-6G圖中,其係具有本發明該低k膜層沉積 上之基材的橫斷面圖。如第6A圖所示,該低k膜層之 初的第一介電層510係沉積在該襯塾或阻障層512上至 於約5,000A至約10,000A的厚度,視所欲製造的結構 而定。該襯墊層512可以是一種碳化矽膜,並可摻雜有 氮。該襯墊/阻障層512也可包含其他材料,例如氣化 用以將諸如銅之類的導電材料的氧化和/或擴散降 低,該導電材料可能包含先前形成在基材500上的導電 502 ° 成有 式沉 碳化 ^ 514 定出 用來 該圖 沉積 平内 線之 到可 定出 〇 法係 於其 一最 一介 大小 氧或 石夕, 至最 特徵 24 200529250
如第6B圖所示,對一 200亳米的基材來說,可以界於 約10瓦至約1 000瓦的RF電力將包含一碳化矽層或含有摻 雜物的碳化石夕層之該第一帽層5 1 4係被沉積在該第一介電 層上,厚度約200人至約1000A。之後對該第一帽層514施以 圖案化蝕刻’以界定出該接觸/通孔之開口 5 1 6,及將位於 該所欲形成之接觸/通孔區域的第一介電層510暴露出來, 如第6 C圖所示。較佳是,以習知的微影蝕刻製程及碳化矽 膜的银刻方法來圖案化餘刻該第一帽層5 1 4。 在該第一帽層5 1 4被蝕刻以將該接觸/通孔5 1 6圖案化 且光阻被移除之後,如前述第一介電層510—樣,在該第一 帽層514上沉積一厚約5,000 A至約i〇,〇〇〇 A之第二介電層 518,如第6D圖所示。 將包含一碳化矽層或含有摻雜物的碳化矽層之該第二 帽層519沉積在該第二介電層518上,厚度約200A至約 100 0人。該碳化矽材料可摻雜有氧或氮。之後對該第二帽 層5 1 9施以圖案化蝕刻,以界定出如第6 E圖所示之線5 2 0。 之後,以反應性離子#刻或其他非等向性蝕刻技術來蝕刻 該線5 2 0及接觸/通孔5 2 1 ’以定義出該金屬化結構(亦即, 該線及接觸/通孔之開口),及將該導電特徵520暴露出來, 如第6F圖所示。可使用氧氣剝除或其他適當製程來移除用 來圖案化及蝕刻該第二帽層519時所用的光阻522及其他材 料。 在#刻沉積材料及移除光阻材料之後,可以上述之一 25 200529250 反應性預-清潔製程來處理該第二帽層519、該第二介電層 518、該第一帽層514、該第一介電層510及該襯墊或阻障層 5 1 2被暴露出來的部分,以移除可能形成在該接觸/通孔開 口 516、該襯墊開口 520及該導電特徵502被暴露出來之部分 上的污染物、顆粒物質及氧化物。
之後以一諸如鋁、銅、鎢或其之組合之類的導電材料 來形成該金屬化結構。目前的趨勢是使用銅來形成小型特 徵,因為銅的電阻較低之故(1.7Q-cm,鋁則是3.1Q-cm)。 較隹是,如第6G圖所示,一導電阻障層524係先被同形沉 積於該金屬劃圖樣中,以防止銅遷移進入周圍的矽和/或介 電材料中。阻障層包括欽、氮化鈦、组、氮化鈕及其之組 合,及其他習知的阻障層材料。之後,以化學氣相沉積法、 物理氣相沉積法、電鍍或其之組合來沉積銅5 2 6以形成該導 電結構。一旦該結構已充填了銅或其他導電材料後,即可 以化學機械研磨方式來將其表面平坦化,以製造出如第5 圖所示的最終篏刻結構。 這些處理步驟較佳是被整合在一處理平台上以避免污 染基材。一例示之集積的處理工具是美商應用材料公司所 出售的END UR A平台。第7圖為一例示具有多個製程室的 系統70 0(例如,ENDURA®平台)之平面圖。一類似的多個 製程室處理系統係揭示於1 993年2月1 6曰核准之美國專利 第 5 1 8671 8號中’標題為「Stage Vaccum Wafer Processing
System and Method」,其全文在此併入作為參考。 26 200529250
系統700大致係包括用以傳送基材進出系統7〇〇之負載 鎖定室7〇2、704。因系統7〇〇_般時係處於真空狀態,該負 载鎖定室702、704可將引入至系統7〇〇中的基材壓力往下調 節。一第一機器人71〇可在該負載鎖定室7〇2、7〇4及一第一 組之一或多個基材處理室712、714、716、718(示出4個) 之間移送基材。每一基材處理室712、714、716、718可設 定以執行數種基材處理操作,例如循環沉積不同或相同的 沉積層、化學氣相沉積、物理氣相沉積、蝕刻、預_清潔、 脫氣、疋位及其他基材處理。該第一機器人71〇也可在一或 多個傳送室722、724之間傳送基材。一第二機器人73〇可在 該傳送室722、724及一第二組之一或多個基材處理室73 2、 734、736、738之間移送基材。與基材處理室712、714、716、 718類似,該處理室732、734、736、738也可被設定以執行 數種基材處理操作,例如循環沉積不同或相同的沉積層、 化學氣相沉積、物理氣相沉積、蝕刻、預-清潔、脫氣、定 位及其他基材處理。如果一將在系統7〇〇上執行之特定製程 並不需要某一基材處理室時,也可將任一該處理室712、 714、 716、 718、 732、 734、 736、 738自系統700中移除。 在一態樣中,每一處理室732及738可以是一用來沉積 一晶核層的循環沉積室;每一處理室734及736可以是適以 形成一大塊填充沉積層之循環沉積室、化學氣相沉積室、 物理氣相沉積室;每一處理室712及714可以是適以沉積如 所述之一介電層之循環沉積室或一化學氣相沉積室;且每 27
氧氣 氛氣 200529250 一處理室7 1 6及7 1 8可以是適以餘刻出内連線孔洞或 一蝕刻室。所述系統7 0 0之一特定配置僅係作為參考 闡述本發明,非用以限定本發明範鳴。 下列實施例闡示本發明低介電常數膜層。該等 以一諸如Producer® DxZ系統之類的化學氣相沉積 沉積在一 300毫米的基材上,該Producer⑧DxZ系統 化學氣相沉積室具有兩個處理區域’且該系統係美 材料公司的產品。 實施例1 在約8托耳的壓力及約225 °C的基材溫度下在一 米的基材上沉積一低介電常數膜。所使用的製程氣 流速如下示: 三甲基曱矽烷(TMS) 1,000 seem α-陪品婦(ATP) 3,000 mgm 200 seem 1,500 seem 該基材與該氣體分配喷頭相隔約3 00密爾(mils) 喷頭上施加13.56 MHz、600瓦電力以進行膜層之電 沉積製程。該膜層係以約1,000A/分鐘的速率沉積。 °C 下,使用 4.5KeV、1.5 mA、劑量約為 1 50pc/cm2 之 子束處理(e_處理)來處理所沉積之膜層。該e-處理係 開口之 及用以 膜層係 室加以 之每一 商應用 3 00 毫 體及其 。在該 漿增強 在400 高溫電 持續約 28 200529250 4分鐘。在e-處理之後,以SSM 5100 Hg CV測量工具在 0.1 MHz下測量,該膜層的介電常數值約為25。該膜層之 折射率約為1 . 3 5。 實施例2 在約8牦耳的壓力及約225 °C的基材溫度下在一基材上 沉積一低介電常數膜。所使用的製程氣體及其流速如下示··
α-萜品烯(ATP) 3,000 mgm 三甲基曱矽烷(TMS) 500 seem 二乙氧基曱基甲矽烷600 mgm (DEMS) 氧氣 1 00 seem 二氧化碳 l,500sccm 該基材與該氣體分配喷頭相隔約300密爾(mils)。在該 喷頭上施加13.56 MHz、600瓦電力以進行膜層之電漿增強 沉積製程。該膜層係以約2,00〇A/分鐘的速率沉積,以SSM 5100 Hg CV測量工具在0.1MHz下測量,該膜層的介電常數 值約為4.3。該膜層之硬度約為〇·1 GP a。
400°C、200uc/cm2之 E-BEAM 在 400°C 下,使用 4.5KeV、1.5 mA、劑量約為 200pc/cm2 之高溫電子束處理(e-處理)來處理所沉積之膜層。該e-處理 係持續約30秒。在e-處理之後,以SSM 5100 Hg CV測量工 29 200529250 具在〇·1 MHz下測量,該膜層的介電常數值約為2.2,比未 經過硬化之膜層低了約50%。該膜層之硬度約為0.7 Gpa, 其係比未經過硬化之膜層增加了約6 0 0 %。 實施例3 在約8拢耳的壓力及約225 °C的基材溫度下在一基材上 沉積一低介電常數膜。所使用的製程氣體及其流速如下示:
α-袍品烯(ATP) 4,000 mgm 三甲基曱矽烷(TMS) 1,000 seem 八曱基環四石夕氧烧200mgm (OMCTS) 氧氣 1 00 seem 二氧化碳 l,500sccm 該基材與該氣體分配喷頭相隔約300密爾(mils)。在該 喷頭上施加13.56 MHz、500瓦電力以進行膜層之電漿增強 沉積製程。該膜層係以約1,60〇Α/分鐘的速率沉積,以SSM 5 100 Hg CV測量工具在0.1 MHz下測量,該膜層的介電常數 值約為4.5。該膜層之硬度約為0.1 GP a。
200uc/cm2之 E-BEAM 在 400°C 下,使用 4.5KeV、1 .5 mA、劑量約為 200pc/cm2 之高溫電子束處理(e-處理)來處理所沉積之膜層。該e-處理 係持續約30秒。在e-處理之後,以SSM 5100 Hg CV測量工 30
200529250 具在0·1 MHz下測量,該膜層的介電常數值約為2 3,比未 經過硬化之膜層低了約50%。該膜層之硬度約為〇 . 7 Gpa, 其係比未經過硬化之膜層增加了約6 〇 〇 〇/〇。 雖然本發明已藉較佳實施例詳述於上,但習知技藝人士 應能了解本發明尚有許多變化,其仍屬於附隨之申請專利範 圍的範疇。 【圖式簡單說明】 第1圖示出依據本發明實施例所設置之CVD反應器之 截面圖。 第2圖示出一與第1圖該例示的CVD反應器合用的電 腦程式產品的階層控制結構流程圖。 第3圖示出一具有本發明一低k膜廣沉積於其上之欲 刻結構。 第4A-4C圖示出一嵌刻沉積實施例的截面圖。 第5圖示出一包含兩低k膜層及兩碳化矽帽層或有摻 雜之碳化矽帽層沉積於其上之雙嵌刻結構。 第6A-6G圖示出一雙嵌刻沉積實施例的截面圖。 第7圖示出一整合的處理平台之示例。 【主要元件符號說明】 0 化學氣相沉積室 11 氣體分配歧管 2 承接板 13 支撐枉 31 200529250
14 舉升馬達 17 絕緣器 19 混合系統 25 RF電力供給 32 真空幫浦 36 控制線 300 基材 312 阻障層 316 帽層 318 導電襯墊層/阻障層 410 電腦程式 430 製程排序次常式 450 承接板控制次常式 470 壓力控制次常式 490 電漿控制次常式 502 導電特徵 512 襯墊層/阻障層 518 第二介電層 522 光阻 526 導電材料(銅) 702、704 負載鎖定室 712、714、716、Ή8、732、734、736 722、724 傳送室 15 高真空區 18 氣體管路 24 歧管 28 微波室 34 系統控制器 38 硬碟 310 導電特徵 314 介電層 317 内連線 320 導電材料(銅) 420 製程選擇器次常式 440 製程室管理次常式 460 製程氣體控制次常式 480 加熱器控制次常式 500 基材 510 第一介電層 514 第一帽層 519 第二帽層 524 導電阻障層 700 具有多個製程室的系統 710 第一機器人 738 基材處理室 730 第二機器人 32

Claims (1)

  1. 200529250 拾、申請專利範圍 1.種用以沉積一低介電常數膜的方法,其至少包含: 在足以沉積一低k臈層於一基材表面上的沉積條件 下’傳送一氣體混合物至該基材表面,該氣體混合物包括 一或多種直鍵、不含氧的有機矽化物,一或多種不含氧的 碳氳化物其係包含一環及一或二個碳-碳雙鍵於該環上,及 一或多種氧化氣體。
    2.如申請專利範圍第1項所述之方法,其中該一或多種 直鍵、不含氧的有機矽化物包含一烷基矽烷。
    3.如申請專利範圍第1項所述之方法,其中該一或多種 直鍵、不含氧的有機矽化物包含選自下列之一成員,包括 甲基曱矽烷、二曱基曱矽烷、三曱基曱矽烷、四曱基曱矽烷、 乙基甲矽烷、二甲矽烷基甲烷、雙(甲基曱矽烷基)曱烷、1,2-二甲矽烷基乙烷、1,2-雙(曱基曱矽烷基)乙烷、2,2-二甲矽烷 基丙烷、二乙基曱矽烷、丙基曱矽烷、乙烯基甲基甲矽烷、 1,1,2,2-四甲基乙石夕烧(1,1,2,2 461^&11^1:1171(^311&116)、六曱基 乙石夕烧(hexamethyldisilane)、1,1,2,3,3-五曱基丙石夕燒 (l,l,2,2,3,3-pentamethyltrisilane)、1,3-雙(曱基石夕甲烧基)丙 烧(l,3-bis(methylsilano)propane)、1,2-雙(二曱基石夕甲烧基) 乙烷(l,2-bis(dimethylsilano)ethane)、1,3-雙(二曱基矽甲烷 基)丙烷(l,3-bis(dimethylsilano)propane)及其之組合。 33
    200529250 4.如申請專利範圍第丨項所述之方法,其中該 6個碳原子。 5 ·如申請專利範圍第4項所述之方法,其中該 碳原子。 6·如申請專利範圍第1項所述之方法,其中該-匕氣體係選自由臭氧、氧氣、《—氧化碳、一氧化, 氧化二氮、2,3-丁二酮及其之組合所構成的群組中。 氧化氣體係由二氧化碳和氧氣所組成。 8.如申請專利範圍第1項所述之方法,更包含 電常數臈層施以後-處理的步驟。 9.如申請專利範圍第丨項所述之方法,其中謂 直鏈、不含氧的有機矽化物包含三甲基曱矽烷』 種不含氧的碳氫化物包含α_萜品烯。 1 0 ·如申請專利範圍第9項沐、 接技 示靖所返之方法,其Θ 氣化氣體係由二氧化磁夺备产 軋化妷和氧氣所組成。 包含5或 包含6個 或多種 .、水、 或多種 該低介 或多種 一或多 一或多 34 200529250 1 1 · 一種用以沉積一低介電常數膜的方法,其至少包 含··在足以沉積一低k膜層於一基材表面上的沉積條件下, 傳送一氣體混合物至該基材表面,該氣體混合物包括/或 多種直鏈、不含氧的有機矽化物,一或多種氣化氣體及〆 或多種包含下列結構之不含氧的碳氫化物
    其中R係由具有1至5個碳原子的直鍵烷基團中選出。 12·如申請專利範圍第11項所述之方法,其中該一或多 種不含氧的碳氫化物包含α-萜品嫦^ 13.如申請專利範圍第11項所述之方法’其中該一或 多種直鏈、不含氧的有機矽化物包含選自下列之一成員, 包括甲基甲矽烷、二曱基甲矽烷、三甲基甲石夕境、四曱基甲 矽烷、乙基甲矽烷、二甲矽烷基甲嫁、雙(甲基甲石夕烷基)曱 烷、1,2-二甲矽烷基乙烷、1,2-雙(甲基甲矽烷基)乙烷、2,2_ 二甲矽烷基丙烷、二乙基甲矽烷、兩基曱矽烷、乙烯基甲基 甲矽烷、1,1,2,2-四甲基乙矽烷 35 200529250 (l,l,2,2-tetramethyldisilane)、 六 甲基乙 石夕院 (hexamethyldisilane) 、 1,1,2,3,3-五甲基丙石夕烧 (l,l,2,2,3,3-pentamethyltrisilane)、1,3-雙(甲基石夕甲燒基)丙 烷(l,3-bis(methylsilano)propane)、1,2-雙(二甲基矽甲烷某) 乙烧(1,2-bis(dimethylsilano)ethane)、1,3 -螫 f 一 ® _ 又、一 T基石夕甲規 基)丙燒(l,3-bis(dimethylsilano)propane)及其之組人
    14.如申請專利範圍第Π項所述之方法,其中該具有i 至5個碳原子的直鏈烷基團係選自由甲基、乙基、丙基及異 丙基所組成的群組中。 多種氧化氣體係選自由臭氧、氧氣、二氧化碳、一氧化碳、 水、氧化二氣、;— ,%丁 一酮及其之組合所構成的群組中。 16·如中請專利範圍第11項所述之方法,更包含對該低 介電常數膜層施以-電子束處理的步驟。 含: 1 7·種用以沉積_低介電常數膜的方法,其至少包 在足以沉積一低k膜層於一基材表面上的沉積條件 下’傳送二-氣體混合物至該基材表面,該氣體混合物包含: 或多種直鏈、不含氧的有機矽化物; 36 200529250 一或多種不含氧的碳氫化物其係包含一5衣及或一 個碳-碳雙鍵於該環上;及 一或多種氧化氣體; 以一電子束來處理該低介電常數膜層。
    18.如申請專利範圍第1 7項所述之方法’其中該或 多種直鏈、不含氧的有機矽化物包含選自下列之一成員 包括甲基甲矽烷、二甲基甲矽烷、三甲基甲矽烷、四甲基甲 矽烷、乙基甲矽烷、二甲矽烷基甲烷、雙(甲基甲矽烷基)甲 烷、1,2-二甲矽烷基乙烷、1,2-雙(甲基甲矽烷基)乙烷、2,2-二甲矽烷基丙烷、二乙基曱矽烷、丙基甲矽烧、乙烯基甲基 甲 矽烷、1,1,2,2-四甲基 乙矽烷 (1,1,2,2-tetramethyldisilane)、 六曱 基乙 石夕烧 (hexamethyldisilane) 、 1,1,2,3,3_ 五曱 基丙石夕烧 (l,l,2,2,3,3-pentamethyltrisilane)、1,3-雙(甲基石夕甲烧基)丙 烧(l,3-bis(methylsilano)propane)、1,2-雙(一甲基石夕曱烧基) 乙烧(l,2-bis(dimethylsilano)ethane)、1,3-雙(二曱基石夕甲烧 基)丙烧(l53-bis(dimethylsilano)propane)及其之組合。 19. 如申請專利範圍第17項所述之方法,其中該一或多 種不含氧的碳氩化物包含α-萜品烯。 20. 如申請專利範圍第19項所述之方法,該一或多種 37 200529250 直鏈、不含氧的有機矽化物包含三曱基甲矽烷且該一或多 種不含氧的碳氫化物包含α-萜品烯。
    38
TW094103756A 2004-02-04 2005-02-04 Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) TW200529250A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/773,060 US7056560B2 (en) 2002-05-08 2004-02-04 Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)

Publications (1)

Publication Number Publication Date
TW200529250A true TW200529250A (en) 2005-09-01

Family

ID=34860794

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094103756A TW200529250A (en) 2004-02-04 2005-02-04 Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)

Country Status (3)

Country Link
US (1) US7056560B2 (zh)
TW (1) TW200529250A (zh)
WO (1) WO2005078155A1 (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7147900B2 (en) * 2003-08-14 2006-12-12 Asm Japan K.K. Method for forming silicon-containing insulation film having low dielectric constant treated with electron beam radiation
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
EP1792726A4 (en) * 2004-09-21 2008-12-31 Konica Minolta Holdings Inc TRANSPARENT GASPERRFILM
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7465475B2 (en) * 2004-11-09 2008-12-16 Eastman Kodak Company Method for controlling the deposition of vaporized organic material
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7365026B2 (en) * 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7365378B2 (en) * 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7941848B2 (en) * 2006-01-30 2011-05-10 Microsoft Corporation Elevating rights
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US7955650B2 (en) * 2007-06-07 2011-06-07 Asm Japan K.K. Method for forming dielectric film using porogen gas
US7998536B2 (en) 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
FR2918997B1 (fr) * 2007-07-20 2010-12-03 Commissariat Energie Atomique Procede de preparation de couches minces de materiaux dielectriques nanoporeux.
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
FR2934051B1 (fr) * 2008-07-16 2011-12-09 Commissariat Energie Atomique Detecteur d'humidite capacitif a dielectrique hydrophile nanoporeux
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9879340B2 (en) 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11011384B2 (en) 2017-04-07 2021-05-18 Applied Materials, Inc. Gapfill using reactive anneal
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4845054A (en) 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2531906B2 (ja) 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US6652922B1 (en) 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6080526A (en) 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
JP3952560B2 (ja) * 1997-10-31 2007-08-01 日本ゼオン株式会社 複合フィルム
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6524874B1 (en) 1998-08-05 2003-02-25 Micron Technology, Inc. Methods of forming field emission tips using deposited particles as an etch mask
US6169039B1 (en) 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6472076B1 (en) 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6316063B1 (en) 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6500773B1 (en) 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP3505520B2 (ja) 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030040195A1 (en) 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP3749162B2 (ja) 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7423166B2 (en) 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7108771B2 (en) 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7196422B2 (en) 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
EP1504138A2 (en) 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6734533B2 (en) 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity

Also Published As

Publication number Publication date
US7056560B2 (en) 2006-06-06
WO2005078155A1 (en) 2005-08-25
US20040156987A1 (en) 2004-08-12

Similar Documents

Publication Publication Date Title
TW200529250A (en) Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
EP1195451B1 (en) Method of decreasing the dielectric constant in a SiOC layer
KR100696035B1 (ko) Cvd 나노포러스 실리카 저유전상수 막
US7034409B2 (en) Method of eliminating photoresist poisoning in damascene applications
US7422774B2 (en) Method for forming ultra low k films using electron beam
US7153787B2 (en) CVD plasma assisted lower dielectric constant SICOH film
US6245690B1 (en) Method of improving moisture resistance of low dielectric constant films
EP1055012B1 (en) Plasma processes for depositing low dielectric constant films
EP1148539A2 (en) Method of depositing low K films using an oxidizing plasma
US20080105978A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
WO2006024017A1 (en) Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (pecvd)
US20030211244A1 (en) Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
EP1607493B1 (en) Plasma processes for depositing low dielectric constant films