TW200523040A - Dispense geometry and conductive template to achieve high-speed filling and throughput - Google Patents

Dispense geometry and conductive template to achieve high-speed filling and throughput Download PDF

Info

Publication number
TW200523040A
TW200523040A TW093134440A TW93134440A TW200523040A TW 200523040 A TW200523040 A TW 200523040A TW 093134440 A TW093134440 A TW 093134440A TW 93134440 A TW93134440 A TW 93134440A TW 200523040 A TW200523040 A TW 200523040A
Authority
TW
Taiwan
Prior art keywords
substrate
droplets
template
layer
liquid
Prior art date
Application number
TW093134440A
Other languages
Chinese (zh)
Other versions
TWI292347B (en
Inventor
Sidlgata V Sreenivasan
Ian M Mcmackin
Byung-Jin Choi
Ronald D Voisin
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/706,537 external-priority patent/US20050098534A1/en
Priority claimed from US10/714,088 external-priority patent/US20050106321A1/en
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW200523040A publication Critical patent/TW200523040A/en
Application granted granted Critical
Publication of TWI292347B publication Critical patent/TWI292347B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Abstract

The present invention is directed to a method that employs a dispense geometry, a conductive template and a method of forming the conductive template to achieve high-speed filling and throughput during imprint lithography processes.

Description

200523040 九、發明說明: L發明所屬200523040 IX. Description of invention: L

喊影術。特別本 用壓印材料填補 模板特徵結構所需時間。 I:先前技術3 發明背景 例如約為微米或以下尺 微製造涉及製造極小型結構, 於積體電路製 寸之特徵結構。微製造有重大影響之領域係 H)程。隨著半導體製程產業不斷尋求提高製造良率,同時增 加於一基材上製成之每單位面積電路數目,微製造之重要 性不斷升高。微製造提供較大製程控制,同時允許縮小所 形成之特徵結構之隶小尺寸。其它採用微製造之發展頜诚 包括生物技術、光學技術、機械系統等。 15 微製造技術之範例方法顯示於Willson等人之美國專利 第6,334,960號。Willson等人揭示一種於一結構形成凸紋影 像之方法。該方法包括提供一基材其具有一轉印層。該轉 印層係以可聚合之流體組成物覆蓋。一模具係與該可聚合 流體作機械接觸。該模具包括凸紋結構,以及可聚合流體 2〇 組成物填補該凸紋結構。然後可聚合流體組成物置於固化 條件下來聚合該可聚合流體組成物,形成固化後之聚合物 料於轉印層上,其含有與模具之凸紋結構互補的凸紋結 構。然後模具與固體聚合物料分開,讓模具凸紋結構之複 本形成於固化後之聚合物料。轉印層及固化後之聚合物料 200523040 固化後之聚合物料, 嗜印層。所需時間 尺寸係依據可聚合材 置於選擇性#刻環境下,來相對於兮 選擇性蝕刻轉印層,讓凸紋影像形成 以及藉此技術所提供之最小特徵結構 料組成決定。 因此希望提供-種技術,其可縮翅 板之特徵結構所需時間。 、墼印微影術模Shout shadow art. In particular, the time required to fill the template features with embossed material. I: Prior art 3 Background of the invention For example, micro-fabrication is about a micron or smaller, and is a characteristic structure for integrated circuit manufacturing. The area where microfabrication has a significant impact is the H) process. As the semiconductor manufacturing industry continues to seek to improve manufacturing yields and increase the number of circuits per unit area made on a substrate, the importance of microfabrication is increasing. Microfabrication provides greater process control, while allowing the small size of the resulting feature structures to be reduced. Other developments that use microfabrication include biotechnology, optical technology, and mechanical systems. 15 An exemplary method of microfabrication technology is shown in US Patent No. 6,334,960 to Willson et al. Willson et al. Disclose a method for forming relief images on a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold is in mechanical contact with the polymerizable fluid. The mold includes a relief structure, and a polymerizable fluid 20 composition fills the relief structure. The polymerizable fluid composition is then placed under curing conditions to polymerize the polymerizable fluid composition to form a cured polymer material on the transfer layer, which contains a relief structure that is complementary to the relief structure of the mold. The mold is then separated from the solid polymer material, so that a replica of the mold relief structure is formed on the cured polymer material. Transfer layer and polymer material after curing 200523040 Polymer material after curing, printing layer. The time required is determined by placing the polymerizable material under a selective #etching environment to selectively etch the transfer layer, allowing the relief image to be formed, and the minimum feature structure material composition provided by this technology. It is therefore desirable to provide a technique that can reduce the time required for the characteristic structure of a fin panel. Imprint lithography

C ^^明内容]J 發明概要 10 15 20 本發明係針對一種分配複數個彼此 、 基材上之方法’該方法之特徵在於小液滴之液:液滴:厂 到達相鄰液滴來形成連續越層於 /須订進 離最小化。紝罢a ”门也 所而行進的距 、、、°果备以圖案化模板來將小液滴製作圖宏0士 填補圖案特徵結構及覆蓋基材 壓印製程之漆旦也 取】、化。如此提高 數個成該項目的,該以包括設置複 之單位:、液滴於該基材上,個別液滴具有其相關 間隔係個小液狀—個子集之相鄰小液滴間之 改變。社果:集相關之最小單位體積之函數變化而 、口果相則、液滴間之距離變最小 液滴分配裝置之解析度決定。 且讀依據小 法傳導性模板以及-種形成傳導性模板之方 形成複數二::基材、:!成一 Γ台於該基材;以及 料,以及凸”人。卩於抖口,凹部之底端包含導電材 例如紫外光;:絕緣材料。希望平台對預定崎 幸田射貫質為透明。結果希望由允許紫外輕射傳 200523040 播通過其中之材料來製成導電材料。於本發明,姻錫乳化 物為製造導電材料之適當材料。但銦錫氧化物由於有蝕刻 抗性故難以製作圖案。雖言如此,本方法提供使用銦氧化 物形成傳導性模板及適合用於壓印微影術之方式。此等及 5 其它具體例進一步討論如後。 圖式簡單說明 第1圖為根據本發明之微影術系統之透視圖; 第2圖為第1圖所示微影術系統之簡化仰視圖; 第3圖為第2圖所示壓印層組成材料於聚合與交聯前之 10 簡化代表圖; 第4圖為交聯後聚合物料於接受輻射照射而轉變為第3 圖所示材料之簡化代表圖; 第5圖為第1圖所示與壓印層隔開之模具,於壓印層製 作圖案後之簡化仰視圖; 15 第6圖為根據本發明之第一具體例,如上第2圖所示, 沉積於基材上一區之壓印材料小滴陣列之俯視圖; 第7圖為根據本發明之一具體例,第2圖所示模具之懸 臂樑式衝擊衝擊於第6圖所示小滴陣列之簡化示意圖; 第8-11圖為俯視圖,顯示如上第6圖所示小滴採用第7 20 圖所示模具之懸臂樑式衝擊壓縮; 第12圖為根據本發明之另一具體例,具有個別可定址 之電導體之模具之底視圖; 第13圖為第12圖所示模板之側視剖面圖; 第14圖為根據本發明之又另一具體例,採用來製造模 200523040 板之基材之俯視圖; 第15圖為第14圖所示基材該區沿線15_15所取之側視 剖面圖; 第16-23圖為第15圖所不該區之側視剖面圖,顯示用來 5 製造第13圖所示模板之各項處理過程; 第24圖為根據本發明之第四具體例,第6圖所示該區之 俯視圖,帶有壓印材料小滴沉積於_陣列. 第25圖為根據本發明之第五具體例,採用第謂所示模 具壓細如上於弟24圖所不小滴之俯視圖· 10 帛26圖為根據本發明之第六具體例,模板之剖面圖; 第27圖為根據本發明之第七具體例,採用來製造第26 圖所示模板之基材之俯視圖; 第28圖為第27圖所示其分 g、,& 口叮不I材—區沿線28-28所取之剖面 圖;以及 15 20 —第29·30圖為第28圖所示該區之剖面圖,顯示用來製造 弟26圖所示模板之各項處理過程。 C 方式】 較佳實施例之詳細說明 第1圖顯示根據本發 包括一對彼此隔開之Λ 微影㈣統10,其 一平台支賴16延伸j橋接③14以及C ^^ Description) J Summary of the invention 10 15 20 The present invention is directed to a method of distributing a plurality of each other on a substrate. The method is characterized in that the liquid droplets of small droplets: droplets: the plants arrive at adjacent droplets to form Consecutive cross-overs must be minimized.纴 止 a "The distance, ,, and ° traveled by the door are also prepared with a patterned template to make small droplets. Figures and macros are used to fill the pattern characteristic structure and cover the substrate embossing process. . In order to improve several items into this project, the unit including the setting is duplicated: the droplets are on the substrate, and individual droplets have their related intervals in a small liquid state—a subset of adjacent small droplets. The change of social fruit: the set of related minimum unit volume changes, and the mouth fruit phase, the minimum distance between droplets is determined by the resolution of the droplet distribution device. And the reading is based on the small method conductive template and-species formation The squares of the conductive template form a plurality of two :: substrate,:! Into a Γ on the substrate; and materials, and convex "people. Resting on the shaking mouth, the bottom end of the recess contains a conductive material such as ultraviolet light ;: an insulating material. It is hoped that the platform will be transparent to scheduled Saki Koda. As a result, it is hoped that the conductive material will be made of a material that allows ultraviolet light transmission 200523040 to pass through it. In the present invention, tin emulsion is a suitable material for manufacturing conductive materials. However, indium tin oxide is difficult to pattern because of its resistance to etching. Nonetheless, the method provides a way to form a conductive template using indium oxide and is suitable for imprint lithography. These and 5 other specific examples are discussed further below. Brief Description of the Drawings Figure 1 is a perspective view of a lithography system according to the present invention; Figure 2 is a simplified bottom view of the lithography system shown in Figure 1; Figure 3 is an imprint layer shown in Figure 2 10 simplified representative diagrams of the constituent materials before polymerization and cross-linking; Figure 4 is a simplified representative diagram of the polymer material converted to the material shown in Figure 3 after being cross-linked by radiation; Figure 5 is shown in Figure 1 Simplified bottom view of the mold separated from the imprint layer after the imprint layer is patterned; 15 FIG. 6 is a first specific example of the present invention, as shown in FIG. 2 above, deposited on an area of the substrate Top view of the droplet array of embossed material; Figure 7 is a simplified schematic diagram of the droplet array shown in Figure 6 according to a specific example of the present invention, the cantilever impact of the mold shown in Figure 2; Figures 8-11 The figure is a top view showing the cantilever-type impact compression of the droplet shown in Figure 6 above using the mold shown in Figure 7 20; Figure 12 is a mold with individually addressable electrical conductors according to another specific example of the present invention Bottom view; FIG. 13 is a side sectional view of the template shown in FIG. 12; FIG. 14 For another specific example of the present invention, a top view of a base material used to manufacture a mold 200523040 plate; FIG. 15 is a side cross-sectional view taken along line 15_15 of the area of the base material shown in FIG. 14; The figure is a side cross-sectional view of the area shown in Fig. 15, showing the various processes used to manufacture the template shown in Fig. 13; Fig. 24 is a fourth specific example according to the present invention, shown in Fig. 6 The top view of this area, with droplets of embossed material deposited on the _ array. Figure 25 is a top view of the fifth specific example of the present invention, using the mold shown above to compact the droplets as shown in Figure 24. 10 帛 26 is a cross-sectional view of a template according to a sixth specific example of the present invention; FIG. 27 is a plan view of a substrate used to manufacture the template shown in FIG. 26 according to a seventh specific example of the present invention; FIG. 28 It is a cross-sectional view taken along line 28-28 of the subdivision g ,, & Kou Dingbu I material shown in Figure 27; and 15 20-29 · 30 is a cross-sectional view of the area shown in Figure 28 Shows the various processes used to make the template shown in Figure 26. Method C] Detailed description of the preferred embodiment. Figure 1 shows that according to the present invention, a pair of Λ lithography systems 10 are spaced apart from each other. One platform supports 16 extends j bridges ③ 14 and

τ乂头間。橋接哭14及半A 此隔開。耦合於橋接 - 。支持體16彼 橋接器_岭台切_.㈣頭18,壓印仙係由 設置於平台支持體tr伸’提供沿2軸方向之移動。 _而㈣壓印頭18者為移動平台2〇。 200523040 移動平台20組配來相對於平台支持體16沿乂軸及沿γ軸移 動。須了解,壓印頭18可提供沿X軸及Υ軸之移動,也可提 供沿Ζ軸之移動;移動平台20可提供於Ζ軸之移動,也可提 供沿X及Υ軸之移動。範例移動平台裝置係揭示於美國專利 5申睛案第10/194,414號,申請日2002年7月11日,名稱「步 進與重複壓印微影術系統」,該案讓與本發明之受讓人,該 案全文以引用方式併人此處。輻射源22搞合至系統10,來 照射光化輻射於移動平台20。如圖所示,輻射源22係耦合 至橋接器14, &括一發電機23連結至輻射源22。系'統操作 10典型係藉處理器25控制,處理器25係與系統呈資料通訊。 芩照第1圖及第2圖,連結至壓印頭18者為一模板%, 模板26上有模具28。模具28包括複數個特徵結構,其係由 複數個彼此隔開之凹部28a及凸部28b所界限。複數個特徵 結構界定一原始圖案,該圖案將被轉印至位於移動平台2〇 15之基材30。為了達成該項目的,壓印頭1S及/或移動平台2〇 可改變模具28與基材30間之距離rd」。藉此方式,模具28 之特徵結構可被壓印於基材3〇之可流動區,容後詳述。輻 、’、2係疋位成讓模具28位在輪射源22與基材3〇間。、社 果,模具28係由一種材料製成,該材料允許模具28對輻射 2〇源22產生之輻射實質上為透明。為了達成該項目的,模具 28可由下列材料製成,模具28之材料包括石英、融合矽氧、 矽、藍寶石、有機聚合物、矽氧烷、聚合物類、硼矽酸破 璃、氟化碳聚合物或其組合。此外,模板26可由前述材料 以及由金屬製成。 200523040 參照第2圖及第3圖,可流動區例如壓印層34係設置於 表面32之呈現實質上平坦側繪圖之部分。範例可流動區係 由壓印層34組成,壓印層34設置為複數個彼此隔開之離散 材料36a小液滴36於基材30上,容後詳述。沉積小液滴36之 5範例系統係揭示於美國專利申請案第10/191,749號,申請曰 2002年7月9日,名稱「分配液體之系統及方法」,該案讓與 本發明之受讓人,該案全文以引用方式併入此處。壓印層 34係由一種材料36a製成,該材料36a可選擇性聚合且交聯 來將原先圖案記錄於其中,定義所記錄之圖案。材料36&之 10範例組成揭示於美國專利申請案第1〇/463,396號,申請曰 2003年6月16日,名稱「減少隨形區與模具圖案間之黏著之 方法」,該案全文以引用方式併入此處。材料36a於第4圖顯 示為父聯於點36b ’形成交聯後之聚合物料36c。 參照第2、3及5圖,經由以機械方式接觸模具28而部分 15製造記錄於壓印層34之圖案。為了達成該項目的,縮短距 離「d」,讓壓印小滴以機械方式接觸模具28,展開小滴%, 形成壓印層34,有連續材料36a形成於表面32上方。一具體 例中,縮短距離「d」,讓壓印層34之子部分34a可進入凹部 28a而填補凹部28a。 20 為了輔助填補凹部28a,材料36a被提供所需性質,來 το全填補凹部28a,同時以連續成形材料36&來覆蓋表面 32。本具體例中,於達成所需距離「d」通常為最小距離「d」 後’仍然保有壓印層34子部分34b重疊凸部28b,留下厚^ 之子部分34a及厚度k之子部分34b。依據應用用途而定,厚 10 200523040 度^及^可有任一種期望厚度。典型地,&經選擇讓^不大於 子部的4a寬度u之兩倍亦即t<2u,更明白顯示於第5圖。 芩照第2、3及4圖,於達到預定距離「d」後,輻射源 22產生光化輻射,光化輻射聚合且交聯材料36&,形成已交 5聯之聚合物料36e。結果,壓印層34之組成由材料3如轉變 成父聯後之聚合物料36c,材料36c為固態。特別交聯聚合 物料36c固化而獲得壓印層34側部34c,其形狀係吻合模具 28表面28c形狀,更明白顯示於第5圖。於壓印層μ轉變成 由父聯聚合物料36c組成(如第4圖所示)後,第2圖所示之壓 1〇印頭18移動,距離「d」加大,模具28與壓印層34彼此隔開。 筝照第5圖,可採用其它處理來完成基材3〇之圖案製 造。例如基材30及壓印層34可經蝕刻,將壓印層34之圖案 轉印至基材30,提供圖案化表面34c。為了輔助蝕刻,形成 壓印層34之材料可改變來如所需界定相對於基材3〇之相對 15 蝕刻速率。 參照第2、3及6圖,為了讓模具具有極為緊密之特徵結 構,例如奈米尺寸之凹部28a,於重疊模具28之基材3〇該區 40展開小滴36,來填補凹部28a可能需要長期時間,因而減 慢壓印過程之生產。為了輔助提高壓印過程之生產,分配 20小滴36,來最小化展開小滴36於基材30以及小滴36填補凹 部28a所需時間。此項目的可經由呈二維矩陣陣列42分配小 滴36,讓相鄰小滴36間之間隔(顯示為S1&S2)為最小化來達 成此項目的。如圖所示,矩陣陣列42之小滴36面積係於六ό 行nrn6及六列叫-叫之範圍。但實質上小滴36可排列成基材 11 200523040 30上之任何二維排列。對形成預定圖案化層所需壓印材料 36a之指定總體積Vt而言,希望最大化矩陣陣列42之小滴% 數目。如此最小化相鄰小滴間之間隔S!&S2。此外希望於 該子集之各個小滴36具有實質上等量之壓印材料36a與其 5結合,疋義為一早位體積Vu。基於此專標準,可決定於矩 陣陣列42之小滴36總數測定如後: (1) n-Vt/Vu 此處Vt及vu定義如前。假設小滴36為方形矩陣,此處 小滴36總數η定義如後: _ 3 (2) η=ηιχ η2 此處η!為沿第一方向之小滴數目,為沿第二方向之小 滴數目。沿第一方向換言之於一維度之相鄰小滴%間之間 隔8!測定如後: (3) Si^Lj/ni 15 此處Ll為區40沿第一方向之長度。同理,沿橫過第一 方向之第二方向之相鄰小滴36間之間隔S2測定如後: (4) S2=L2/n2 此處L2為區4〇沿第二方向之長度。 考慮關聯各小滴36之壓印材料36a單位體積係依據配 20送裝置決定,顯然間隔SiAS2係依據解析度而定,亦即採 用絲成小滴36之小滴分配裝置(圖中未顯示)之操作控制 而疋特別希望分配裂置(圖中未顯示)設置有最小量壓印材 料36a於各個小滴36,因此可精準控制小滴的分配。藉此方 式各小滴36必須前進通過之壓印材料恤上方之該區曰傷 12 200523040 積也最小化。如此縮短一壓印材料36a連續層填補凹部28a 及覆蓋基材所需時間。 本發明尋求避免之另-項問題為一旦形成圖案化表面 34c時,氣體被捕捉於壓印層34。特別於矩陣陣列42之彼此 5隔開之小滴36間的體積44,存在有氣體,矩陣陣列42之小 滴36展開於區40,因而防止(即使無法避免)氣體被捕捉於壓 印層。為了達成该項目的,根據本發明之一具體例,於矩 陣陣列42之小滴36之-子集係藉模具28沿第一方向壓縮, Ik後矩陣陣列42之其餘小滴36係沿橫過第一方向之第二方 ίο向壓縮。此項㈣可經由模具28以懸臂才梁式衝擊至小滴36 而達成’如第8圖所示。 參照第6、7及8圖,模板26設置成模具28表面28c相對 於基材30之基材表面30a形成斜角0,稱作為懸臂樑衝擊。 可辅助形成角Θ之範例裝置揭示於美國專利申請案第 15 09/698,317號,申請日2000年1〇月27日,名稱「壓印微影術 製程之高精度定向校正及間隙控制階段」,以引用方式併入 此處。由於模具28之懸臂樑衝擊結果,隨著模具28與基材 30間之距離的縮短,模具28子部分將接觸矩陣陣列42之小 滴36子集,隨後模具28接觸模具28 —緣之其餘部分接觸矩 20陣陣列42之其餘小滴36。如圖所示,模具28係實質上同時 接觸全部與行%相關小滴36。如此造成小滴36展開,產生 壓印材料36a之連續液體薄片46,該連續液體薄片係由區4〇 邊緣40a朝向行之小滴延伸。液體薄片牝之一緣界定液 _氣界面46a,界面46a之功能係將體積44之氣體由邊緣4〇a 13 200523040 推向邊緣40b、40c及40d。於行m-η5之小滴36間之體積44定 義氣體通道,於該氣體通道’氣體可被推至區4〇周邊部分。 藉此方式,結合氣體通道之界面46a可減少(即使無法避免) 氣體被捕捉於液體薄片46。 5 參照第7圖及第9圖’當模板26朝向基材3〇移動時,模 具28旋轉’讓關聯行η4及行115之隨後小滴36子集的壓印材料 36a展開,變成含括於連續液體薄片46。模板26持續旋轉, 模具28隨後接觸關聯行η*及行ns之小滴36,故相關壓印材料 36a展開而變成含括於連續液體薄片46,如第1〇圖所示。該 10過程持續至全部小滴36皆含括於連續片46為止,如第11圖 所示。如圖可知,界面46a朝向邊緣40c移動,因此於區40 之其餘體積44a有氣體未受阻擋路徑(圖中未顯示)來行進通 過其中。如此允許體積44a之氣體由面對邊緣40c之區40送 出。藉此方式,如第5圖所示,捕捉於具有表面34c之壓印 15層34之氣體減少,即使無法避免,如第5圖所示。 參照第3、12及13圖,於本發明之另一具體例,可無需 模具28之懸臂樑式衝擊,可達成矩陣陣列42之小滴36逐行 展開’如第7-Π圖所示。此項目的可經由採用電磁力,移 動壓印材料36a跨區40及/或移動朝向模具128而達成。為了 20達成該項目的,模具128包括複數個實質上可定址之傳導元 件’顯示為qrq0,形成模具128之凹部128a之底端l18a。由 子部分118a側出之本體15〇子部分118b係重疊凸部128b,且 不含任何傳導材料。模具128之生成更完整討論如後。 參照第14圖,形成模板之方式包括獲得本體150,識別 14 200523040 形成模板之四區150a、150b、150c及150d。特別本體i5〇係 由標準6025融合矽氧組成。於本體15〇之四個分開區同時形 成四個模板,顯示為模板丨26、226、326及426。為求本文 揭示簡明,將就模板126之製造討論,但需了解有關模板126 5 之討論,也同等適用於模板226、326及426。 參照第15及16圖,本體150典型長度為152.4毫米。本 體150之完整一邊112存在有鉻層130。光阻層132覆蓋鉻層 130。光阻層132經過圖案製作以及顯影,來暴露出一區134 環繞側邊112中部136。中部136典型尺寸為邊長25毫米。典 10型地光阻層132係採用雷射寫入器製作圖案。於光阻層132 被顯影去除後’重疊區134之絡層130係使用任何適當餘刻 技術例如硝酸銨蝕刻或電漿蝕刻而被蝕刻去除。藉此方式 暴露出本體150重疊區134部分。隨後可進行適當後蝕刻處 理’例如烤箱烤乾或其它清潔製程。 15 假設蝕刻係由融合矽氧製成,適當蝕刻技術涉及經過 緩衝之氧化物蝕刻(BOE)。蝕刻進行足夠時間來讓平台133 具有預疋南度h’平台133局度係由本體150之表面I〗]測 里’如苐18圖所示。範例南度為15微米。隨後,去除光阻 層132其餘部分,去除中部136上鉻層130之任何其餘部分。 20光阻材料134層沉積於模板126上,如第19圖所示。光阻材 料134重疊平台133該區經使用標準技術製作圖案及顯影去 除,來暴露出本體150之區136,留下圖案化光阻層138,如 第20圖所示。隨後銦錫氧化物(IT0)層ι4〇沉積於模板126 上,覆蓋圖案化後之光阻層138,如第21圖所示。ΙΤ〇為用 15 200523040 於模具m之適當材料,由於IT0具導電性,且IT〇對輕射 源22產生之輻射波長實質為透明,如第2圖所示。採用剝離 處理來去除圖案化後之光阻層138,如第2〇圖所示,而全部 非重疊區136之ΙΤΟ層部分則於剝離處理過程被去除。藉此 5方式,形成圖案化後之1丁〇層142,本體150之區144暴露出, 如第22圖所示。於形成圖案化ΙΤΟ層142之後,沉積氧化矽 Si〇2層146。如此形成模具128,氧化矽層146經製作圖案, 讓氧化矽非重疊於ITO層142之重疊區144之ITO材料,如第 13圖所示。藉此方式讓凹部12%之底端係*IT〇生成,而凸 鲁 10部128b係由氧化石夕生成。 參照第3、12及13圖,了解凸部128b係由電絕緣材料製 成,因而實現凹部128a附近之電磁場EMl係大於凸部128b 附近之電磁場EM2。為了達成該項目的,電源12〇係使用任 一種已知之適當耦合技術而與導電元件qrq2作電連通,如 15第12圖所示。本例中,導電元件qrq6成形為延伸超出模具 128,電源120連結於模具128。此外經由選擇性定址導電元 件qi-qe,選定之小滴36實質上可以任一種預定方式展開, ® 包括前文就第7-11圖討論之展開樣式展開。 蒼照第3、24及25圖,如前文討論,小滴136及236實質 20上可排列成任一種矩陣陣列。如圖所示,小滴136及236排 列成二集合。各小滴136之壓印材料36a之量為實質相等, 各小滴236之壓印材料36a之量為實質相等。於各小滴236之 壓印材料量為實質大於於各小滴丨36之壓印材料36a之量。 經由以此種方式配置小滴136及236與不等量壓印材料 16 200523040 36a,相信填補模具28凹部128a所需時間可最小化,同時避 免捕捉氣體於壓印層36a,而無需採用壓模128以懸臂樑式 衝擊基材30。特別經由提供最小體積之小滴136,可達成前 文就縮短填補凹部128a所需時間而討論之優點。如第24圖 5所示’小滴236含相對大量壓印材料36a(如第3圖所示),及 其所在位置,增加小滴236所形成之壓印材料-氣體界面 146a之流動足夠有利,可驅趕氣體朝向區14〇周邊,不會捕 捉氣體於壓印材料36a。 參照第3、12及24圖,為了進一步縮短展開壓印材料以 10及對小滴136及236之壓印材料36a製作圖案所需時間,可採 用模板128,以及可循序激活傳導元件qrq6(如前文討論), 或同時激活傳導元件qrq6。 參照第3、26及27圖,若希望同時施加電磁場跨模具, 可採用模板526。模板526係由適當材料本體550製成,例如 15由融合矽氧製成。範例材料為標準6025融合矽氧,於一邊 具有約152.4¾米測量值。於分開四區550a、550b、550c及 550d分別同時形成四個模板526、626、726及826。為求此 處揭示簡明,將就模板526之製造作討論,但須了解對模板 526之討論也同等適用於模板626、726及826。 2〇 參照第28圖及第29圖,本體550之全部側邊512存在有 鉻層530。平台533係以前文就第16-18圖討論之方式形成於 本體550。然後銦錫氧化物(IT0)層534使用標準技術沉積於 本體550整體側邊512上方,如第30圖所示。於ΓΓΟ層534上 方沉積氧化矽層SiCb,其係採用標準技術製作圖案及蝕刻 17 200523040 來形成凹部528a及凸部528b,如第26圖所示。藉此方式, 凹部128a之底端係*IT〇製成,凸部12讣係由义〇2製成。了 解凸部52讣係由電絕緣材料製成,因而實現凹部52^近處 之電磁場EM!係大於凸部遍附近之電磁場讓2。結果模具 528附近之壓印材料36a較可能被吸引入凹部π%,因而縮 短讓壓印㈣36a隨形於模具528所需時間。 10 15 20 刚述本發明具體例僅供舉例說明之用。可對前文揭示 做夕項i化及修改’仍然維持於本發明之範圍。例如使用 電磁場證實可麵騎材料整填漏具之結構特徵,因 而避免壓印層的非連續。此種非連續係出現於當壓印材料 無法填補模具凹部時。可能原因係由於各種基於環境 料之參數,例如凸部與重4凸部表面間之毛細吸引作用 施加電磁場來則壓印材料至模具可克服此等性質。因此 本發明之範圍並非受前女% 。兄月所限,反而係由參照隨附之 申請專利範圍連同其完整相當範圍決定。 【囷式簡單說%】 第1圖為根據本發明之微影術系統之透視圖; 第2圖為第1圖所示微影術系統之簡化仰視圖 交聯前之 第3圖為第2圖所示壓印層組成材料於聚合與 間化代表圖; 第4圖為交聯後聚合物料於接受輕射照射而轉變 圖所示材料之簡化代表圖; 於壓印層製 第5圖為第1圖所示與壓印層隔開之模具 作圖案後之簡化仰視圖; 18 200523040 第6圖為根據本發明之第一具體例,如上第2圖所示, 沉積於基材上一區之壓印材料小滴陣列之俯視圖; 第7圖為根據本發明之一具體例,第2圖所示模具之懸 臂樑式衝擊衝擊於第6圖所示小滴陣列之簡化示意圖; 5 第8-11圖為俯視圖,顯示如上第6圖所示小滴採用第7 圖所示模具之懸臂樑式衝擊壓縮; 第12圖為根據本發明之另一具體例,具有個別可定址 之電導體之模具之底視圖; 第13圖為第12圖所示模板之側視剖面圖; 10 第14圖為根據本發明之又另一具體例,採用來製造模 板之基材之俯視圖; 第15圖為第14圖所示基材該區沿線15-15所取之側視 剖面圖; 第16-23圖為第15圖所示該區之側視剖面圖,顯示用來 15 製造第13圖所示模板之各項處理過程; 第24圖為根據本發明之第四具體例,第6圖所示該區之 俯視圖,帶有壓印材料小滴沉積於一陣列; 第25圖為根據本發明之第五具體例,採用第2圖所示模 具壓縮如上於第24圖所示小滴之俯視圖; 20 第26圖為根據本發明之第六具體例,模板之剖面圖; 第27圖為根據本發明之第七具體例,採用來製造第26 圖所示模板之基材之俯視圖; 第28圖為第27圖所示基材一區沿線28-28所取之剖面 圖;以及 200523040 第29-30圖為第28圖所示該區之剖面圖,顯示用來製造 第26圖所示模板之各項處理過程。 【主要元件符號說明】 10...微影術糸統 36a...壓印材料 12...橋式支持體 36b…點 14...橋接器 36c...交聯後之聚合物料 16...平台支持體 40...區 18...壓印頭 40a-d..·邊緣 20...移動平台 42...矩陣陣列 22...輻射源 44...體積 23...發電機 44a...其餘體積 25...處理器 46a...液-氣界面 26...模板 112…整個侧邊 28…模具 118a-b...子部分 28a·.·凹部 120...電源 28b...凸部 126、226、326、426...模板 28c…表面 128...模具 30…基材 128a...凹部 30a...基材表面 128b...凸部 32…表面 130...鉻層 34...壓印層 132...光阻層 34a-b...子部分 133...平台 34c...側邊,圖案化表面 134...區 36…小滴 136...中部τ 乂 between heads. Bridge Cry 14 and a half A. This is separated. Coupling to the bridge-. The supporter 16 and the bridge _ridge platform cut_. The steamer 18, and the imprinted immortal system are provided on the platform supporter tr 伸 'to provide movement in the 2 axis direction. _ And the imprint head 18 is the mobile platform 20. 200523040 The mobile platform 20 is configured to move relative to the platform support 16 along the 乂 -axis and along the γ-axis. It should be understood that the imprint head 18 can provide movement along the X and Y axes, and also can provide movement along the Z axis; the mobile platform 20 can provide movement along the Z axis, and also can provide movement along the X and Y axes. An example mobile platform device is disclosed in U.S. Patent No. 5 Application No. 10 / 194,414, filed on July 11, 2002, under the name "Step and Repeat Imprint Lithography System". People, the case is hereby incorporated by reference in its entirety. The radiation source 22 is coupled to the system 10 to irradiate actinic radiation to the mobile platform 20. As shown, the radiation source 22 is coupled to the bridge 14, and a generator 23 is coupled to the radiation source 22. System operation 10 is typically controlled by the processor 25, which is in data communication with the system. According to FIG. 1 and FIG. 2, a template% is connected to the imprint head 18, and a mold 28 is provided on the template 26. The mold 28 includes a plurality of characteristic structures bounded by a plurality of concave portions 28a and convex portions 28b spaced apart from each other. The plurality of features define an original pattern, which will be transferred to the substrate 30 on the mobile platform 201. To achieve this, the imprint head 1S and / or the moving platform 20 can change the distance rd "between the mold 28 and the substrate 30". In this way, the characteristic structure of the mold 28 can be imprinted on the flowable area of the substrate 30, which will be described in detail later. The spokes, ', and 2 are positioned so that the mold 28 is positioned between the wheel source 22 and the substrate 30. As a result, the mold 28 is made of a material that allows the mold 28 to be substantially transparent to the radiation generated by the radiation source 22. In order to achieve this project, the mold 28 can be made of the following materials. The materials of the mold 28 include quartz, fused silica, silicon, sapphire, organic polymer, siloxane, polymers, borosilicate glass break, carbon fluoride Polymers or combinations thereof. Further, the template 26 may be made of the aforementioned materials as well as metal. 200523040 Referring to FIG. 2 and FIG. 3, the flowable region such as the imprint layer 34 is a portion of the surface 32 that is provided with a substantially flat side drawing. The exemplary flowable region is composed of an imprinted layer 34, which is provided as a plurality of small droplets 36 of discrete materials 36a on the substrate 30, which will be described in detail later. An example system for depositing small droplets 36-5 is disclosed in U.S. Patent Application No. 10 / 191,749, filed July 9, 2002, and entitled "System and Method for Dispensing Liquids", which assigns to the present invention Assignee, the entire case is hereby incorporated by reference. The embossing layer 34 is made of a material 36a which can be selectively polymerized and cross-linked to record the original pattern therein, defining the recorded pattern. The composition of 10 examples of material 36 & is disclosed in U.S. Patent Application No. 10 / 463,396, application dated June 16, 2003, titled "Method for Reducing Adhesion Between Conformal Area and Mold Pattern", which is cited by reference in its entirety. Ways are incorporated here. The material 36a is shown in Fig. 4 as a polymer material 36c which has been crosslinked at the point 36b '. Referring to Figs. 2, 3 and 5, the pattern recorded on the imprint layer 34 is partially produced by mechanically contacting the mold 28. In order to achieve this, the distance "d" is shortened, the imprinted droplets are brought into mechanical contact with the mold 28, the droplets are expanded% to form an imprinted layer 34, and a continuous material 36a is formed over the surface 32. In a specific example, the distance "d" is shortened so that the sub-portion 34a of the imprint layer 34 can enter the recessed portion 28a and fill the recessed portion 28a. 20 To assist in filling the recess 28a, the material 36a is provided with the required properties to fully fill the recess 28a, while covering the surface 32 with a continuous forming material 36 &. In this specific example, after reaching the required distance "d", which is usually the minimum distance "d", the imprint layer 34 sub-portion 34b overlaps the convex portion 28b, leaving a thick sub-portion 34a and a thick k-portion 34b. Depending on the application, thickness 10 200523040 degrees ^ and ^ can have any desired thickness. Typically, & is chosen so that ^ is not greater than twice the width 4a of the sub-section, i.e. t < 2u, which is more clearly shown in FIG. According to Figs. 2, 3 and 4, after reaching a predetermined distance "d", the radiation source 22 generates actinic radiation, and the actinic radiation polymerizes and crosslinks the material 36 & to form a crosslinked 5-linked polymer material 36e. As a result, the composition of the embossed layer 34 is changed from the material 3, such as the polymer material 36c after the parent link, and the material 36c is solid. The crosslinked polymer material 36c is cured to obtain the side portion 34c of the embossed layer 34. The shape conforms to the shape of the surface 28c of the mold 28, and is more clearly shown in FIG. After the embossing layer μ is transformed into a parent polymer material 36c (as shown in Fig. 4), the imprint head 18 shown in Fig. 2 moves, the distance "d" increases, and the mold 28 and the embossing The layers 34 are separated from each other. According to Figure 5, other processes can be used to complete the patterning of the substrate 30. For example, the substrate 30 and the embossed layer 34 can be etched to transfer the pattern of the embossed layer 34 to the substrate 30 to provide a patterned surface 34c. To assist in etching, the material forming the embossed layer 34 can be changed to define a relative 15 etch rate relative to the substrate 30 as desired. Referring to Figures 2, 3, and 6, in order to make the mold have a very compact characteristic structure, such as a nano-sized recess 28a, a droplet 36 is spread on the area 40 of the substrate 30 of the overlapping mold 28 to fill the recess 28a. Long time, thus slowing down the production of the embossing process. To assist in improving the production of the embossing process, 20 droplets 36 are dispensed to minimize the time required for the droplets 36 to unfold on the substrate 30 and the droplets 36 to fill the recesses 28a. This project can be achieved by assigning droplets 36 in a two-dimensional matrix array 42 so that the interval between adjacent droplets 36 (shown as S1 & S2) is minimized. As shown in the figure, the area of the droplet 36 of the matrix array 42 is in the range of six rows nrn6 and six columns. However, the droplets 36 can be arranged substantially in any two-dimensional arrangement on the substrate 11 200523040 30. For a specified total volume Vt of the imprint material 36a required to form the predetermined patterned layer, it is desirable to maximize the number of droplet% of the matrix array 42. This minimizes the interval S! &Amp; S2 between adjacent droplets. It is also desirable that each droplet 36 in this subset has a substantially equal amount of imprint material 36a combined with it, meaning an early volume Vu. Based on this specific standard, the total number of droplets 36 in the matrix array 42 can be determined as follows: (1) n-Vt / Vu Here Vt and vu are defined as before. Assuming that the droplet 36 is a square matrix, the total number of droplets η is defined as follows: _ 3 (2) η = ηιχ η2 where η! Is the number of droplets in the first direction, and droplets in the second direction number. In other words, in the first direction, the interval between adjacent droplets in one dimension is separated by 8! The measurement is as follows: (3) Si ^ Lj / ni 15 where L1 is the length of the region 40 in the first direction. Similarly, the interval S2 between adjacent droplets 36 in the second direction crossing the first direction is determined as follows: (4) S2 = L2 / n2 where L2 is the length of the area 40 in the second direction. Considering that the unit volume of the embossed material 36a associated with each droplet 36 is determined according to the configuration with 20 delivery devices, obviously the interval SiAS2 is determined according to the resolution, that is, a droplet distribution device (not shown in the figure) is used to form the droplet 36. For operation control, it is particularly desirable that the distribution split (not shown) is provided with a minimum amount of imprint material 36a on each droplet 36, so the distribution of the droplets can be accurately controlled. In this way, the area above the embossed material shirt that each droplet 36 must advance through is said to be 1250023040. This shortens the time required for a continuous layer of embossed material 36a to fill the recesses 28a and cover the substrate. Another problem that the present invention seeks to avoid is that once the patterned surface 34c is formed, gas is trapped in the embossed layer 34. Particularly, there is a gas in the volume 44 between the droplets 36 of the matrix array 42 spaced from each other. The droplets 36 of the matrix array 42 are spread out in the region 40, thereby preventing (even if unavoidable) the gas from being trapped in the imprint layer. In order to achieve this project, according to a specific example of the present invention, a subset of the droplets 36 of the matrix array 42 is compressed in the first direction by the mold 28, and the remaining droplets 36 of the matrix array 42 are crossed along after Ik. The second direction in the first direction is compressed. This can be achieved by impacting the cantilever beam to the droplet 36 via the mold 28 as shown in FIG. 8. Referring to Figures 6, 7, and 8, the template 26 is set such that the surface 28c of the mold 28 forms an oblique angle 0 with respect to the substrate surface 30a of the substrate 30, which is referred to as a cantilever impact. An example device that can assist in forming the angle Θ is disclosed in U.S. Patent Application No. 15 09 / 698,317, filed on October 27, 2000, under the name "High Precision Orientation Correction and Gap Control Phase of Imprint Lithography Process", Incorporated here by reference. As a result of the impact of the cantilever beam of the mold 28, as the distance between the mold 28 and the substrate 30 is shortened, the mold 28 sub-portion will contact the droplet 36 subset of the matrix array 42, and then the mold 28 contacts the mold 28-the rest of the edge The remaining droplets 36 of the moment 20 array 42 are contacted. As shown, the mold 28 is in contact with all of the row-related droplets 36 at substantially the same time. This causes the droplets 36 to expand, creating a continuous liquid sheet 46 of the embossed material 36a, which extends from the edge 40a of the region 40 toward the row of droplets. One edge of the liquid sheet defines the liquid-air interface 46a. The function of the interface 46a is to push the gas of volume 44 from the edge 40a 13 200523040 to the edges 40b, 40c, and 40d. The volume 44 between the droplets 36 in rows m-η5 defines a gas channel, and the gas in this gas channel 'can be pushed to the peripheral portion of the area 40. In this way, the interface 46 a incorporating the gas channel can reduce (even if unavoidably) the trapping of gas in the liquid sheet 46. 5 Referring to FIGS. 7 and 9 'When the template 26 moves toward the substrate 30, the mold 28 rotates' to expand the embossed material 36a of the subsequent droplet 36 subset of the associated rows η4 and 115, and become included in Continuous liquid sheet 46. The template 26 continues to rotate, and the mold 28 subsequently contacts the droplets 36 associated with the row η * and the row ns, so the relevant imprint material 36a is expanded to become contained in the continuous liquid sheet 46, as shown in FIG. This process is continued until all the droplets 36 are contained in the continuous sheet 46, as shown in FIG. As can be seen from the figure, the interface 46a moves toward the edge 40c, so there is an unblocked gas path (not shown) in the remaining volume 44a of the area 40 to travel through it. This allows the gas of volume 44a to be sent from the area 40 facing the edge 40c. In this way, as shown in FIG. 5, the gas captured in the embossed 15 layer 34 having the surface 34c is reduced, even if unavoidable, as shown in FIG. Referring to Figs. 3, 12 and 13, in another specific example of the present invention, the cantilever impact of the mold 28 can be eliminated, and the droplets 36 of the matrix array 42 can be expanded line by line 'as shown in Fig. 7-Π. This can be accomplished by using electromagnetic force to move the embossed material 36a across the region 40 and / or toward the mold 128. To achieve this project in 20, the mold 128 includes a plurality of substantially addressable conductive elements' shown as qrq0, forming the bottom end 118a of the recess 128a of the mold 128. The body 15 and the sub-portion 118b, which extend out from the side of the sub-portion 118a, are overlapping projections 128b and do not contain any conductive material. The generation of the mold 128 is discussed more fully below. Referring to FIG. 14, the method of forming the template includes obtaining the body 150 and identifying 14 200523040 forming the four regions 150a, 150b, 150c, and 150d of the template. The special body i50 is composed of standard 6025 fused silica. Four templates are simultaneously formed in the four divided areas of the body 150, which are shown as templates 26, 226, 326, and 426. For the sake of conciseness in this article, we will discuss the manufacturing of template 126, but we need to understand that the discussion about template 126 5 is equally applicable to templates 226, 326, and 426. 15 and 16, the body 150 is typically 152.4 mm in length. A chromium layer 130 is present on the entire side 112 of the body 150. The photoresist layer 132 covers the chromium layer 130. The photoresist layer 132 is patterned and developed to expose a region 134 surrounding the middle portion 136 of the side edge 112. The middle portion 136 is typically 25 mm on a side. A typical 10-type photoresist layer 132 is patterned using a laser writer. After the photoresist layer 132 is removed by development, the overlay 130 of the overlap region 134 is removed by etching using any suitable etching technique such as ammonium nitrate etching or plasma etching. In this manner, a portion of the overlapping area 134 of the body 150 is exposed. An appropriate post-etching process can then be performed ', such as oven drying or other cleaning processes. 15 Assuming that the etch is made of fused silica, a suitable etch technique involves a buffered oxide etch (BOE). The etching is performed for a sufficient time to allow the platform 133 to have a pre-south degree h '. The platform 133 is measured from the surface I of the main body 150] as shown in Figure 18. An example south is 15 microns. Subsequently, the remaining portion of the photoresist layer 132 is removed, and any remaining portion of the chromium layer 130 on the middle portion 136 is removed. A layer of 20 photoresist materials 134 is deposited on the template 126, as shown in FIG. The photoresist material 134 overlaps the platform 133. This area is removed by patterning and development using standard techniques to expose the area 136 of the body 150, leaving a patterned photoresist layer 138, as shown in FIG. Subsequently, an indium tin oxide (IT0) layer ι40 is deposited on the template 126 to cover the patterned photoresist layer 138, as shown in FIG. 21. ITO is a suitable material for the mold m using 15 200523040. Since IT0 is conductive, and the radiation wavelength of IT0 to light source 22 is substantially transparent, as shown in FIG. 2. The patterned photoresist layer 138 is removed by a lift-off process, as shown in FIG. 20, and the entire ITO layer portion of the non-overlapping region 136 is removed during the lift-off process. In this way, a patterned 1-but layer 142 is formed, and a region 144 of the body 150 is exposed, as shown in FIG. 22. After the patterned ITO layer 142 is formed, a silicon oxide SiO2 layer 146 is deposited. The mold 128 is formed in this way, and the silicon oxide layer 146 is patterned so that the silicon oxide does not overlap the ITO material of the overlapping region 144 of the ITO layer 142, as shown in FIG. In this way, 12% of the bottom end of the concave part is generated by * IT0, and the convex part 10b of 128b is generated from the oxidized stone. Referring to FIGS. 3, 12 and 13, it is understood that the convex portion 128b is made of an electrically insulating material, so that the electromagnetic field EM1 near the concave portion 128a is larger than the electromagnetic field EM2 near the convex portion 128b. In order to achieve this project, the power source 120 is in electrical communication with the conductive element qrq2 using any known suitable coupling technique, as shown in Figure 15-12. In this example, the conductive element qrq6 is shaped to extend beyond the mold 128, and the power source 120 is connected to the mold 128. In addition, through the selectively addressable conductive element qi-qe, the selected droplet 36 can be expanded in virtually any predetermined manner, including the expansion pattern discussed earlier with respect to Figures 7-11. Cang Zhao Figures 3, 24, and 25. As discussed above, the droplets 136 and 236 can be arranged in any matrix array. As shown, the droplets 136 and 236 are arranged in two sets. The amount of the embossed material 36a of each droplet 136 is substantially equal, and the amount of the embossed material 36a of each droplet 236 is substantially equal. The amount of embossed material on each droplet 236 is substantially greater than the amount of embossed material 36a on each droplet 36. By arranging the droplets 136 and 236 and the unequal amount of embossed material 16 200523040 36a in this way, it is believed that the time required to fill the recessed portion 128a of the mold 28 can be minimized while avoiding trapping of gas in the embossed layer 36a without using a stamper. 128 impacts the substrate 30 with a cantilever beam. In particular, by providing the smallest volume of droplets 136, the advantages previously discussed in terms of shortening the time required to fill the recess 128a can be achieved. As shown in FIG. 24 and FIG. 5, 'droplet 236 contains a relatively large amount of embossed material 36a (as shown in FIG. 3), and its location, it is sufficient to increase the flow of the embossed material-gas interface 146a formed by the droplet 236. It can drive the gas toward the periphery of the area 140, and will not trap the gas in the embossed material 36a. Referring to Figures 3, 12, and 24, in order to further shorten the time required to unfold the embossing material to make a pattern of 10 and the embossing material 36a of the droplets 136 and 236, a template 128 can be used, and the conductive element qrq6 can be sequentially activated (such (Discussed above), or simultaneously activate the conducting element qrq6. Referring to Figures 3, 26, and 27, if it is desired to apply an electromagnetic field across the mold at the same time, a template 526 can be used. The template 526 is made of a suitable material body 550, such as 15 made of fused silica. The example material is a standard 6025 fused silica with a measured value of approximately 152.4¾ meters on one side. Four separate templates 526, 626, 726, and 826 are formed at the same time in the four divided regions 550a, 550b, 550c, and 550d, respectively. For the sake of conciseness here, discussion will be made on the manufacture of the template 526, but it must be understood that the discussion of the template 526 is equally applicable to the templates 626, 726, and 826. 20. Referring to FIGS. 28 and 29, a chromium layer 530 is present on all sides 512 of the main body 550. The platform 533 is formed on the body 550 in the manner previously discussed with reference to Figures 16-18. An indium tin oxide (IT0) layer 534 is then deposited over the entire side 512 of the body 550 using standard techniques, as shown in FIG. 30. A silicon oxide layer SiCb is deposited above the ΓΓΟ layer 534, which is patterned and etched using standard techniques 17 200523040 to form the recessed portions 528a and the protruding portions 528b, as shown in FIG. 26. In this way, the bottom end of the concave portion 128a is made of * IT0, and the convex portion 12a is made of Y02. It is understood that the convex portion 52 is made of an electrically insulating material, so that the electromagnetic field EM! Near the concave portion 52 is larger than the electromagnetic field near the convex portion 2. As a result, the embossed material 36a near the mold 528 is more likely to be attracted to the recessed portion by π%, thereby shortening the time required for the embossed roll 36a to conform to the mold 528. 10 15 20 The specific examples of the present invention have been described for illustrative purposes only. The foregoing disclosure can be modified and modified 'while remaining within the scope of the present invention. For example, the use of electromagnetic fields to verify the structural characteristics of face-to-face materials to fill leaks can avoid discontinuities in the embossed layer. This type of discontinuity occurs when the embossed material cannot fill the cavity of the mold. The possible reasons are due to various environmental-based parameters, such as capillary attraction between the surface of the convex part and the surface of the heavy part. Applying an electromagnetic field to the embossing material to the mold can overcome these properties. Therefore the scope of the present invention is not affected by the former female%. The limitation of the brother month is determined by reference to the scope of the attached patent application together with its complete equivalent scope. [Brief description of%] Figure 1 is a perspective view of the lithography system according to the present invention; Figure 2 is a simplified bottom view of the lithography system shown in Figure 1; The representative diagram of the composition and materialization of the embossed layer shown in the figure; Figure 4 is a simplified representative diagram of the material shown in the figure after the cross-linking of the polymer material under light irradiation; Simplified bottom view of the mold separated from the imprint layer as shown in Figure 1 after patterning; 18 200523040 Figure 6 is a first specific example of the present invention, as shown in Figure 2 above, deposited on an area on the substrate Top view of the droplet array of imprinted material; Figure 7 is a simplified schematic diagram of the droplet array shown in Figure 6 according to a specific example of the present invention, the cantilever impact of the mold shown in Figure 2; Fig. -11 is a top view showing the cantilever impact compression of the droplet shown in Fig. 6 using the mold shown in Fig. 7; Fig. 12 shows another specific example of the present invention, which has individually addressable electrical conductors. The bottom view of the mold; Figure 13 is a side sectional view of the template shown in Figure 12; FIG. 4 is a top view of a substrate used to make a template according to yet another specific example of the present invention; FIG. 15 is a side cross-sectional view of the region of the substrate shown in FIG. 14 taken along line 15-15; Figure -23 is a side cross-sectional view of the area shown in Figure 15, showing the various processes used to manufacture the template shown in Figure 13; Figure 24 is a fourth specific example of the present invention, Figure 6 The top view of the area is shown, with droplets of embossed material deposited in an array. Figure 25 is a fifth specific example of the present invention, using the mold shown in Figure 2 to compress the droplets shown in Figure 24 above. Top view; 20 FIG. 26 is a cross-sectional view of a template according to a sixth specific example of the present invention; FIG. 27 is a plan view of a substrate used to manufacture the template shown in FIG. 26 according to a seventh specific example of the present invention; Fig. 28 is a cross-sectional view taken along line 28-28 of a region of the substrate shown in Fig. 27; and 200523040 Figs. 29-30 are cross-sectional views of the region shown in Fig. 28, shown in Fig. 26 The various processes of the template. [Description of Symbols of Main Components] 10 ... lithography system 36a ... embossing material 12 ... bridge support 36b ... point 14 ... bridge 36c ... crosslinked polymer material 16 ... platform support 40 ... zone 18 ... imprint heads 40a-d .. · edge 20 ... mobile platform 42 ... matrix array 22 ... radiation source 44 ... volume 23. .. generator 44a ... remaining volume 25 ... processor 46a ... liquid-air interface 26 ... template 112 ... entire side 28 ... mold 118a-b ... sub-section 28a ... recess 120 ... power supply 28b ... protrusions 126,226,326,426 ... template 28c ... surface 128 ... mold 30 ... substrate 128a ... recess 30a ... substrate surface 128b ... Convex portion 32 ... surface 130 ... chrome layer 34 ... embossed layer 132 ... photoresist layer 34a-b ... subsection 133 ... platform 34c ... side, patterned surface 134. .. zone 36 ... droplet 136 ... central

20 200523040 136、236…小滴 138.. .圖案化光阻層 140.. .1.O 層 142.. .圖案化ITO層 144"•區 146…氧化矽層 146a...壓印材料-氣體界面 150…本體 150a-d···區 512.. .整個侧邊 528a...凹部 528b...凸部 530…鉻層 533.. .平台 534.. .1.O 層 550.. .本體 550a-d...區 526、626、726、826...模板20 200523040 136, 236 ... droplets 138 ... patterned photoresist layer 140 .. 1.0 layer 142 .. patterned ITO layer 144 " • region 146 ... silicon oxide layer 146a ... imprint material- Gas interface 150 ... body 150a-d ... area 512 ... entire side 528a ... recess 528b ... projection 530 ... chrome layer 533 ... platform 534 ... 1.O layer 550 ... Ontology 550a-d ... zones 526, 626, 726, 826 ... templates

21twenty one

Claims (1)

200523040 十、申請專利範圍: 1. 一種形成一傳導性模板之方法,該方法包含: 提供一基材; 形成複數個凹部及凸部於該基材上,具有該凹部之 5 一子集底端包括導電材料,經由沉積複數個彼此隔開之 導電區於基材上而形成複數個導電區,接著經由沉積一 層絕緣材料於該複數個導電區之該層上方來形成。 2. 如申請專利範圍第1項之方法,其中形成複數個凹部進 一步包括提供複數個欲選擇性激活之導電區。 10 3.如申請專利範圍第1項之方法,其中形成複數個凹部進 一步包括沉積銦錫氧化物層於該基材上,以及沉積絕緣 材料層於該銦錫氧化物層上;以及圖案化該絕緣層,形 成複數個通孔於其中,由該絕緣層表面延伸,而止於該 銦錫氧化物層。 15 4.如申請專利範圍第1項之方法,其中形成複數個凹部進 一步包括形成銦錫氧化物層為複數個彼此隔開之導電 區於該基材上’基材之非重豐彼此隔開之導電區之區域 係暴露出’界定暴露區’以及於暴露區上形成電絕緣材 料,電絕緣材料及導電區形成具有複數個通孔之圖案化 20 層。 5. —種模板,包含: 一基材;以及 複數個彼此隔開之導電區設置於該基材上,該基材 及導電區對預定能量波長皆為透明。 22 200523040 6.如申請專利範圍第5項之模板,此處該基材進一步包括 一平台,有複數個彼此隔開之導電區子集設置於該平台 上。 7·如申請專利範圍第5項之模板,其中複數個彼此隔開之 5 導電區係由銦錫氧化物製成。 8. 如申請專利範圍第5項之模板,進一步包括一處理器連 結至該電源來指示其操作,施加電能,讓複數個彼此隔 開之導電區被循序施加電能。 9. 一種形成一圖案於一本體之方法,該方法包含: 10 設置一液體介於一模板與該本體間; 將該模板定向成接近該液體;以及 施加電場介於該模板與該本體間,移動部分液體, 將液體展開於本體之上而形成薄膜,同時防止薄膜之非 連續。 15 10.如申請專利範圍第9項之方法,其中該施加進一步包括 施加有足夠幅度之電場來克服該模板與該本體間之液 體的毛細力。 11. 如申請專利範圍第9項之方法,進一步包括對該模板提 供以一導電層,該導電層對該輻射為透明,讓該液體材 20 料可聚合及交聯;以及施加電場進一步包括施加電壓至 該導電層。 12. —種分配液體總體積至一基材上之方法,該方法包含: 設置複數個彼此隔開之小滴於該基材上,各個小滴 具有一相關之單位體積; 23 200523040 將忒液體展開於該基材一區上方之複數個小滴;以及 於相關液體接觸相鄰小滴之前,讓與複數個小滴個 別相關液體行進之距離變最小化。 如申請專利範圍第12項之方法,其中該最小化進一步包 括排列複數個小滴成一圖案,讓複數個小滴子集之相鄰 各小滴間之間隔為與該子集相關之最小單位體積之函 數。 从如申請專利範圍第12項之方法,其中該展開進一步包括 壓縮介於該基材與本翻案化區間之複數個小滴,來形 成連續液體層於該基材一區重疊該圖案化區,固化於該 連續層之液體讓其中之圖案係與該圖案化區之圖案互 補。 α如申請專利範圍第12項之方法,其中該展開進—步包括 施用電磁場至該複數個小滴。 16·如申請專利範圍第12項之方法,進-步包括設置-本體 其具有圖案化區接近複數個小滴,該展開進—步包括施 加電磁場至複數個小滴,來造成該等小滴隨形於該圖案 4匕區。 24200523040 X. Scope of patent application: 1. A method for forming a conductive template, the method includes: providing a substrate; forming a plurality of recesses and protrusions on the substrate, and having a bottom of a subset of 5 of the recesses The conductive material is formed by depositing a plurality of conductive regions spaced apart from each other on a substrate, and then forming a plurality of conductive regions by depositing a layer of insulating material over the layers of the plurality of conductive regions. 2. The method of claim 1, wherein forming the plurality of recesses further includes providing a plurality of conductive regions to be selectively activated. 10 3. The method of claim 1, wherein forming the plurality of recesses further comprises depositing an indium tin oxide layer on the substrate, and depositing an insulating material layer on the indium tin oxide layer; and patterning the The insulating layer forms a plurality of through holes therein, extends from the surface of the insulating layer, and ends at the indium tin oxide layer. 15 4. The method of claim 1, wherein forming a plurality of recesses further comprises forming an indium tin oxide layer as a plurality of conductive regions spaced apart from each other on the substrate. The area of the conductive area is exposed to define the exposed area and an electrically insulating material is formed on the exposed area. The electrically insulating material and the conductive area form a patterned 20 layer having a plurality of through holes. 5. A template comprising: a substrate; and a plurality of conductive regions spaced apart from each other are disposed on the substrate, and the substrate and the conductive regions are transparent to a predetermined energy wavelength. 22 200523040 6. If the template of the scope of patent application No. 5 is used, the substrate here further includes a platform, and a plurality of electrically conductive regions separated from each other are arranged on the platform. 7. The template according to item 5 of the patent application, wherein a plurality of 5 conductive regions spaced from each other are made of indium tin oxide. 8. If the template of the scope of patent application No. 5 further includes a processor connected to the power source to instruct its operation, apply power, and allow a plurality of conductive areas separated from each other to sequentially apply power. 9. A method of forming a pattern on a body, the method comprising: 10 setting a liquid between a template and the body; orienting the template close to the liquid; and applying an electric field between the template and the body, Part of the liquid is moved, and the liquid is spread on the body to form a film, while preventing the film from being discontinuous. 15 10. The method according to item 9 of the patent application scope, wherein the applying further comprises applying an electric field with a sufficient amplitude to overcome the capillary force of the liquid between the template and the body. 11. The method according to item 9 of the patent application scope, further comprising providing the template with a conductive layer which is transparent to the radiation so that the liquid material can be polymerized and crosslinked; and the application of the electric field further includes the application of Voltage is applied to the conductive layer. 12. —A method for allocating a total volume of liquid to a substrate, the method comprising: setting a plurality of droplets spaced apart from each other on the substrate, each droplet having an associated unit volume; 23 200523040 liquid A plurality of droplets spread over a region of the substrate; and before the relevant liquid contacts an adjacent droplet, the distance traveled by the plurality of droplets individually related liquid is minimized. For example, the method of claim 12, wherein the minimization further includes arranging a plurality of droplets into a pattern, so that the interval between adjacent droplets of the plurality of droplet subsets is a minimum unit volume related to the subset. Of functions. From the method of claim 12 in the patent application range, wherein the unfolding further comprises compressing a plurality of droplets between the substrate and the rendition interval to form a continuous liquid layer overlapping the patterned region in a region of the substrate, The liquid solidified in the continuous layer allows the pattern therein to be complementary to the pattern of the patterned area. [alpha] The method of claim 12, wherein the developing step further comprises applying an electromagnetic field to the plurality of droplets. 16. According to the method of claim 12 in the patent application scope, the step further includes setting-the body has a patterned area close to a plurality of droplets, and the development step includes applying an electromagnetic field to the plurality of droplets to cause the droplets Follow the pattern in the 4 dagger area. twenty four
TW093134440A 2003-11-12 2004-11-11 Dispense geometry and conductive template to achieve high-speed filling and throughput TWI292347B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/706,537 US20050098534A1 (en) 2003-11-12 2003-11-12 Formation of conductive templates employing indium tin oxide
US10/714,088 US20050106321A1 (en) 2003-11-14 2003-11-14 Dispense geometery to achieve high-speed filling and throughput

Publications (2)

Publication Number Publication Date
TW200523040A true TW200523040A (en) 2005-07-16
TWI292347B TWI292347B (en) 2008-01-11

Family

ID=34595369

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093134440A TWI292347B (en) 2003-11-12 2004-11-11 Dispense geometry and conductive template to achieve high-speed filling and throughput

Country Status (5)

Country Link
EP (1) EP1682340A2 (en)
JP (1) JP2007516862A (en)
KR (1) KR20060126967A (en)
TW (1) TWI292347B (en)
WO (1) WO2005047975A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI505877B (en) * 2010-03-30 2015-11-01 Fujifilm Corp Nanoimprinting method, method for producing a droplet arrangement pattern, and method for fabricating substrates

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100435979C (en) * 2005-06-24 2008-11-26 精工爱普生株式会社 Droplet discharge method, electro-optical device, and electronic device
US8707890B2 (en) * 2006-07-18 2014-04-29 Asml Netherlands B.V. Imprint lithography
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
JP5289006B2 (en) * 2008-11-19 2013-09-11 株式会社東芝 Pattern forming method and program
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
JP5377053B2 (en) * 2009-04-17 2013-12-25 株式会社東芝 Template, manufacturing method thereof, and pattern forming method
JP5281989B2 (en) * 2009-08-26 2013-09-04 富士フイルム株式会社 Pattern transfer apparatus and pattern forming method
JP2011071500A (en) * 2009-08-31 2011-04-07 Fujifilm Corp Pattern transfer apparatus and pattern forming method
JP5296641B2 (en) * 2009-09-02 2013-09-25 東京エレクトロン株式会社 IMPRINT METHOD, PROGRAM, COMPUTER STORAGE MEDIUM, AND IMPRINT DEVICE
JP5283647B2 (en) * 2010-03-03 2013-09-04 富士フイルム株式会社 Pattern transfer method and pattern transfer apparatus
JP5983218B2 (en) * 2012-09-11 2016-08-31 大日本印刷株式会社 Method for producing template for nanoimprint lithography
JP6540089B2 (en) * 2015-02-25 2019-07-10 大日本印刷株式会社 Pattern forming method, pattern forming apparatus and program for pattern formation
US11556055B2 (en) * 2020-06-19 2023-01-17 Canon Kabushiki Kaisha Systems and methods for generating drop patterns

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02244848A (en) * 1989-03-16 1990-09-28 Fujitsu Ltd Burst communication control method
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5817376A (en) * 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3780700B2 (en) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI505877B (en) * 2010-03-30 2015-11-01 Fujifilm Corp Nanoimprinting method, method for producing a droplet arrangement pattern, and method for fabricating substrates

Also Published As

Publication number Publication date
KR20060126967A (en) 2006-12-11
TWI292347B (en) 2008-01-11
JP2007516862A (en) 2007-06-28
WO2005047975A2 (en) 2005-05-26
EP1682340A2 (en) 2006-07-26
WO2005047975A3 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
US7491637B2 (en) Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) Dispense geometery to achieve high-speed filling and throughput
TW200523040A (en) Dispense geometry and conductive template to achieve high-speed filling and throughput
US5817242A (en) Stamp for a lithographic process
US20210181625A1 (en) Methods and apparatus for creating a large area imprint without a seam
EP3697588B1 (en) A system for molding a photocurable material into a planar object
US8361371B2 (en) Extrusion reduction in imprint lithography
KR100890247B1 (en) Beam direction control element and method of manufacturing same
CN102540707B (en) Imprint lithography
KR102428754B1 (en) Optical polymer film and method for casting same
US20090148619A1 (en) Controlling Thickness of Residual Layer
TW200529470A (en) A method for producing a light-emitting device
JP2009536591A (en) Template with varying thickness
CN108461622B (en) Method for manufacturing piezoelectric sensor and piezoelectric sensor using the same
TW200912524A (en) Drop pattern generation for imprint lithography
WO2015103370A1 (en) Asymmetric template shape modulation for partial field imprinting
JP2017147283A (en) Transfer method for fine structure and transfer device for fine structure
US20050160011A1 (en) Method for concurrently employing differing materials to form a layer on a substrate
US10468247B2 (en) Fluid droplet methodology and apparatus for imprint lithography
JPH0362927A (en) Semiconductor device and manufacture thereof
TWI253434B (en) Applying imprinting material to substrates employing electromagnetic fields
TWI290665B (en) Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US7128559B1 (en) Programmable imprint lithography template
CN110658677B (en) Imprinting method, imprinting structure and display substrate
KR102602965B1 (en) Film forming apparatus, film forming method, and method of manufacturing article