TW200510082A - Fabricating and cleaning chamber components having textured surfaces - Google Patents

Fabricating and cleaning chamber components having textured surfaces

Info

Publication number
TW200510082A
TW200510082A TW093113180A TW93113180A TW200510082A TW 200510082 A TW200510082 A TW 200510082A TW 093113180 A TW093113180 A TW 093113180A TW 93113180 A TW93113180 A TW 93113180A TW 200510082 A TW200510082 A TW 200510082A
Authority
TW
Taiwan
Prior art keywords
fabricating
textured
particles
textured surface
component
Prior art date
Application number
TW093113180A
Other languages
English (en)
Other versions
TWI302116B (en
Inventor
Brian T West
Marc O Schweitzer
Jennifer L Watia
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200510082A publication Critical patent/TW200510082A/zh
Application granted granted Critical
Publication of TWI302116B publication Critical patent/TWI302116B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning In General (AREA)
  • Treatment Of Fiber Materials (AREA)
TW093113180A 2003-09-02 2004-05-11 Fabricating and cleaning chamber components having textured surfaces TWI302116B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/653,713 US20050048876A1 (en) 2003-09-02 2003-09-02 Fabricating and cleaning chamber components having textured surfaces

Publications (2)

Publication Number Publication Date
TW200510082A true TW200510082A (en) 2005-03-16
TWI302116B TWI302116B (en) 2008-10-21

Family

ID=34217955

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093113180A TWI302116B (en) 2003-09-02 2004-05-11 Fabricating and cleaning chamber components having textured surfaces

Country Status (3)

Country Link
US (3) US20050048876A1 (zh)
TW (1) TWI302116B (zh)
WO (1) WO2005021173A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI416997B (zh) * 2008-06-30 2013-11-21 Lam Res Corp 多元件電極之再處理程序
CN111451190A (zh) * 2019-01-21 2020-07-28 宁波江丰电子材料股份有限公司 靶材的清洗方法
TWI801345B (zh) * 2016-09-13 2023-05-11 美商應用材料股份有限公司 用於腔室部件、設計薄膜及處理腔室的紋理化表層

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050183741A1 (en) * 2004-02-20 2005-08-25 Surjaatmadja Jim B. Methods of cleaning and cutting using jetted fluids
US7618769B2 (en) * 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8155754B2 (en) * 2005-01-25 2012-04-10 Medtronic, Inc. Method for fabrication of low-polarization implantable stimulation electrode
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060237043A1 (en) * 2005-04-25 2006-10-26 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20090098002A1 (en) * 2005-09-20 2009-04-16 Kudu Industries Inc. Process for hardfacing a metal body
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US8790499B2 (en) * 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8996129B2 (en) * 2007-01-31 2015-03-31 Medtronic, Inc. Medical electrode including an iridium oxide surface and methods of fabrication
WO2008117482A1 (ja) * 2007-03-22 2008-10-02 Kabushiki Kaisha Toshiba 真空成膜装置用部品及び真空成膜装置
TWI367147B (en) * 2007-04-03 2012-07-01 Tara Technologies An apparatus, method and computer program product for modifying a surface of a component
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090000641A1 (en) * 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
US20090050272A1 (en) * 2007-08-24 2009-02-26 Applied Materials, Inc. Deposition ring and cover ring to extend process components life and performance for process chambers
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
US8844546B2 (en) * 2008-10-01 2014-09-30 Applied Materials, Inc. Apparatus and method for cleaning semiconductor substrate using pressurized fluid
KR100987978B1 (ko) * 2008-10-27 2010-10-18 (주)트리플코어스코리아 가스 스크러빙 장치 및 가스 스크러빙 방법
DE102010007224A1 (de) * 2010-02-09 2011-08-11 Ford-Werke GmbH, 50735 Verfahren zum Abtragen von Overspray thermischer Spritzschichten
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120258280A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Extended life textured chamber components and method for fabricating same
TWI553725B (zh) * 2011-09-27 2016-10-11 Taiyo Nippon Sanso Corp 氮化物半導體製造裝置用組件之清洗方法,以及氮化物半導體製造裝置用組件之清洗裝置
CN111485226A (zh) * 2012-07-27 2020-08-04 应用材料公司 粗糙化的基板支撑件
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20160282278A1 (en) * 2015-03-23 2016-09-29 Cummins Inc. Chemical cleanliness test method prior to surface treatment
EP3326196A4 (en) * 2015-07-23 2019-02-27 Honeywell International Inc. IMPROVED SPROUT COIL PRODUCT AND METHOD OF MANUFACTURING
US9917426B1 (en) 2015-09-01 2018-03-13 Arnold A. Kelson Reclaimation of scrap copper and other metals by waterblasting in a rotating cylinder
US11031758B1 (en) 2016-07-15 2021-06-08 Arnold A. Kelson Method to reclaim metal from insulated and/or shielded and/or jacketed power cables using waterjetting
US10625399B1 (en) 2016-07-15 2020-04-21 Arnold A. Kelson Method to reclaim metal from insulated and/or shielded and/or jacketed power cables using waterjetting
US10435784B2 (en) 2016-08-10 2019-10-08 Applied Materials, Inc. Thermally optimized rings
US10434604B2 (en) * 2016-10-14 2019-10-08 Applied Materials, Inc. Texturizing a surface without bead blasting
US10655212B2 (en) 2016-12-15 2020-05-19 Honeywell Internatonal Inc Sputter trap having multimodal particle size distribution
WO2019007488A1 (en) * 2017-07-04 2019-01-10 Cleanpart Group Gmbh TREATMENT CHAMBER COMPONENT AND METHOD FOR FORMING SURFACE TEXTURE
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
CN107838091B (zh) * 2017-10-27 2020-10-16 昆明和玘电力设备有限公司 一种用于绝缘瓷瓶的带电作业清扫方法
US11685990B2 (en) 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
US20200354831A1 (en) * 2019-05-07 2020-11-12 Alta Devices, Inc. Methods and systems for cleaning deposition systems
US11365475B2 (en) * 2019-08-02 2022-06-21 Applied Materials Inc. Physical vapor deposition chamber cleaning processes
KR20210026954A (ko) * 2019-09-02 2021-03-10 삼성전자주식회사 반도체 제조 장치

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US173526A (en) * 1876-02-15 Improvement in iron-heating attachments for stoves
US118731A (en) * 1871-09-05 Improvement in water-wheels
US10353A (en) * 1853-12-20 Steam-boiler
US196890A (en) * 1877-11-06 Improvement in portable hot-air and vapor baths combined
US73126A (en) * 1868-01-07 Eliphalet s
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5527275A (en) * 1991-01-22 1996-06-18 Ginsberg; Irwin A. Automated ear cleansing device
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5527203A (en) * 1992-08-28 1996-06-18 Cook; Jack R. Method for removal of surface contaminants from metal substrates
GB9310820D0 (en) 1993-05-26 1993-07-14 Welding Inst Surface modification
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
DE19504198A1 (de) * 1995-02-09 1996-08-14 Behringwerke Ag Kompetitiver Immuntest unter Verwendung komplexierter Analytderivate
DE19528913C2 (de) * 1995-08-07 1998-07-23 Franz Schulte Flußregelventil
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR19980057929U (ko) * 1997-02-14 1998-10-26 배순훈 세탁기의 펄세이터
US6405399B1 (en) * 1999-06-25 2002-06-18 Lam Research Corporation Method and system of cleaning a wafer after chemical mechanical polishing or plasma processing
US6368410B1 (en) * 1999-06-28 2002-04-09 General Electric Company Semiconductor processing article
KR100613919B1 (ko) * 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6283840B1 (en) 1999-08-03 2001-09-04 Applied Materials, Inc. Cleaning and slurry distribution system assembly for use in chemical mechanical polishing apparatus
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
AUPQ477799A0 (en) * 1999-12-21 2000-02-03 Hydra-Wash Pty Limited Improved cleaning device and method
US6669538B2 (en) 2000-02-24 2003-12-30 Applied Materials Inc Pad cleaning for a CMP system
JP2003534451A (ja) 2000-04-03 2003-11-18 アプライド マテリアルズ インコーポレイテッド プラズマ処理システムのシリコンルーフの洗浄の改良
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6638366B2 (en) * 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
JP2003031535A (ja) * 2001-07-11 2003-01-31 Mitsubishi Electric Corp 半導体製造装置の超音波洗浄方法
US6571472B2 (en) * 2001-08-14 2003-06-03 General Electric Company Restoration of thickness to load-bearing gas turbine engine components
WO2003028909A1 (en) * 2001-09-28 2003-04-10 Raytheon Company Dense-phase fluid cleaning system utilizing ultrasonic transducers
JP2003115576A (ja) * 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
JP3958080B2 (ja) * 2002-03-18 2007-08-15 東京エレクトロン株式会社 プラズマ処理装置内の被洗浄部材の洗浄方法
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US20030230323A1 (en) * 2002-06-14 2003-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for improving scrubber cleaning
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
US20040003990A1 (en) * 2002-07-02 2004-01-08 Pierre Mansur Water purification apparatus and method for purifying water
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI416997B (zh) * 2008-06-30 2013-11-21 Lam Res Corp 多元件電極之再處理程序
TWI801345B (zh) * 2016-09-13 2023-05-11 美商應用材料股份有限公司 用於腔室部件、設計薄膜及處理腔室的紋理化表層
CN111451190A (zh) * 2019-01-21 2020-07-28 宁波江丰电子材料股份有限公司 靶材的清洗方法

Also Published As

Publication number Publication date
WO2005021173A1 (en) 2005-03-10
TWI302116B (en) 2008-10-21
US7993470B2 (en) 2011-08-09
US20090120462A1 (en) 2009-05-14
US20050048876A1 (en) 2005-03-03
US20080038481A1 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
TW200510082A (en) Fabricating and cleaning chamber components having textured surfaces
WO2004048636A3 (en) Method of cleaning a coated process chamber component
WO2009050251A3 (en) Surface coating processes and uses of same
CN103952664B (zh) 一种类金刚石镀膜工件表面预处理工艺
JP6798997B2 (ja) プラズマ耐エッチング性が向上された工程部品及びそのプラズマ耐エッチング性の強化処理方法
US20190217336A1 (en) Methods for forming stainless steel parts
EP1310466A3 (en) Quartz glass parts, ceramic parts and process of producing those
TW360874B (en) Plasma coating process for improved bonding of coatings on substrates
WO2008033867A3 (en) Method of doping surfaces
CN105274465B (zh) 真空镀膜腔内部件洁净粗糙表面的再生方法
TW200514865A (en) Cleaning and refurbishing chamber components having metal coatings
ZA200901557B (en) Method of doping surfaces
ATE234369T1 (de) Verfahren zur erzeugung eines mit hartmetall beschichteten bauteils
WO2007133413A3 (en) Photoresist stripping chamber and methods of etching photoresist on substrates
KR20090082149A (ko) 세라믹 용사 부재, 그의 제조 방법 및 세라믹 용사 부재용 연마 미디어
KR101359009B1 (ko) 페인트칠 설비의 구성요소 및 이로부터 페인트를 제거하는장치
CN102477534A (zh) 镀膜件及其制备方法
CN101967667A (zh) 铝镁合金物理前处理与电泳涂装工艺
MXPA03008983A (es) Produccion de superficies abrasivas revestidas y con un patron.
US20190031560A1 (en) Method of producing anti-glare glass and product thereof
DK1150801T3 (da) Metode til at fjerne overfladebelægninger
WO2006089134A3 (en) Apparatus and method for surface preparation using energetic and reactive cluster beams
TW200727941A (en) Coating pickling engraving method for golf head
JPS6140329A (ja) 合成樹脂接着面の表面処理方法
TWI716170B (zh) 無酸磷化金屬板材的處理方法