TW200409083A - Using scatterometry to obtain measurements of in circuit structures - Google Patents

Using scatterometry to obtain measurements of in circuit structures Download PDF

Info

Publication number
TW200409083A
TW200409083A TW092128798A TW92128798A TW200409083A TW 200409083 A TW200409083 A TW 200409083A TW 092128798 A TW092128798 A TW 092128798A TW 92128798 A TW92128798 A TW 92128798A TW 200409083 A TW200409083 A TW 200409083A
Authority
TW
Taiwan
Prior art keywords
wafer
manufacturing process
light
repeating
measurement
Prior art date
Application number
TW092128798A
Other languages
English (en)
Inventor
Bryan K Choo
Bhanwar Singh
Ramkumar Subramanian
Bharath Rangarajan
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200409083A publication Critical patent/TW200409083A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

200409083 玖、發明說明: 【發明所屬之技術領域】 本發明概括地係關於半導體製造程序之監測且/或控 制,且特別是關於-種系統與方$,用來求得在製造程序 中形成之電路結構之尺寸量度且對應該尺寸量度而控制製 造程序。 【先前技術】 在半導體產業中,有-種朝向更高器件密度的持續性 知勢。為了實現此種高密度,朝向縮小半導體晶圓上的哭 件尺寸(例如在次微米水準)的努力一向且持續進行者: 為了完成此種高度器件組裝密度,在晶圓片的小 部 :,-般叫做晶方(die)者之上,所製造的積體電路"Ο 2要愈來愈小的特徵與結構尺寸。這可能包括互連線的 :度與間距,接觸孔的間距與直徑,$面幾何例如不同社 構的^與邊以及其他特徵的表面幾何。為了縮小器件^ 寸,需要對製造程序給予更精密的控制。電路 ^^«,„^(critical dimensi〇n)(CD)〇^^ «度達到更高的器件Γ度 電路結構與增加組 製造半導體或IC的方法典型地包括—百個以 驟(例如曝光’❹,顯影),其中可能在單-㈣片/ 且更特別是在晶圓的每-晶粒上形成數百份積體電路的: 製體(,小在許多這些步驟中,包括在特定位置::: 料或從現有的層移除材料的以形成合意的電路結:= 92449 常:製造程序包括在一基板之上與之中建立數個圖 :曰,取終再形成完整的積體電路。此造層製程建立電 二動態區於半導體晶圓片之内與其表面之上。此種電性動 對層的對位與隔離會影響可在晶圓片上形成結構 =检度。如果諸層沒有在可接受的容限度内對位,則合 =覆盍誤差而連累電性動態區之效能且對晶 : 不利影響。 非I王有 【發明内容】 出本^提,對本發明某些局面的基本瞭解,乃於下面提 ,之間化概要。此概要不是本發明之廣泛综述。复 :不是用來鐘定本發明之關鍵或重要元件,也不是把 ::明:咖畫出輪廊。其目的只是以簡化形式提出::; 二概心作為隨後提出之更詳細描述之前奏。 根據本發明之一個或$ & ,.. 飞更夕局面,在半導體製造程戽 中,於晶圓片上形成的重覆電路結構之尺寸量度: 用以散射技術為基底之系統來進行。該等測量; 利用來產生前饋及/或回饋控制資料,該等資料 :生調整一個或更多個製造組件及/或與其相關聯的摔作! 數以達成合意的結果(例如,在可接受的容限值内的:鍵 尺寸及/或覆盍的減少)。此外,可以採用測量來,例 據成本效益分析決定是否將晶圓片或彼之部份作廢。< 結構中之直接測量可減#右 i路 減免有秘值的晶片實質資產之犧牡, 廷是因為測試光柵結構得不需要在晶圓内形成之故 更特別的是,根據本發明之一局面,其優點在於伴隨 92449 著與半導體製造程序相關的重複圖宰之’ 驗知識為製造程序之特定特 八 。有一種先 測。本發明之此一局面多層次檢驗與缺陷之镇 重複圖案之不規則⑯,而層:人檢驗以找出針對-預期 A 疋進行詳細的逐個哭、/土 + «Uv 馬致。如果有偵檢出不規則性, m件之榀 度的檢驗。據此,針對晶圓/在不規則性點進行較近程 檢驗。 之此類部份可進行更迅速的 為了實現上述及相關目標,、 後面所附圖式,在本文中說 σ者以下的說明部分與 不過,這些局面只是代明之某些示範性方面。 多種方始中的少數,且本發 、原理之 箄俨畜g , X 、J已括所有此類方面及盆 寺U項。本發明之其他優點與新賴特二 附圖式的本發明詳細說明部分而顯而易見。“所 【實施方式】 :參照圖式來說明本發明,文中係使用相同的 子來才曰稱相同的元件。在下面的說明 釋 的,提出許多的特定%u 巧了%釋目 u對1: 期提供對本發明之透澈瞭解。 不過對熟请此藝者來說可能顯而易見者,本發明之個 或更多局面可以用較少程度 苴朴产、、3?由、 7此寻知'疋細卽予以實施。在 …月’’以方塊圖顯示熟知的結構與器件 本發明之一個或更多局面。 况月 J本文中所用的“組件”-詞係包括電腦相關實體,可 組件可為—個在處理器上運轉的程序 如,-組…“或執行中的軟體。例 處理ti 92449 物件,一可執行檔(anex 一雷腦^ ^ able) 執行串,一程式與 該伺服器兩者都可為,且件」上運竹的應用程式與 丨」马組件。作為另一示範說明去,一半、# 為與控制該步進器的程序兩者都可為組件。 y 件最it解者L本發明之各個方面可採用與幫助無限制條 &或誤差成本極小化相關聯之技術。例如,可以 :非線性訓練系統/方法(例如逆向傳遞,貝氏 广ayesian) ’模糊集合(fuzzy se⑷,非線性迴歸,或其他神 =網路範式包括專家’小腦模式算術電腦(CMACS),徑 p 土本凸數(ladial basis function),有向搜尋網路與函數相 關聯網路之混合物)。 第1圖係圖解說明根據本發明之一個或更多局面的用 來:測與控制積體電路(IC)製造程序之一種系統⑽。 〆’丁'、、先1 00包括一控制系統i 〇2,該程序之製造組件1⑽, 测里系統1 06及經歷該製造程序之晶圓片丨〇8。如許多半 ‘肢製造流程典型採用者,在製造程序中於晶圓片i 上 形成一個或更多個重複電路内結構體丨丨〇。該控制系統丄〇2 U生彳呆作上耦合於該測量系統! 〇6及該等製造組件1 〇4以 對該測量系統所取得之讀數反應而調整製邊程序。特別的 疋,該控制系統1 〇2係經由前饋或回饋控制從測量系統工% 所得資訊所產生的資料而選擇性地控制一個或更多個製造 組件1 04及/或與彼等相關聯之一個或更多操作參數。本發 明可採用重複器件結構代替與特定品質保證與監測方法才目 關写外之習用柵格結構(yat]mg structures)。 8 92449 200409083 測置系統1 06可包括例如一散射測量系統(沒有顯示 出)用來在製造程序中測量於晶圓片内形成的諸重複電路 結構之一個或更多局面(例如關鍵尺寸及/或覆蓋)。由於 係該電路結構本身接受測量,因此如果所需的測試光拇數 目可以減少且於某些情況中可以免除在晶圓之内/之上的 形成,則有價值的晶片實f資產之犧牲使用可以減少。該 等測量產生會實際影響元件效能的有關結構之資訊,而測 試光拇通常只i生有M製造程序之一般化資訊。沒有測試 光栅也可以減 > 與在晶圓片内形成測試光Μ (例如通常在 晶圓内之劃線内)戶斤伴隨之時間與設備需求。因此可以利 用測量,監測與控制製造程序,㈣減少製造程序所需要 的晶片貫質資產的數量,時間與設備。 女日冢滸有 巧里朔之衣造組什汉/观興之相關聯的. 作蒼數都可以、經由控制系统102根據測量系統1〇6所採 之讀數選擇性調適之。藉由例子而非限制而言,此可包括 但不限定:’與製程相關的溫度,與製程相關的壓力, 程中的諸氣體和諸化學品的濃度,製程中諸氣體、諸化 物及/或其他諸成分之組成,製程中的諸氣體、諸化學品 /或其他成分之流動速率,與製程相關的日夺間參數及盘^ 相關的激發電壓。藉由另—例子而言,可以控制與顯像 有小幅密集分開的特徵之積體電路(1C)所用的高解析 光刻成分相關聯之參數以達到合意的結果。一般而言, 相蝕刻技術係指在不同媒體之間轉移圖案所用的方法,: 在半導體製造中係將一石夕片,即一晶圓片,用輻射敏感膜 92449 9 200409083 p光阻釗,予以均勻地塗覆。然後烘烤塗覆著光阻劑之基 板以愁發光阻劑組成物内之任何溶劑及將光阻塗層固定於 基=之上。有一曝光源(例如光,X-射線,或電子束)透 、。寸別圖木所用中介主要樣板照射到膜表面上所選定的範 …、相蝕刻塗層一般為適合接收主題圖案之投射影像 P射敏感性塗層。_旦來自該中介主要樣板的影像投射 J忒光阻劑之上,其即在該處形成不能消除掉的圖像。 • ^在照相蝕刻中投射到光阻層上的光會改變塗層的性 二、:例如溶解度)使得其所含不㈣部份(例如被照射的 又有被照射的部份,決定於光阻劑的類型)彳於隨後之 =理步,中被處理。例如,負型光阻劑的區域在經曝光源 :射之日^會變為不溶解使得在隨後顯影階段中使施用溶劑 u 4光阻劑只能去除沒有被照射的光阻劑區域。因此在負 =阻J層中形成的圖案為由樣板之不透光區域所界定之 =^的負像。相反地,於正型光阻劑中,被照射到的光阻 _ f區域义為易可溶者且在顯影中透過施用溶劑而移除掉。 此在正型光阻劑中形成的圖案為樣板上不透光區域之 2 2 °如此控制光阻劑曝光的程度(例如時間,強度)可 =圖案轉移與所得電路結構之傳真度。例如,過度曝光 έ k成比合思者更深的特徵,而曝光不足可能會造成 b ϋ思者較淺的特徵。本發明透過監測重複結構之部份形 之可以^助δ周整半導體製造程序之不同方面以朝向達成合 I、的結杲會聚。 也可以控制將影像轉移到晶圓片上所用之照射類型 ]〇 92449 200409083 來影響關鍵尺寸及圖案轉移。例如,p益& ,^ ^ m 隨者特徵尺寸趨向愈
t愈小之時’賴利用之光學輕射的波長而趨近極限。如 此’可以調整輻射的類型與因而輻射波長來控制圖宰轉 移。例如,可以利用具有更有助益的波長之_(例如波 長在5-200奈米(_)範圍内的遠紫外光(Euv)旬果紫外 光(DUV)輻射)於照相姓刻成像中,以期精確地達到較 …寺徵尺寸。不過,此種輻射可能被光阻劑材料所高度 吸收。結果,㈣穿透到光阻劑内的深度可能受到限制。 受到限制的穿《度需要使用超薄的光阻劑層以使得輕射 可以穿透光阻劑層的整個深度以期完成其圖案形成。因 此,透過照相蝕刻處理所形成的電路之效能也會受到光阻 劑層的厚度所影響。光阻劑層的厚度可以透過化學和 拋光(CMP)予以減少。—般而言,⑽係採用極化技術 (poUHzation techniques) ’其中係使用抛光塾在有研磨作 用或無研磨作用的液態襞液的存在中處理表面。所用激液 會與在表面/次表面範圍的光阻劑反應。較佳者,反應程度 不要大到足以造成該光阻劑的快速或可測量的溶解(例二 化學㈣而只為足以造成光阻劑内化學鍵的小幅修正以 幫助經由施加機械壓力(例如透過CMp拋光墊的使用)_ 除表面層。如此,可以調整纟CMP中所用的漿液所具‘ 度,流動速率與研磨程度以及在所用拋光墊與晶圓片之間 施加的壓力量’來控制半導體製造處理。 B 根據所利用之光阻劑系統,於半導體製造中也可採用 曝光傻的烘烤來藉由活化光阻劑内的化學反應以影響影像 ]1 92449 200409083 轉移。可控制曝露於特定溫唐 疋,里度的日日®片部份之溫度與時間 以調整光阻劑硬化的均勻性(例 、 J丨王1例如精由減低駐波效應及/ 或熱催化放大圖像之化璺;5座、h 化子反應)。較南的溫度可能會造成較 快速的烘烤與較快速的硬化 ^ ή ^ 吏化而較低溫度會造成較慢的烘 烤與對應地較慢的硬化,1可能 * /、Τ此銓由例如變更線條寬度之 一致性,而影響結構的均勻 ,,、 」注因此之故,在曝光後烘烤 中,也可以控制時間與溫度參數。 同樣地也可以控制姓刻階 的結果。於照射之徭,总—乂数以戶、現σ思 後 係在钱刻階段Φ猫:罔# i μ ^ ^^ u ^ 丨白杈宁將圖像由光阻劑塗 層輅私到晶0片内,於^
仙味I八 自仅1f 將蝕刻劑,以及JL 他诸成分,用激發電壓或1 - h 〇為方丨十丨丄、 〆、他方法知加於晶圓片表面之 划W去除或|虫刻掉在顯 份。在弁阳节丨思心· ^ 中*光的晶圓片部 刻劑的作用。光阻劑^不®片。μ則可避開蝕 影劑影響的部份且為在# ^ 〜〜過私中不受顯 伤。此等光阻劑層表 j ^〜音的部 以完全暴露出晶圓月及在其 安^理步知中去除 在蝕刻中所使用的材 口此了以控制 了 /辰度以錯由影變脸 部份蝕刻掉時之準 〜θ將日日圓片之選定 干隹&而貫現合意之結果。 此外,也可控制血 類型相關聯之參數以影^ ^夕到晶圓片上所用的樣板 蓋。於樣板為,例如:罩、’層對層的對位與覆 將W安絲必 草(retiC】e)的情況,每戈暎古口 U圖案轉移到一個( 母·人蝽先只 / , 夕數)日日粒,此盘将;te盔 ,_ (ph〇t〇„lask)且晶 /、衩板為一光罩 所有(或大部分)晶方都-次曝光 92449 ]2 2004〇νυδ^ 之情況相反。透過小光罩的多次 式實施,其中於备; 光吊常以步進Μ掃描方 方;母曝光i後,蔣壯tnj ^ ^ ^ ^ ^ , 將衣置晶圓片的一台階移動 忒y退U將下個晶方 需要進行和晶方數目^ 先罩曝光。此過程可能 機之移動以幫助遠点八立-人數。因此,可以控制步進 _的結杲。在小光罩内形成的圖案 (patteln)常常為要轉移到晶 ® Λ ,片上的圖案之放大圖。此可 促成更砰細的特徵設 本罢沾止 > 旱之内。不過,來自通過小 罩發熱。…能伽,ν:上時可能會使小光 機械性變形m 熱_及/或㈣所導致之 έ痛受形可能變更複雜精細的電路結構所具 圖# Μ 丨曰。 乍)及/或干擾層對層的套準至在 回象轉私到日日圓片上後所 "仔之电路不能遷照所規晝的内容 堤1卞之矛王度。而且,因垚 口為圖案長為要轉移到晶圓片上的圖 木疋双Α圖,所以在日g知 μ 相蝕刻過程中典型地-定要縮小(例
如經由縮小透鐘系铋、^ , v J 卜 ”、、、)。、,、侣小已變形的特徵(例如窄化的直 、、泉)對重複結構可能具有右宝旦y 八 有σ的衫各。因此,雖缺此許 板可能有效地轉移¥2 u …、^ ^ 複7|#精細的圖案設計,不過盆杂 要南度精確的對位血成禮 ^ ^ 一成像。因此可以採用溫度控制來減少 對圖案轉移有不利影響的熱誘導機械變形。 此夕卜可以控制與膜成長或沉積諸成分(例如產生金 ::氧化物’氮化物,聚合物㈣),氮氧化物或絕緣體 ^有關之參數以幫助合意的製造處理。此類膜可以透過 單日曰石夕共少曰曰石夕的熱氧化與氮化,經由沉積金屬與基板之 直接反應形成矽化物,化學氣相沉積(CVD),物理氣相沉 92449 ]3 200409083 積(PVD ),低壓化學氣相沉積(lpcVD ),電榮增強化學 氣相沉積(PEC VD ),快速熱化學氣相沉積(RTC VD ),金 屬有機化學氣相沉積(MOC VD )與脈衝雷射沉積(pLD )。 因此,可以調整半導體製造程序中所供應的材料之流動速 率,溫度,麼力,濃度與種類,來控制在所得電路内結構 上所載之膜形成。 >因此’如同透過上述可瞭解者,與有關器件製造的各 種筝數之回饋控制及適應性調整相結合著的重複結構之監 測(例如彼之形成及所得結構) 再^ j ¥致整個+導體製造過 竹芩,如上面所指 暂 似鳅/監測可以 “X設備及使用該設備相關聯的處理時間 用。取代個別地檢驗每一獨立 " 由促成晶圓片或器件的某此 為助猎 垮 ^ ”二4位或預期有再壓電路纟士谨夕 处之鬲通量檢驗而減少此歩 丄 、、'口 通十 力員白用焉度離散之檢驗。此類高 通&驗可以進行來從預期 卜 ^ ^
^ y ^的重複圖案找出偏差,而相斟砧 逐個元件縣叙偏差是耗時m v 對地 的方面來看也是昂責的。 :“育源利用率 之下,在不規則點之處,可以:太?重二圖案偵測到偏差 請翻到第2目,係圖 ^月貝^更離散的檢驗。 的I、a 。角午"兄明一基板2〇〇 (例如曰η Η、 的俯視圖與該晶圓片經 U日日13片) 2〇π ^ 牛¥肢製造程序時於哕曰π ρ 〇〇之一部份206 (例如—Β …玄日日S3片 構202之放大圖。重複二上面形成之電路内重複結 里设結構2〇4可以,加二
之—記憶核心區中的处糂 D ’、皆應到1C 〜構。結構2〇4包括實質地瘦長,其 92449 14 200409083 方向大體上相互平行的標記’且在整個製造過程 性測量以判定過程是否如預期地進行。藉由舉例而▲-測量關鍵尺寸例如每個電路内重複結構之各別特徵:度可 以判定結構是否-致地形成。該等結構類似於經平行固— 的測試光柵結構,彼等可以如同其士 疋 「j基板巾的凸起部份或姓刻 到基板内的凹入部份般實施檢驗,且彼等可經測量以求ρ 有關於製造過程之一般化資訊。不過,對於在基板中靠: 電路系統處的此類光柵結構形成測試時需要犧牲有價值的 晶片實質資產的部ϋ此—來’光柵結構經常要在晶圓 片中的劃、線208之内形成,且係晶圓片内沒有形成電路系 統的未用靡,且彼等為位於諸個別晶片之間使得在製 造程序完成後晶片210可從晶圓月分離出。不過,不論光 栅在何處形成’由於彼等不是在晶圓片上製造成的電:系 統的-部份,13此彼等不會揭露出有關於會直接影響器件 效能的元件之特職訊。m,如本發明之諸方面一 般,直接測量電路内結構可產生有關對所得元件效能有直 接影,的元件之高度攸關的資訊。因此之故,彳以利用這 些測里來決定如何調整製造程序以精確 合意的績效性能與可靠性之器件。應瞭解者,也;:根: 本發明之-個或更多局面來測量更複雜的(例如非線性) 重複電路内結構以監測與控制製造過程。 第3圖說明可根據本發明之一個或更多局面在監測與 控制半導體製造過程之中予以測量的其上形成有另一重複 電路内結構302之例子的晶圓片3〇〇。結構3〇2可包括, ]5 92449 例:’在晶圓# 300錄過製造過程時於晶圓片晶方W 的一 SRAM記憶體存儲單元之组 片日日 >成 式繪示出以呈現出結構302的大幅放:3〇°以破離方 III H m Λ τ放大圖。所描繪出的曰 因片300之部位可對應於,例如 出的曰曰 多個SRAM㈣體存儲單元之曰:中:以形成-個或更 份。要瞭解者,日n H 曰日®片上所含晶方之一部 路(叫該一 ^ 可任意數目之積體電 個或更多個重複電路姓槿者> 衣仏耘序的進行形成有一 關鍵尺汁们Λ 等重複結構之諸方面(例如 關鍵尺寸及/或覆蓋)可麫 們如 合咅地谁一 r 。"也^ $以判定製造程序是否 “來=?重複結構是否均句地製成)。此等測量可 程序而咸Γ m饋及’或回饋控制資料以相應地調適製造 牙王序而減少不合意的結果。 、衣k 第4圖以圖解說明根 來監測(例如經由散射技s之一個或更多局面,用 -晶圓片402之系统4〇“:登錄過半導體製造程序的 目的,第4圖中只有綠示出θη w者’為了間化 單個晶方)。日曰圓只Β日®片402之一小部份(例如 有在1上Υ 、 2之橫剖面側視圖揭露出晶圓片上具 ”上面形成的電路 晶圓片通過製造程序,在日^ 之—層4〇4°隨著 以製成-個或更多個積二路:中形成重複結構4〇6 楊可構成,例如彳:片路(或彼之部份)°重複結構 的SRAM月卜ρ 曰曰α片之部份(例如晶方)内形成 月匕兀或§己憶核心區之部份。 為Α底^ ^明之—個或更多局面,可採用以散射測量法 '’、 技術在積體電路製造程序之不同點測量結構406 92449 ]6 200409083 之一個或更多尺寸以判定不同的製造程序的各種不同成分 對各別結構尺寸所已經有’正有或正在有之何種影響,如 果有的話。可以測量,例如,不同的結構高度4 〇 8,寬度 4 1 〇及/或斜率4 1 2,以產生不同的標誌以用來指示出在特 定麥數内操作之一個或更多種處理成分對於製造程序之影 音。可以分析測望:值/標記圖以產生回饋/前饋資訊而用來 調整正處理/將處理同樣的或其他的晶方所用處理成分之 操作參數以減少不合意的結果。例如,可以定期地測量各 別結構的高度以判定該等結構是否一致地形成。如果沒 有’可以根據衍生自測量值的回饋/前饋控制資料,調適一 個或更多種製造組件及與其相關聯之操作參數。例如,可 以調整磨料漿液之體積,磨蝕程度及選擇性分佈於晶圓片 上的漿液之位置及/或在化學機械拋光(CMp)過程中於拋 光墊與晶圓片之間的壓力程度,以減少結構高度之不一致 性。 在系統400中,光源414提供光給一個或更多個光發 射器416’該等光發射器416在製造程序進行時,將光々Μ 入射到形成於晶圓片402商面的重複電路内結構4〇6。較 佳者,邊光源414為經穩頻的雷射,不過,要瞭解者,適 合於進行本發明之任何雷射或其他光源(例如雷射二極體 或氦氖氣體(HeNe)雷射)均可以採用。光418由結構4〇8 反射出為反射光42〇。人射λ 418可稱為參考光束,因此 可將:考…18之相位’強度及/或極性記錄到測量系統 422中以“力隨後與反射…2〇之比較(例如經由標記 92449 17 200409083 圖之比較)。隨著製造過程的進展,由結構4〇6出來的反射 光420之角度會隨著結構4G6之尺寸演變而改變。同樣地, 鏡面反射光420之強度,相與極性也可能隨著尺寸渾變而 改變。-個或更多以貞檢組件424收集反射光倒且將收 集到的A,及/或肖收集到&光相關聯的資料,傳送到測量 糸統422。適合實施本發明諸方面的任何一個或更多種光 <貞_件…(例如光债測器’光電二極體)都可用來收 集反射光420。測量系、统422將來自備測組件的資訊傳送 到處理器426,該處理器、426可能或可不與測量系統似 整合。該處理器,…處理單元(cpu)係經程式設計 以用來控制與實施在本文中述及之各種功能。處理器、心 :為許多種處理器之任合一|,且其中可程式設計該處理 裔以用來實施在本文中所述功能时式可由諸於此技藝者 根據本文中提供之說明而輕易地明白。反射光可,例 =,經分析以產生一個或更多標記圖,可用來與一個或更 多個儲存的標記圖比較以散,例如,是否達成合意的關 鍵尺寸及/或結構是否一致地形成,且因此,例如,是否應 戎產生前饋及/或回饋資訊且用來選擇性控制與調整一個 三更夕個1C製造組件的一項或更多項操作參數(例如對 ^ 曝光後烘烤,顯影,照相蚀刻,姓刻,拋光,沉積) '凋適‘ ie_私序而達成合意的結果。要瞭解者,在製造程 中可以在曰曰圓片上面及/或在晶圓片中的晶方上面形成 。午多結構以製造任何數量之電路及/或電路系統组件。因 此’可將系統400配置且操作(例如經由處理器)以找出 ]8 92449 200409083 4寻到决 ώ >i_ 自在晶圓片内形成的重複結 (例如,以幫助判定處理和牡 味 、 ^、、°構形成之均勻性與一致性)。 圖以圖解說明根據本發明 他1 A f多A而,臣七 測與控制半導體製造程序所用:::—個或::局面I 媳田,、,w 7所用之糸統500。該糸統500係 政射測量法為基地的技術來θ 於晶圓片502卜认s丨 筏術;測里在製造耘序中形成 更多個重複广 部份(例如晶方)之内的-個或 "列n次书路内結構(沒有顯示出)。該系統可裝備歷史 策^料,例如可儲存在資料儲存器504内者,以幫助決 者,X或引用現有測夏值來及時控制製造程序。要瞭解 夂彳、有放地调適製造程序以實現合意的結果,本發明 ^ ^方面可以採用與有利於無限制條件最優化及/或誤 、匕括但不限定於,逆向傳遞,貝&,糢糊集合,非 :性:歸,或其他神經網路範式包括專家系統,小腦模式 ;、τ电月旬(CMACS ) ’徑向基本函數,有向搜尋網路與函 數相關聯網路之混合物。 個或更多個光源506引導光508入射到晶圓片 _ 502/要瞭解者,可以採用雷射或任何其他合適光源來進行 本毛月之各方面。例如,光可源自穩頻雷射,雷射二極體 或氦氖(HeNe)氣體雷射。要瞭解者,在製造過程中可同時 引‘光508到大體全部之晶圓片及/或於晶圓片之選定部 伤,以抽檢(spot check )於晶圓片上面形成之結構,且以 幫助產生例如在晶圓片5 02上之各別位置的‘‘有缺陷,,或 “無缺陷”等之判定。 ]9 92449 200409083 光5 0 8,隨著製造程序之進行,係從在晶圓片$ 〇 2内 形成之電路内結構反射成為反射光5 1 0之形式。隨著锋構 尺寸的演變,反射光5 1 0的角度,相位,強度及/或極性會 跟著變異。反射光5 1 0係由一個或更多個光偵檢器5丨2所 收集。光痛檢為5 1 2包括一個或更多個光债檢器件,且係 依照散射測量技術收集光。可利用任何數目之光偵檢器實 施本發明之諸方面。某些合適的偵檢器包括,例如光電二 極體與光债檢器。 反射光510係經傳遞到處理器5M,該處理器5丨4俦 與光偵檢器5 1 2操作上地耦合著。處理器5丨4採用以散射 測量法為基底的技術分析,解釋及/或轉換反射光5ι〇成為 資料,例如為標記圖資料,數字資料及/或圖形資料以幫助 進一步的處理。例如,可以經由結合與反射光51〇相關聯 的相位及/或強度資訊來產生標記圖。要瞭解者,處理^ 514可為衆多種處理器中的任何一者,且其中將處理器: j設計以用來實施本文中述及的功能之方式,冑具一般技 藝者而言,根據本文中提供之說明,是可輕易明白者。 根據系統500所分析之重複電路内結構之類型而定, 可^備二料儲存器/資料庫資訊以用來調適製造程序。舉例 而3 ’弟3圖顯示的結構更能夠以資料庫資訊進 因為此等結構包杯古洛, J 9 再匕括呵度禝雜精細的圖案之故。另一 第2圖所示的重葙帝 5 臣七 兒路内、',口構可以不必使用儲存資料就可 _ 寺匕括大體平行的線性記號之故。例如,給 不於弟2圖中之、*’曰 之、、袭性結構之間的差異可以容易地識別,而 2.0 92449 200409083 L::::不的更複雜的結構就可能需要與儲存資料比較 以揭路出與預期尺寸的偏差。 @ p :卩將處理器514程式設計以用來將-個或 量的數值與—個或更多個儲存的數值進行比較 ==配對,内插或其他方法)。可以將經儲存的數值 :存在确存器504之内,且可以包括,例如,不均句 、、二:尺寸令限度’覆蓋容限度等等之可接受及不可接 =Γ!為Γ例子…處理器514可判定重複電 产 '度疋^大於某—底限值及/或電路結構之高 ::卓疋否大於預疋百分比而使得結構尺寸與具最佳電路 佈置者不符合。 如果所測量到的結構不均勾性之位準落人預定的可 接受範圍之内’則處理器、514即可以,例如,引導晶圓片 5〇2到額外的/進—步處理516。不過,如果不均勻性位準, 例如,超過一預定的範圍,則處理器514可引導晶圓片5〇2 (或彼之部份)到作廢518,因為重複電路内結構之間的 全量不均句性已使得晶圓片502或彼之部份變成無法挽救 之故。作廢5 1 8晶圓片之判定可依據,例如,程式設叶好 的成本效益分析,貞氏系統神經網路,以規則為基底的專 家系統,等等。例如,如果修護或減少不均句性的成本大 過從此類修護所收到的效益,即可判定單純地作廢晶圓片 5 02或彼之部份可以具有更高的成本與時間效益。 附加地’或替代地’處理器514可選擇性:修正記號 於晶圓片502或彼之部份之上且決定針對特定製造組件進 92449 21 200409083 订之。周整種類’以完成該修正。處理It 5 14傳送這些調敕 到恰當的製造組件(沒有顯示出)其目的&,例如,減; 不均勻結構形成之發生,或其他不合意的處理,例如二 鍵尺寸落到可接受的容限值之外面及/或發生覆蓋。關 ^處理器514可以,例如,經程式設計成可利用非線性 =糸統’以根據由读檢器512收到的資訊,判定恰當的 ^與此可稱為有利於實現合意的結果之回饋/前饋控制資 抖:舉例而言’如果結構不均勻(例如有參差的高度),产 里杰5 14會標出或作記號於晶圓片之某些部份上使其接為 化學機械抛光一段特別日丰門 八 又 別枯間以減少晶圓片之作廢。此外, ::為5 14可產生控制資料以用來調節蝕刻過程以回蝕列 ㈣成之、-構小於必要的垂直厚度(例如高声 的情況中,處理哭5 ] 4目丨丨i允V» ^ _ ,. 。。 、4工制沉積程序(例如經由選擇性 调整分布於處理室内之氧护 坪注 „ ^ 至門之虱紅之流速,濃度及/或混合物)以 促成沉積更多形成結構之物質。 人 要瞭解者,處理哭5 1 4 A < 、、 ϋ長式设計成促使系統聚隹 衣/碩外讀取於晶圓片5〇2之 …、 重複要中斷的地方及/戍有㈣…決…内⑼ 卞( 次有偵測到結構内有實質改變的地 立例如不均勻性或其他方面)《讀值,因為重複結構的 障之指標。 “。。件的效能且可為製造程序故 此外,例如在訓練階段中 料儲存器5 0 4。在訓練模式中 心的散射測量標記圖,其可健 可採用系統5 0 0來繁增資 ,系統500可產生實質地獨 存於資料儲存器504中。例 92449 22 200409083 如可藉由引進一系列晶圓片於該系統 5。4。如此,資料刪5。"作為,例如,器 庫’其可用大量的標記圖予以繁增 广^己圖) ,測量值。另外,或除了人工觀察數二對;個:更: 铋’建模及/或人工智慧技術以用標記圖繁增心广如用杈 因而用來比對測量數值。要瞭解者料儲存器, 記錄項目(ent_也可,例如,儲_ J,504中之 作參數(例如照射強度,溫度,壓力,氣⑼求得之操 時間參數)。如此,藉由測量值與錯存資;二流速, ^慮及-個或更多操作條件例如溫度之::= 值,與此等條件對製造程序之影響。要進_步睁2現^ 枓储存器504可儲存資料到資“ ’貝 -個或更多串列,陣列,表格,資:,括堆r不限定於’ 接串列與資料方塊之内。 ® ’堆積,鏈 要瞭解者’許多組件包括 ^ 置於-實體或邏輯裝置(例如電腦,。處二料儲存器,可以 分布於兩個或更多個實體或邏輯:之内及’或可以 晶圓片及其上形成二採用系統5。。來提供生產 。 之就地測量,因而優妳曰 圓片之非生產性區内形成之光拇二日日 測量,例如劃線,之習用系站/促成衣仏過程的間接 較高品質的半導體,其就、二:=因此有利峨 之一致性。 稱形成與效能而言顯示出較大 第6圖以圖解說明根據本發明之一個或更多局面之用 92449 23 200409083 來^測與控制半導體製造程序之一系統600。晝出的晶圓 片6 02 ’或其部份(例如一晶方)係經歷製造程序且有在 其上面形成的重複電路604。在該晶圓片錄過該製造程序 且該程序之不同組件作用於該晶圓片之上時,於晶圓片内 形成諸結構。該晶圓片係經放置在處理室606之中且由台 階或夾頭608予以支撐(例如經由真空)。 該系統600包括根據以散射測量法為基底的技術用來 監測製造程序進度之測量系統6丨〇。該測量系統6丨〇具有 • 一個或更多個投射光源612將光614投射於結構6〇4之各 別部份。要瞭解者,可以採用任何合適的光源(例如穩頻 雷射’雷射二極體或氦氖(HeNe)氣體雷射)來進行本發明 的各方面。結構之屬性(例如寬度,高度,斜率)會使光 以不同的’可以計量的方式反射。反射光6丨6係由一個或 更多個光偵檢組件618所收集。同樣要瞭解者,可以採用 任何適當的光偵檢組件(例如光偵檢器,光電二極體)進 行本舍明之各方面。可以採用由測量系統所採得之讀數控 _制製造私序。關於反射光之資訊與資料可,例如,傳送到 一控制系統620且由其處理從而產生標記圖,該等標記圖 可用來促成一個或更多個製造組件及/或與其相關聯的操 作參數之回饋及/或前饋控制信號,如本文中所述以達成合 意的結杲。 投射系統622係經包括在内以藉由透過小光罩(reticle) (沒有顯示出)曝光基板於照射源624而實施照相蝕刻處 理。也包括一疋位系統6 2 6且其係經操作上銜接到支架6 〇 8 92449 24 200409083 用來使晶圓片 置。 6〇2選擇性定位於處理室 606内之必要位 以用二,:::統628係經可操作地的•合到處理室6°6 : 供可變數量的氣態化學物到該處理室内, 合意的厚度/薄度,晶圓ί:大二片上形成之膜所具 膜於基板6。2:上::二之工 作為不靶况明而s ,該氣體分布系统 =包個或更多種要在基板上形成的化學物之氣態媒 介為(热氣)源。該氣體係通過尾端有—噴嘴㈣的導管 630提供到處理室内。雖然,為了簡化目的,帛6圖中。 有顯示出一個噴嘴632,不過要瞭解者,可以利用一個二 上之噴嘴或其他氣體輸送機制,來提供可 或濃度之氣體到處理室606内。 不门“物及/ 也裝有一氧化系統634用來在處理室6〇6内進行氧 化。例如,該氧化系統634可為一種擴散型系統包括水平 式及/或垂直式的爐,其玎於基板6 0 2上面操作以進行擴散 及/或氧化。該氧化系統634可包括其自有之溫度控制或^ :由分開的溫度系、统6 3 6實施此等控制來有效地調節處理 室606内之溫度參數。 同樣地裝有壓力系統638以用來選擇性調節處理室内 之壓力。該壓力系統638可包括,例如,一個或更多個出 氣導管64〇,其中所具閥624可開/關到不同程度以幫助處 理至β 〇 6内的壓力之調節。 & 另啕包括CMP系統644用來幫助基板6〇2之化學及/ 92449 25 200409083 戈械械拋先。可以將具有不同 分配哭646哪抵a , a 汁心性耘度之漿液透過漿液 -扣646砥擇性地塗上晶圓片。 光墊(沒有顯干出)、印淫从丄 個或更多個拋 該表面"1 地接觸晶圓片之表面且招對於 η玄表面鉍轉’而配合著漿 成之鋒谌,a h 尤曰曰W片表面及其上所形 、σ / ^ ,例如,不均勾性n # & μ $ ::刻系統648藉由透過輪分配器65。提二= 浪度之姓刻劑於晶圓片6〇2以進行钱刻。“、不间罝與 襞卸系統652也圖示成為操作性地 6〇6,用來裝载與卸除基板(例如晶 二處理室 震卸系統652典型地係以可 進出處理室。 圓片。也包括蹲…54 ::之速度自動裝載與卸除晶 統620,用來~ 操作性_合於控制系 的及/或文字的顯示),例如形成於二之::(例如圖形 寸以及作用於晶圓片上的—個或更多種製造組件結,之尺 數。也包括電源供給器656用供之知作簽 所含諸組件。本發明可裝備任何合$=二2、统6〇〇 電池,有線電源)。 益(例如 於示範說明之實施例中,也可包括 感測器658用决目丨丨只/4、、日,θ上 丨一凡灵多個其他 用耒監測及/或測量處理室606内之 他 铩件:該其他感測器658可以包括例如溫::的處理 流速感測器',星力感測器等皿又’S /J益’,質量 可提供各別信號到與與所測量:其參 62〇。該控制系㈣"轉而分析所收:;二:制系統 況,以識別該製造程序是否合 =Μ曰示之情 心地進仃。該控制系統620 92449 26 200409083 =應由該測量系統㈣與其他感測器658收到之^, :心適-個或更多個製造組件(例如投射系'统心定 63Γ厂626,氣體分布系統628,氧化系統634,溫度系统 或盘2力系統638,CMP系統644,姓刻系、統648)及/ 或”之相關聯之操作參數’ @調節製造程序。控 此可U 4擇性地調整一個或更多個製 ^更 :操作參數,以減少不合意的結果,例如重複電:二 之不均勻性。 &門W構 66〇Xll圖中:顯不之實施例中也可包括資料儲存器 及貝枓儲存益660可作為,例如,信號(標記圖) 淮:二來存放大量的標記圖’以備與一個或更多個 進仃比較。資料儲存器中之記錄可儲 數〇列如照射強度’溫度,厂…氣體分布體積 了开/成之關係以幫助關聯於處理參數。可以將—個 個測量值與儲存在資料儲存器内之—個或更多個之記^ 較(例如猎由直接比對’内插或其他方法),以產生 回饋控制資料來控制一個或更多個製造組件之—項或更貝 項操作參數,而達成合意的結果。例如,可以選擇性地ζ 整:布於處理室内之氣體的流量,濃度及/或混合物,以: 成膜成長之必要位準。也可將一個4更多則桑作條件 如溫度,壓力等等之現在值列入考慮以作上述之決定。藉 由觀測,例如,在訓練期間形成之結構,可以人工求得2 質地獨特的標記圖,並存入資料儲存器_之内。另外 或除了人工觀測數值之外,可以採用模擬,建模及/或人工 92449 27 智慧技術存入標記圖 * 、 比較。 省存’以備用來與測量數值 I瞭解者,資料彳諸在 構包括,作不ρρ — 〇。 60可以將資料儲存成資料結 料庫,堆聂 _ 夕串列,陣列,表格,資 隹玄,堆積,鏈接串列盥貝 存器660可以置於一 二4方塊。再者,資料儲 更多個實體萝番彳^ X置中及/或可以分布於兩個或 、月且衣置(例如磁碑嫌 在第6圖中^茱機磁▼機,記憶單元)之間。 U t頌不出之實施例中, 地耦合到控制έ ^ 貝枓储存器060係經操作 /、、、’充620 ’用來關連並中 他程序參數關聯)。可以採用心/、中之s己錄(例如與其 器叫例如經由==6 ^統620來存放資料健存 資料儲存器可直接連接到測1=感測器658 )°另外, 在存放中可繞過該控制系統62〇。 以 理器而:,f制系:统62°包括與記憶體664耗合的處 ” 歹1如U處理器或CPU。處理器662接收來自測
夏糸統610的資料斑杳π /IJ ,,. 、I、貝讯,且來自其他感測器658之對庫 的其他資料。虛拂哭、以0 π t 7 Μ ^ σσ 662可與一個或更多製造組件(例如 子π、、先622 ’疋位系統626,氣體分布系統628,氧化系 ’皿度系統636,壓力系統63 8,CMP系統644,蝕 刻系統648 )操作性麵合,以幫助彼等之選擇性控制。處 理器,4 CPU 662,可為眾多種處理器中之任何一者,且 其中可程式設計處理器662以進行本文中所述諸功能之方 式’對具-般技藝者而言,可根據本文中提供之描述而輕 易地明白。 28 92449 200409083 記憶體664為可操作用來儲存,與其他 理器662勃耔* 4 — 趣考’由處 式巧、末進"或更多項本文中描述之功能之程 上存以包括,例如,唯讀記憶體(_)與 ==§己憶體(讀)。應包括用來控制系統_之 作業之基本輸人輸出系統(BI〇S)及其他數碼。 為:要記憶體,係用來載入作業系統與應用程式者。 纟可以作為儲存媒體,以暫時儲存資訊與資 i括可以用來進行本發明之—項或更多局面之p ;。用於大量資料儲存時,記憶體664也可以包括一硬: ,(例如5〇〇億字元組硬碟機),且以此可以包括—此或全 部保存於資料儲存器660内之資料。 其結果為,該系統6 0 〇係提供决丨 1 竹杈仏耒監測與控制半導體製 &處理,例如,藉由監測重複電 n、、、口稱之均勻性及與該 程序相關聯的其他感測到的情況。 ° 、、二皿測之情況係提供資 料’據以使控制系統620可單獨妯汔彳 、 地貫施回鑛/前饋過程控制,因而選擇性地調適—個或 個製造組件及/或與其相關聯的择 知作麥數,以達成合意的結 果,例如重複電路内結構之均句性,於可接受的容限值内 之關鍵尺寸即覆蓋的最小化。 兹參照第7到9圖,根據本發明之-個或更多局面’ 可以將經配置在台上的晶圓片7〇2(或一個或更多 個配置在其上之晶方)邏輯地分割到栅格區塊内,以在晶 圓片錄過半導體製造程序時,幫助重複電路内結構之測 量。此可以有助於選擇性決定所需的製造調整,如果有的 92449 29 200409083 話,要達到某一程度。獲得這種資訊也有助於判定與製造 程序有關之問題部位。 第7圖以圖解說明支樓晶圓片702之可步進台階7〇4 之透視圖。晶圓片702可分割成如第8圖顯示之柵格圖案。 柵格圖案之每一柵格區塊(χγ )係對應到晶圓片之一 特定部份(例如一晶方或晶方之一部份)。藉由用以散射測 量法為基底的技術測量重複電路内結構,可對製造程序進 展而個別地監測諸柵格區塊。 在第8圖中,係用以散射測量法為基底的技術監測一 晶圓片702之一個或更多個別部份上的重複電路内結構 (Χβ】…Xu,Yu)。每一柵袼區塊於製造時產生的範例 測量值以各別標繪圖表示出。標繪圖可為,例如,所測量 的結構之關鍵尺寸及/或覆蓋之標記圖之複合評估,以及= 所形成的結構之間均勻性之招庐 ,_ , . t … 之扣铋。如可以看出者,在座我 X?Y6之測量值產生一標繪圖,盆每 不 曰口 共貝貝地咼於其他部份χγ 之各別測量值。這是不均勻性萝 j『生,覆盍及/或一個或更多關 尺寸落在可接受的容限值之外之 π# ^ r之才曰才示以此,可據而調整 一组件及/或與其相關聯的操作參數,以減少脫 測量值以及在隨後處理之晶圓片/晶方上此種情況之重複 出現。要瞭解者,可以將a m μ , 里妓 乂扣日日0片7〇2及/或位於其上 或更多個晶方繪圖到任衍虺A 土 個 』任何適當數目及/或排列的 内,以達成合意的監測與控制。 柘之 第9圖為從經繪圖刭夂 σ幻各別柵格區塊的晶圓片7〇 同部份採取的重複電路内纟 之不 路内結構之代表性表。表内之測量值 92449 30 200409083 可為例如’結構均勻性,關鍵尺寸及覆蓋標記圖之現合 物士可以看出者,所有拇格區塊中,除了拇格區塊Ύ 之外,都具有對應到可接受值(Va)的測量數值,而柵袼6 區塊W具有不合意的數值(Vu)e因此,經判定有—非 合意的製造情況存在於對應到柵格W之晶圓卩7〇2之 部份處。據此,可以如本文中所述者相應地調整製造 組件與參數,以調整製造程序而減少此種不可接受 :再發生或持續。另外’足夠數目的栅格區塊可以具有合 意的測量值,使得單_ |4 〇 s n H u 早唐大的拇格區塊不至於會作廢整個 曰曰0 [要瞭解者,可調適製造程序參數 持’增加,減少及,或質性地改變晶圓片-之各:二:t: 製造。例如,當製造程序以達到預定的底限值水^例 如有X。/。的栅格區塊具有可接受的重複電路 鼻」 性,CDs與無覆蓋),即可停止製造步驟。 ° 從上面所顯示及說明之範例车 明之一M u 一 例糸統來看,可以根據本發 或更夕局面來貫施的—種方法,可參考 流程圖予以更佳地瞭解。雖然,為了解說的簡明目的二 -糸列的功能方塊顯示及說明該方法,不㉟,要瞭 本發明不受限於該等方塊的順序,因為根據本發明,午此 方塊所發生者會與本文中所顯示和說明的順序不相同及^ 或與會其他方塊同時發生。而且,不是全部所顯 塊都需要來實施根據本發明之—個或更多局面之一種方 法。要瞭解者,各種方塊可經由軟體,硬體,彼之组入 或任何其他合適工具(例如裝置,系統,方法,組件 92449 3] 200409083 進行與該等方塊相關聯之功能。也要瞭解者,該等方塊只 是以簡化形式說明本發明之某些方面較 少及/或較多的方塊予以闡明。 " 第10圖為圖解說明根據本發明之一個或更多局面的 —種用來監測與控制積體電路製造過程之 程圖。該方法係由簡處開始,其中係進行一般性的:: 化。此類初始化可包括,但不限定於’建立指標,分配記 憶體’設定變數’建立通訊通道及/或實例化一個或更多個 物件。在1 004處’產生包括一個或更多個柵格區塊,,η” 的栅格圖。此等柵格區塊可對應到,例如,晶圓片上之晶 方及/或到-晶圓片上的一個或更多個晶方所含部份。: 義處’晶Μ上形成之重複電路内結構係經定位於對庫 的各別柵格之位置。在1008處’隨著晶圓片錄過製造過程 時柵格對應位置使用以散射測量法為基底的技術測量 该寺重複電路内結構。例如’可以測量結構高度,寬度, 斜率寺寺。在1010處,進行刻定 進仃纠疋疋否所有(或足夠數目) 柵格對應位置都已經採取到測量值。如果在ι〇ι〇處之 為’’否”(Ν〇),則處理返回1〇〇8處以淮 疋 义以進仃碩外的測量。如 在1010處之判定為”是,,(YES),則在 0 1 2處將該測量值盥 可接受數值比較以判定製造程序是否如 ^ 口ΐ旦進订。舉例而 言,在柵格對應位置之測量值可盥可彳 /、」接叉數值比較以判定 重複結構是否一致地形成,關鍵尺寸 疋Φ維持在可接夸 容限值内,及/或覆蓋是否發生。此外, 、 4 代者,可分狀 該等測量值以產生各別的標記圖作為 力只列疋之基準。對 92449 32 200409083 各別柵格對應位置,可將這些標記圖與可接受標記圖數值 相比較。在1G14處,進行判定是否在任何—個或更多個挪 格對應位置遇到不合意的數i (Vu)(例如指示重複電路 内結構沒有一致地形成,發生覆蓋及/或一個或更多個關鍵 尺寸落到可接受的容限值之外)。如果在1〇14處之判定為” 否’’(NO),則在1〇16處照常進行處理。其後該方法可以前 進到1 0 1 8處並結束。不過,如果在i 〇丨4處之判定為,, 是”(YES),意思是有遭遇到不合意的數值,則在1〇2〇處, 可以如本文中所述者,根據從測量值導出的前饋控制資 料,選擇性地調整一個或更多個製造組件及/或與其相關聯 的參數,以減少或補救該情況。例如,可以將曝光源關掉 及/或可以將複雜建模技術產生的資料前饋到曝光後烘烤 及/或#員衫1¾段,以控制處理參數例如烘烤時間及/或溫 度。在1022處,也可以回饋從測量值導出的控制資料以調 整一個或更多個製造組件及/或與其相關聯的操作參數,以 在後續處理中減少不合意的情況之再發生。例如,可以調 整晶圓片之步進對位以幫助結構於後續處理之晶方上的適 當佈置。同樣地,可以控制曝光時間及/或強度使得在光阻 層内形成具有適當的斜率之結構。然後該方法結束於1 〇 J 8 處。如上面所提及者,諸事件之發生順序可能不同於第1 〇 圖所繪示者。例如’所採取的測量值,如在1 006處採取者, 可以在判定是否已經對所有栅格對應位置採取到測量值, 如在1 0 1 〇處者之前,就與接受數值比較,如在1 〇 1 2處者。 第Π圖以圖解說明適合於實施本發明之一個或更多 33 92449 局面的一個不範性散射測量系统。使炎ό + hi 任何合適方式/手克使末自雷射1102的光以 片1106, ¥ 束1104。將一樣品,例如一晶圓 m於光束1104及具有任何適當構造的光偵檢器 _之路經中。可以採用不同之細方法 敎散射功率及/或反射功率。可以使用—有任何 十的微處理器⑴。來處理债檢器之讀出,包括,但 :限:於’鏡面反射光之強度性質,㉟面反射光之極化性 品n〇6所反射的光。置。如此,可以精準地測量從樣 散射測*技術之概念與如何本發明之一個或更多局 面來應用彼等係以第12]7圖討論之。散射測量法係一種 用2獲取關於為入射光所指向之表面的資訊之技術。散射 :量法,一種將一樣本之幾何關連於其散射效應之方法。 政射測里法是基於光學繞射反應。可以採用散射測量法以 獲侍有關性質之資訊,包括,但不限定於,一表面及/或呈 現於該表面上的特徵之水平/垂直/對位/移位/壓縮/伸展, 凹陷(dlshlng),侵蝕,輪廓與關鍵尺寸。該資訊可以經由 將絰‘引到泫表面之參考光所具相位及/或強度,與該入射 光從該入射光所射到的表面經由反射或繞射出來所得的複 雜反射及/或繞射光所具相位及/或強度相比較而摘取出資 訛。反射及/或繞射光之強度及/或相會依據入射光所射到 的表面所具性質而改變。此類性質包括,但不限定於,表 面之平面性,表面上的特徵,表面内的空隙,在表面下的 層之數目及/或類型。 34 92449 200409083 上面所提及的諸種性質之不同組合對入射光之相位 及/或強度有不同沾旦/ 中,導致實質上雜的反射及/或繞射光 、蜀导寸的強度及/或相標記圖。因此,轳由於 查強度/相位標記圖 、,’工由核 可以給予有關表面===儲存的數值)庫, 相位標記圖係由不匕面反11,。此類實質獨特的強度/ 冋表面反射及/或衍射的光產生,盆俜 由,至少有一部份,光 /、係 u q…、π刮表面之複雜折射率 該複雜折射率(Ν ,、,γ ϋ +所致 )了以!由祆驗該表面之折射率u) I 消光係數(k)而計管屮氺古 u 考了手in)共 11出末。有一此類複雜 由下面的方程式予以描述: 手之冲f叮 N = n-jk’其中j為虛數。 信號(標記圖)鹿I w %、ΒΪ 、 切mu 測到的強度7相則票記圖及/ 或經由核式化與模擬產生的桿 a 己圖建構起來。示範說明而 &,畜曝先方;具有已知強度’波長與相之第-入射光之時, 晶圓片可能產生第一強度/相 之$ .^ 4位禚纪圖。可以將觀測到的標 舌己圖與經模擬與模式化所得到 (-p m ^ m 、“。己圖、、且5以形成信號 ⑹己圖)庫。可以採用經模擬與模式化來產生可讓測量 到的強度/相位標記圖與之匹配的標記圖。在本發明之一範 例方面中’核板’核式化與觀測到的標記圖係經儲存於信 號(標記圖)資料儲存器中。因此,當由散射測量法#測 組件收到強度/相位信號時,該等強度/相位信號可與信號 庫作例如樣式匹配,以判定該等信號是否對應於一儲存標 t己圖。 為說明上面所述之原理,至此請參考第12到17圖。 92449 35 200409083 首先麥考第12圖,入射光 /、V引到表面1200,於該 t面上可能存在一個或更多個特徵·。該入射光腕 經反射為反射弁 1 2 0 4。 射九 °亥表Φ 12⑼所具性質,包括,但 不限定於,厚度,均勻性,平 丁 1¾ f生,化學組成與特徵之存 在,可能影響到該反射光12〇4。 19nn , , 4寸被U〇6係高出該表面 副,但也可能凹人其中。可㈣㈣反射w之相位 ,/、或強度並㈣出’例如在第17圖中所部份顯示出者。 二,5用此頷‘ %圖經由使用例如樣式匹配等技術來比較 測里到的信號與儲存於標記圖庫中的標記圖。 至此睛參考第13圖,入射氺] 出現-個或更多個—之表:^ =::τ光1314。該等一會影響二 採用產生實質地獨特的標記圖。要瞭解者,可以 木用放射測1法來測量,盥盆 一 徼,# /、/、他起者,表面上出現之特 、 現之特徵,於圖案中浮現之特徵。 至此參照第14圖,以圖解說明入射光14 反射及折射。可处公伽 > 心複嫌的 包括,但不限定:-二該入射光陶反射與折射之因素 特徵⑷8所駐在i個或更多個特徵1428之存在與該等 之性所勺 一上之基板1420的組成。例如,基板1420 :匕’但不限定於,層1422之厚度,層1422 學性質,層149〇、 〈 1匕 …之化二之:透明性及/或反射率,層1424之厚度, π性貝,層1424之不透明性及/或反射率 1426之厚声、. ^ ^ 、 又,層1426之化學性質,層1420之不透明性及 /或反射率,如π β Ρ可此衫響到入射光]44〇之反射及/或折射。 92449 36 200409083 因此,複雜的反射及/或折射光1442可能經由該入射光 1440與該等特徵1428,及/或層1422 , 1424及1426交互 作用所導致。雖然g 14目中顯示出三層1422, MM與 1426,不過要瞭解者,基板可能由更大數或更小數的此等 層所形成。 絲苓照第1 5圖,將來自第i 4圖的諸性質中之一予以 更詳細地說明。基板1520可由一層或更多層i 522, 1524 及1526所形成。入射光154〇之反射及/或折射% 1542所 具相位mo,可能取決於,至少一部份,一層,例如層 B24,之厚度。因此,於第16圖中,反射光之相位 1652會由於,至少—部份,第b圖中的諸層1624所具不 同厚度而與相位1 550不同。 因此,散射測量法是-種可以用來獲取關於入射光所 射到的表面所具資訊之技術。藉由分析複雜的反射及/或繞 射光之相位及/或強度信號可以摘取出資訊。反射及/或繞 射光之強度及/或相位會依據光所射到的表面所旦性質而 改變,導致實質上獨特的標記圖, U J用來分析以判定入射 光照射到的表面所具之一種或更多種性質。 使用散射測量法還實施本發明之―:或更多局面,有 助於以相對無侵害性的方式求得合意的測量,其轉而,可 ^ w目ml後處3循環中,協助實現合意的結 果。 為了說明本發 件或方法之組 上面所述係本發明之較佳方面。當然, 明之目的,不可能描述每一個可想到的組 92449 37 200409083 合,但是諳於一般技藝者都可察覺出本發明 少、 組合與排列是可能的。因此,本發明:許多進一步 附申請專利範圍之旨意與範圍内之後面所 異。 又又,修改與變 【圖式簡單說明】 第1圖為根據本發明之一個或更多局面,用來監❹ 控制半導體製造程序U統之高階概要說明方塊圖。” 、“第2圖為晶圓片之俯視圖與在該晶圓片經歷半導體製 仏耘序後在該晶圓片上形成的電路内重複結構之放大圖。 曰第3圖為在上面形成有另一重複電路内結構之例子的 曰曰圓片所含一部份之透視圖,該等結構可在根據本發明之 個或更多局面的監測與控制半導體製造程序中測量。 弟4圖係圖解說明根據本發明之一個或更多局面可以 有效地監測通過半導體製造程序的晶圓片登錄之進展的系 統之一部份。 第5圖係圖解說明根據本發明之一個或更多局面的用 來監測與控制半導體製造程序之系統。 第ό圖係圖解說明根據本發明之一個或更多局面的用 來監測與控制半導體製造程序之另一系統。 第7圖係圖解說明根據本發明之一個或更多局面的晝 上柵袼(grid-map)之晶圓片之透視圖:。 第8圖係圖解說明根據本發明之一個或更多局面在一 Ώ片上。者旦上柵袼的位置處所採取的測量值之標緣圖。 38 92449 200409083 :9圖係圖解說明一含有與根據本 局面在-晶圓片上各個晝上柵格 似更夕 相對應之記錄的表。 &位置處所採取之測量值 局面用來監 之一個或更 弟10圖係說明根據本發明之一個或更夕 測與㈣積體電路製造程序之方法之流程圖: ^第11圖係圖解說明適合用來實施本發明 多局面的一範例散射測量系統。 第12圖係根據本發明 射離開表面之簡化透視圖。 之一個或更多局面 入射光反 弟13圖係根據本發明之一個或更多局面,另一入射 光反射離開表面之簡化透視圖。 第14 ®係圖解說明根據本發明之一個或更多局面在 入射弁1 σ —表面之上時所產生之複雜反射光與折 第 圖係圖解說明根據本發明之一個或更多局面另 一個在將一入射 先¥引向一表面之上時所產生之複雜的反 射光與折射光。 弟16圖係圖解說明根據本發明之一個或更多局面又 们在將入射光導引向一表面之上時所產生之複雜的 反射光與折射光。 第17圖係圖解說明根據本發明之一個或更多 將一個入射光導引Α 士 卸在 ’ q向一表面之上時由所產生之複雜反射 與折射光所記錄$ 4 〃之相位及/或&度信號。 39 924A9 200409083 控制系統 610 測量系統 、1520基板 結構 晶片 寬度 100、400、500、600 系統 102、620 104 製造組件 106、422、 108、300、402、502、602、702、1106 晶圓片 110 重複電路内結構體 200、1420 202 電路内重複結構體 204、604 206 一部份 210 302、406 重複電路内結構 404、1422、1424、1426、1522、1524、1526 層 408 結構南度 410 412 416 斜率 光發射器 414、506、612 光源 418 、 614 、 1104 光 420、510、 616 、 1204 、 1314 、 1442 、 1542 、 1642 反射光 424 、 618 光偵檢組件 426 、 514 、662 處理器 504 、 660 資料儲存器 508 引導光 512 、 1108 光偵檢器 518 作廢 604 重複電路 606 處理室 608 夾頭 622 投射系統 624 閥 626 疋位糸統 628 氣體分布系統 632 噴嘴 634 氧化系統 636 溫度系統 638 壓力系統 640 出氣導管 644 CMP系統 648 蝕刻系統 650 透過蝕刻劑分配器 652 裝卸系統 654 顯示器 656 電源供給器 92449 40 200409083 658 704 1110 1202 1318 感測器 664 記憶體 台階 1102 雷射 微處理器 1200 、 1310 表面 1312、1440、1540 入射光 1206 、 1428 特徵 凹陷 1550 、 1652 相位
41 924^9

Claims (1)

  1. 200409083 拾、申請專利範圍: 1· 一種監測與控制半導體製造過程之系統(1〇〇),包括: 測量系統( 106, 422, 610 ),係透過以散射測量法 為基底的技術,在晶圓片錄過製造過程時,與形成於晶 圓月之至少一部份上之重複電路内結構(11〇,2〇4,3〇2, 406,604 )互動;及 控制系統(1〇2, 620 ),係操作地耗合到該測量系 統及一個或更多個製造組件(1〇4),以選擇性調整一個 或更多個製造組件或與製造組件相關聯之操作參數,以 針對測量系統(106, 422, 610 )所換版沾^ ^ 」所妹取的碩數回應而調 該適製造過程。 2 ·如申請專利範圍第1項之系統,豆中 一 ψ Θ 結構(1 10, 204, 3 02,406,6 04 )係大體互相平行地定向。 3 ·如申請專利範圍第1項之系統, 八 T $ 結構(1 10, 204, 302,406,604 )係對應到積體電路(ic)之記憶體核心 區之一個或更多部份。 4·如申請專利範圍第丨項之系統,其 八Y田A /則ΐ系統(106, 4 2 2,ό 1 0 )所取得之讀值係與預 頂疋貝村及歷史測試資料 中至少一者相比較。 5.如申請專利範圍第!項之系統’盆 /、T 4成I里系統(1〇6, 422, 610 )係週期性測量關鍵尺寸及覆蓋中至少一項。 6·如申請專利範圍以項之系統,其中該測量系統(;〇6, 422, 61〇)係週期性測量該重複電路内結構(11〇 7〇4 3〇2,4。6,6〇4)之高度(4〇8),寬度(41〇),和斜率:則 92449 42 200409083 中至少—項以幫助判定該結構(m,2G4, 3G2,楊 604 )在该晶圓片中是否一致地形成。 7.如申請專利範圍第】項之系統,其中來自一個或更多個 光债測組件(424, 618 )之輸出可經分析以產生一個 或更多個標記圖,以用來與—個或更多個經儲存的標記 圖比較,而判定-個或更多個重複電路内結構⑴〇, 2〇4, :406, 604 )疋否—致地形成,一個或更多個關鍵尺 寸是否落到可接受的容限值之外與是否發生覆蓋錯誤 中至少一項。 8· —種用來監測與控制半導體製造過程之方法,包括·· 透過以放射測量法為基底的技術,在晶圓片經歷製 造程序時,測量在該晶圓片之至少一部份上形成之一個 或更多個重複電路内結構(11〇, 2〇4, 3〇2, 4〇6, 6〇4); 根據該測量值發展出控制資料; 將控制資料經前饋及回饋中至少一者饋給到一個 或更多個製造組件;及 根據控制資料選擇性調整一個或更多個製造組件 (1 04 )或與其相關聯的參數,以調適製造過程。 9.如申請專利範圍第8項之方法,其中該製造組件包括投 射系統,定位系統,氣體分配系統,氧化系統,溫度系 統,壓力系統,CMP系統與蝕刻系統中至少一者。 1 0.如申凊專利範圍第8項之方法,進一步包括: 判定是否一個或更多個重複電路内結構(丨1〇, 2〇4, 3 02,406,6 04 ) —致地形成,是否一個或更多個關鍵尺 43 92449 200409083 寸落到可接受的容限值之外與是否發生覆蓋錯誤中至 少一項。 11.如申請專利範圍第8項之方法,其中該重複電路内結構 (110,2〇4,3〇2,4〇6,6〇4)包括實質地伸長的記號,該 記號的方向大體上互相平行且對應到積體電路(IC )所 含記憶核心區之一個或更多個部份。 1 2 · —種用來監測與控制半導體製造程過之系統,包括·· 用來導引光入射到在經歷製造程序的晶圓月所含 至少一部份内形成之重複電路内結構(1 10, 204, 302, 406, 604 )之工具; 用來收集反射自結構(11〇, 2〇4, 3〇2, 4〇6, 6〇4)的 光之工具;與 用來调整一個或更多製造組件或與該製造組件相 關聯之一項或更多項操作參數以將該製造過程對該所 收集之光回應而調適之工具。
    92449 44
TW092128798A 2002-10-21 2003-10-17 Using scatterometry to obtain measurements of in circuit structures TW200409083A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/277,016 US6912438B2 (en) 2002-10-21 2002-10-21 Using scatterometry to obtain measurements of in circuit structures

Publications (1)

Publication Number Publication Date
TW200409083A true TW200409083A (en) 2004-06-01

Family

ID=32093199

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092128798A TW200409083A (en) 2002-10-21 2003-10-17 Using scatterometry to obtain measurements of in circuit structures

Country Status (8)

Country Link
US (1) US6912438B2 (zh)
EP (1) EP1554750A2 (zh)
JP (1) JP2006504266A (zh)
KR (1) KR101028867B1 (zh)
CN (1) CN100373570C (zh)
AU (1) AU2003284233A1 (zh)
TW (1) TW200409083A (zh)
WO (1) WO2004038787A2 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
JP4382569B2 (ja) * 2004-05-07 2009-12-16 株式会社東芝 塗膜形成装置、塗膜形成方法および製造管理装置
US7999910B2 (en) * 2005-04-27 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for manufacturing a mask for semiconductor processing
JP2007053166A (ja) * 2005-08-16 2007-03-01 Toshiba Corp 出来栄え予測装置、出来栄え予測方法及び半導体装置の製造方法
US7547495B2 (en) * 2005-12-21 2009-06-16 Asml Netherlands B.V Device manufacturing method and computer program product
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7494929B2 (en) * 2006-04-27 2009-02-24 Applied Materials, Inc. Automatic gain control
SG166102A1 (en) * 2007-03-31 2010-11-29 Advanced Tech Materials Methods for stripping material for wafer reclamation
US20090153882A1 (en) * 2007-12-14 2009-06-18 Thomas Geiler Measuring Dimensional Parameters of Structures
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
US8874416B2 (en) * 2010-11-30 2014-10-28 Applied Materials, Inc. Process tool chemical and gas usage optimization
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9153506B2 (en) 2012-07-06 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for through silicon via yield
US10513006B2 (en) * 2013-02-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. High throughput CMP platform
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
TWI797699B (zh) * 2015-12-22 2023-04-01 以色列商應用材料以色列公司 半導體試樣的基於深度學習之檢查的方法及其系統
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
KR102589004B1 (ko) 2018-06-18 2023-10-16 삼성전자주식회사 반도체 불량 분석 장치 및 그것의 불량 분석 방법
CN110581082B (zh) * 2019-09-06 2022-02-01 上海华力集成电路制造有限公司 缺陷检测机台监测晶圆缺陷的方法
CN112201587B (zh) * 2020-09-18 2023-12-22 全芯智造技术有限公司 晶圆测量方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6482572B1 (en) * 2000-02-25 2002-11-19 Micron Technology, Inc. Method for providing an alignment diffraction grating for photolithographic alignment during semiconductor fabrication
US6643557B1 (en) 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6625512B1 (en) * 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6304999B1 (en) * 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings

Also Published As

Publication number Publication date
US6912438B2 (en) 2005-06-28
KR101028867B1 (ko) 2011-04-12
KR20050063786A (ko) 2005-06-28
WO2004038787A3 (en) 2004-07-15
JP2006504266A (ja) 2006-02-02
AU2003284233A1 (en) 2004-05-13
EP1554750A2 (en) 2005-07-20
AU2003284233A8 (en) 2004-05-13
CN1706037A (zh) 2005-12-07
WO2004038787A2 (en) 2004-05-06
CN100373570C (zh) 2008-03-05
US20040078108A1 (en) 2004-04-22

Similar Documents

Publication Publication Date Title
TW200409083A (en) Using scatterometry to obtain measurements of in circuit structures
TWI375251B (en) Multi-layer overlay measurement and correction technique for ic manufacturing
US20200401054A1 (en) Measuring a Process Parameter for a Manufacturing Process Involving Lithography
CN105612601B (zh) 用于图案化晶片表征的方法与设备
CN107438795A (zh) 用于检查和量测的方法和设备
TWI567507B (zh) 用於設計度量衡目標之方法及裝置
TW200832584A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
CN107533299A (zh) 用于检测及量测的方法与装置
TW200916978A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2010211A (en) Inspection apparatus and method.
WO2002082530A2 (en) In-situ thickness measurement for use in semiconductor processing
TW200538886A (en) Method to determine the value of process parameters based on scatterometry data
TW200813654A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TW201235791A (en) Lithographic apparatus and device manufacturing method
US10908506B2 (en) Method of manufacturing semiconductor device
TW200847186A (en) Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
TW201009514A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
TW200846839A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TW200839213A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TW200827948A (en) Method of real time dynamic CD control
CN107924142A (zh) 用于模拟辐射与结构的相互作用的方法和设备、量测方法和设备、器件制造方法
US7375831B2 (en) Line width measuring method, substrate processing method, substrate processing apparatus and substrate cooling processing unit
US6630361B1 (en) Use of scatterometry for in-situ control of gaseous phase chemical trim process
TWI278924B (en) System and method for active control of spacer deposition