SG11201509209VA - Chemical-mechanical polishing compositions comprising polyethylene imine - Google Patents

Chemical-mechanical polishing compositions comprising polyethylene imine

Info

Publication number
SG11201509209VA
SG11201509209VA SG11201509209VA SG11201509209VA SG11201509209VA SG 11201509209V A SG11201509209V A SG 11201509209VA SG 11201509209V A SG11201509209V A SG 11201509209VA SG 11201509209V A SG11201509209V A SG 11201509209VA SG 11201509209V A SG11201509209V A SG 11201509209VA
Authority
SG
Singapore
Prior art keywords
chemical
mechanical polishing
polishing compositions
polyethylene imine
imine
Prior art date
Application number
SG11201509209VA
Inventor
Yongqing Lan
Peter Przybylski
Zhenyu Bao
Julian Prölss
Original Assignee
Basf Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se filed Critical Basf Se
Publication of SG11201509209VA publication Critical patent/SG11201509209VA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
SG11201509209VA 2013-05-15 2014-05-05 Chemical-mechanical polishing compositions comprising polyethylene imine SG11201509209VA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP13167882 2013-05-15
PCT/IB2014/061201 WO2014184703A2 (en) 2013-05-15 2014-05-05 Chemical-mechanical polishing compositions comprising polyethylene imine

Publications (1)

Publication Number Publication Date
SG11201509209VA true SG11201509209VA (en) 2015-12-30

Family

ID=48366258

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201509209VA SG11201509209VA (en) 2013-05-15 2014-05-05 Chemical-mechanical polishing compositions comprising polyethylene imine

Country Status (11)

Country Link
US (1) US9862862B2 (en)
EP (1) EP2997105A4 (en)
JP (1) JP6377726B2 (en)
KR (1) KR20160010495A (en)
CN (1) CN105229097B (en)
IL (1) IL240809B (en)
MY (1) MY178806A (en)
RU (1) RU2015153455A (en)
SG (1) SG11201509209VA (en)
TW (1) TWI642737B (en)
WO (1) WO2014184703A2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9464151B2 (en) * 2011-04-28 2016-10-11 Isp Investments Llc Lactamic polymer containing an acetoacetate moiety
TWI650318B (en) * 2017-10-30 2019-02-11 財團法人工業技術研究院 Method for purifying crude product of 2,5-furandicarboxylic acid by crystallization method and method for forming polyester
CN107916076A (en) * 2017-11-24 2018-04-17 安徽睿知信信息科技有限公司 A kind of mobile phone glass polishing material preparation method
JP6962247B2 (en) 2018-03-14 2021-11-05 Jsr株式会社 Semiconductor surface treatment composition and semiconductor surface treatment method
KR20230028826A (en) * 2021-08-23 2023-03-03 삼성에스디아이 주식회사 Cmp slurry composition for polishing tungsten pattern wafer and method for polishing tungsten pattern wafer using the same
CN114507478B (en) * 2022-02-24 2023-05-09 北京通美晶体技术股份有限公司 Polishing solution for gallium arsenide wafer processing and preparation method thereof

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100416587B1 (en) 2000-12-22 2004-02-05 삼성전자주식회사 Chemical mechanical polishing slurry
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
JP2003347247A (en) * 2002-05-28 2003-12-05 Hitachi Chem Co Ltd Cmp polishing agent for semiconductor insulating film and method of polishing substrate
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
EP1622742A4 (en) * 2003-05-12 2009-06-10 Advanced Tech Materials Chemical mechanical polishing compositions for step-ii copper liner and other associated materials and method of using same
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
US7247566B2 (en) 2003-10-23 2007-07-24 Dupont Air Products Nanomaterials Llc CMP method for copper, tungsten, titanium, polysilicon, and other substrates using organosulfonic acids as oxidizers
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
TW200613485A (en) * 2004-03-22 2006-05-01 Kao Corp Polishing composition
KR100672940B1 (en) 2004-08-03 2007-01-24 삼성전자주식회사 Metal slurry for cmp and metal cmp method using the same
US20070037892A1 (en) * 2004-09-08 2007-02-15 Irina Belov Aqueous slurry containing metallate-modified silica particles
TW200734436A (en) * 2006-01-30 2007-09-16 Fujifilm Corp Metal-polishing liquid and chemical mechanical polishing method using the same
EP2052048B1 (en) * 2006-07-12 2018-01-24 Cabot Microelectronics Corporation Cmp method for metal-containing substrates
US7915071B2 (en) * 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
AU2008308580B2 (en) * 2007-10-05 2011-12-01 Saint-Gobain Ceramics & Plastics, Inc. Improved silicon carbide particles, methods of fabrication, and methods using same
CN101451047B (en) 2007-11-30 2013-10-23 安集微电子(上海)有限公司 Chemico-mechanical polishing liquid
JP5371416B2 (en) * 2008-12-25 2013-12-18 富士フイルム株式会社 Polishing liquid and polishing method
JP2010269985A (en) 2009-05-22 2010-12-02 Fuso Chemical Co Ltd Sulfonic acid-modified aqueous anionic silica sol and method for producing the same
CN101899265B (en) 2009-05-25 2013-12-25 长兴开发科技股份有限公司 Chemical mechanical polishing composition for removing saw cut
KR20120134105A (en) 2010-02-01 2012-12-11 제이에스알 가부시끼가이샤 Aqueous dispersion for chemical mechanical polishing, and chemical mechanical polishing method using same
US8858819B2 (en) 2010-02-15 2014-10-14 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a tungsten-containing substrate
KR20130041084A (en) * 2010-06-18 2013-04-24 히타치가세이가부시끼가이샤 Polishing liquid for semiconductor substrate and method for producing semiconductor wafer
US20120190200A1 (en) 2011-01-24 2012-07-26 Clarkson University Abrasive Free Silicon Chemical Mechanical Planarization
WO2012127398A1 (en) * 2011-03-22 2012-09-27 Basf Se A chemical mechanical polishing (cmp) composition comprising a polymeric polyamine
WO2012165376A1 (en) * 2011-06-03 2012-12-06 旭硝子株式会社 Polishing agent and polishing method
EP2722872A4 (en) * 2011-06-14 2015-04-29 Fujimi Inc Polishing composition
JP2013080751A (en) 2011-09-30 2013-05-02 Fujimi Inc Polishing composition
KR20150014924A (en) * 2012-04-18 2015-02-09 가부시키가이샤 후지미인코퍼레이티드 Polishing composition
JP5957292B2 (en) * 2012-05-18 2016-07-27 株式会社フジミインコーポレーテッド Polishing composition, polishing method using the same, and substrate manufacturing method
CN104395425A (en) * 2012-06-11 2015-03-04 嘉柏微电子材料股份公司 Composition and method for polishing molybdenum
DE112013005264T5 (en) * 2012-11-02 2015-09-24 Fujimi Incorporated polishing composition
WO2014130935A1 (en) 2013-02-22 2014-08-28 Wertz Zachary R Shaving razor

Also Published As

Publication number Publication date
CN105229097A (en) 2016-01-06
WO2014184703A2 (en) 2014-11-20
CN105229097B (en) 2017-11-17
JP6377726B2 (en) 2018-08-22
JP2016524324A (en) 2016-08-12
US20160068712A1 (en) 2016-03-10
RU2015153455A (en) 2017-06-20
IL240809A0 (en) 2015-10-29
KR20160010495A (en) 2016-01-27
RU2015153455A3 (en) 2018-03-28
US9862862B2 (en) 2018-01-09
EP2997105A4 (en) 2017-01-25
EP2997105A2 (en) 2016-03-23
IL240809B (en) 2019-05-30
WO2014184703A3 (en) 2015-03-12
MY178806A (en) 2020-10-20
TW201506101A (en) 2015-02-16
TWI642737B (en) 2018-12-01

Similar Documents

Publication Publication Date Title
TWI561621B (en) Tungsten chemical-mechanical polishing composition
TWI561622B (en) Colloidal silica chemical-mechanical polishing composition
ZA201604565B (en) Abrasive cleaning composition
EP3055376A4 (en) Mixed abrasive polishing compositions
SG11201610332PA (en) Copper barrier chemical-mechanical polishing composition
SG11201702915QA (en) Polishing composition
TWI561618B (en) Polishing component
HK1198889A1 (en) Grinder
SG11201502768UA (en) Polishing composition
EP3800229C0 (en) Polishing composition
SG11201503751TA (en) Polishing composition
SG11201607553QA (en) Polishing composition
SG11201505037VA (en) Polishing composition
SG11201601847WA (en) Polishing composition
SG11201502766QA (en) Polishing composition
SG11201501849RA (en) Polishing composition
SG11201601941SA (en) Polishing composition
IL240809B (en) Chemical-mechanical polishing compositions comprising polyethylene imine
SG11201803364WA (en) Polishing composition
EP2915859A4 (en) Polishing composition
SG11201706046PA (en) Polishing composition
SG11201803362VA (en) Polishing composition
SG11201505490RA (en) Surface selective polishing compositions
SG11201505900XA (en) Polishing pad
SG11201502457YA (en) Cmp brush packaging