KR950012209A - 카운터플로우 파이프라인 프로세서 - Google Patents

카운터플로우 파이프라인 프로세서 Download PDF

Info

Publication number
KR950012209A
KR950012209A KR1019940026837A KR19940026837A KR950012209A KR 950012209 A KR950012209 A KR 950012209A KR 1019940026837 A KR1019940026837 A KR 1019940026837A KR 19940026837 A KR19940026837 A KR 19940026837A KR 950012209 A KR950012209 A KR 950012209A
Authority
KR
South Korea
Prior art keywords
package
pipeline
result
command
circuitry
Prior art date
Application number
KR1019940026837A
Other languages
English (en)
Other versions
KR100335478B1 (ko
Inventor
에프. 스프라울 로버트
이. 서덜랜드 아이번
Original Assignee
리 패츠
선 마이크로시스템즈 인코오퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리 패츠, 선 마이크로시스템즈 인코오퍼레이티드 filed Critical 리 패츠
Publication of KR950012209A publication Critical patent/KR950012209A/ko
Application granted granted Critical
Publication of KR100335478B1 publication Critical patent/KR100335478B1/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • G06F9/38585Result writeback, i.e. updating the architectural state or memory with result invalidation, e.g. nullification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

SPARC 명령세트와 같은 다중-어드레스 레지스터-지향 명령세트에서 보통 발견되는 타입의 명령을 실행할 수 있는 범용 컴퓨터는 카운터 플로우 파이프라인으로부터 만들어진다. 파이프라인에서 인접 스테이지와 다른 스테이지 사이의 양방향 파이프라인 플로우로의 통신은 다른 명령을 수행할 수 있다. 명령은 한방향('위')으로 파이프라인을 통해 흐르고, '결과'로 불리는 이전명령에 의해서 계산된 응답은 다른 방향('아래')으로 흐른다. 파이프라인의 각 스테이지에서 비교회로는 명령이 이전에 계산된 결과의 하향플로우 스트림으로부터 요구되는 데이터 값을 명령이 선택하고 복사하도록 허용한다. 비교회로는 또한 현재의 명령의 실행에 의해 쓰지않는 것으로 되는 이전에 계산된 결과를 하향플로우 스트림으로부터 제거한다.

Description

카운터플로우 파이프라인 프로세서
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도 명령페치장치 및 레지스터 파일을 포함하며 본 발명에 따라 구축된 전형적인 파이프라인 프로세서의 블록도.
제2도는 인접스테이지로의 통신통로를 도시하는 본 발명에 따라 구축된 단일 스테이지의 프로세서의 블록도.
제3도는 본 발명에 따라 구축된 단일 스테이지의 프로세서에 대한 결과 변경회로의 블록도.

Claims (32)

  1. 명령패키지가 출발지 어드레스와 목적지 어드레스를 포함하고 결과패키지가 목적지 어드레스를 포함하는 계산시스템을 동작하는 방법에 있어서, 양방향 파이프라인의 스테이지를 통해 명령패키지가 위로 흐르도록 지시하는 단계; 상기 양방향 파이프라인의 스테이지를 통해 결과패키지가 아래로 흐르도록 지시하는 단계; 명령패키지가 상기 파이프라인에서 상대 위치로 바뀌는 것을 방지하는 상방향 플로우 명령패키지를 시컨스에 유지하는 단계; 결과패키지가 상기 파이프라인에서 상대위치로 바뀌는 것을 방지하는 상기 하방향 플로우로 동일 목적지 어드레스를 시컨스에 포함하는 결과패키지를 유지하는 단계; 각각의 명령패키지의 출발지 어드레스를 명령패키지가 상기 양방향 파이프라인을 통해 흐르는 동안 따르는 각각의 결과패키지의 목적지 어드레스와 비교하는 단계; 상기 출발지/목적지 어드레스 비교에 반응하여 상기 결과패키지로부터 상기 명령패키지용 소스값을 검색하는 단계; 각각의 명령패키지의 목적지 어드레스를 명령패키지가 상기 양방향 파이프라인을 통해 흐르는 동안 따르는 각각의 결과패키지의 목적지 어드레스와 비교하는 단계; 상기 목적지 어드레스 비교에 반응하여 상기 명령패키지에 의해 재계산된 또는 계산될 상기 결과패키지에서 어느 결과값을 무효화하는 단계; 소스값으로 부터 결과값을 계산하는 단계; 및 계산된 결과값을 상기 하향플로우로 결과패키지에 공급하는 단계로 구성되는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 명령패키지에서 상기 소스값의 유효성을 식별하는 소스값과 정보를 유지하는 단계; 계산된 결과값을 명령패키지에 유지하는 단계; 결과패키지에서 상기 결과값의 유효성을 식별하는 결과값과 정보를 유지하는 단계; 상기 파이프라인의 바닥에서 명령패키지를 주입하는 단계; 및 상기 파이프라인 내의 상 또는 중간위치에서 결과패키지를 주입하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  3. 제2항에 있어서, 결과값의 집합을 한세트의 레지스터에 유지하는 단계; 및 상기 집합에서 상기 결과값중 선택된 것이 상기 파이프라인내의 명령패키지에 이용가능하게 하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  4. 제3항에 있어서, 상기 파이프라인의 상부에서 레지스터의 상기 세트를 유지하는 단계, 및 상기 집합에서 상기 결과값중 선택된 것을 상기 파이프라인에서 결과패키지의 하향플로우에 주입하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  5. 제3항에 있어서, 상기 파이프라인내의 바닥 또는 중간위치에서 레지스터의 상기 세트를 유지하는 단계; 상기 파이프라인 아래로 흐르는 결과패키지로부터 레지스터의 상기 세트용 상기 결과값을 인출하는 단계; 및 유효소스값이 레지스터의 상기세트에 있거나 또는 상기 파이프라인의 다음 스테이지에 공급된다면 명령패키지가 레지스터의 상기 세트를 통과하게 하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  6. 제3항에 있어서, 상기 파이프라인내에 레지스터의 다수의 세트를 유지하는 단계로 더 구성되며, 여기서 상기 파이프라인의 적어도 하나는 자주 사용되는 결과값을 보유하는 것을 특징으로 하는 방법.
  7. 제2항에 있어서, 상기 파이프라인의 다양한 상기 스테이지에서 특정 처리기능을 수행하는 단계로 더 구성되며, 여기서 어느 상기 스테이지는 상기 파이프라인을 따라 다른 위치에서 유사한 처리기능을 수행하는 것을 특징으로 하는 방법.
  8. 제7항에 있어서, 명령패키지가 모두 필수 소스값을 검색한 후 처리기능을 수행할 수 있는 파이프라인의 최선스테이지에서 처리기능을 수행하는 단계, 및 처리기능을 수행할 수 있는 스테이지가 이런 처리기능을 수행할 수 있는 최종 스테이지가 아니라면 명령패키지가 모두 필수 소스값을 검색하지 않는 경우 이런 처리기능을 수행할 수 있는 스테이지를 넘어서 통과하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  9. 제7항에 있어서, 상기 수행단계는 상기 파이프라인에서 사용된 또는 사용될 정보를 획득하거나 또는 공급하기 위해 상기 파이프라인의 외부장치와 통신하는 단계를 포함하는 것을 특징으로 하는 방법.
  10. 제2항에 있어서, 파이프라인의 동작에서 조건코드를 보통 결과값으로 처리함으로써 조건코드를 조작하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  11. 제2항에 있어서, 소거결과를 결과스트림에 삽입함으로써 트랩과 인터랩트와 같은 예외를 조작하는 단계로 더 구성되며, 여기서 명령스트림의 명령패키지 하부는 트랩결과에 반응하여 명령패키지의 상향플로우로부터 제거되는 것을 특징으로 하는 방법.
  12. 제2항에 있어서, 다른 타입의 명령패키지의 실행을 용이하게 하기 위해 분기를 상기 파이프라인에 제공하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  13. 제2항에 있어서, 고정 소숫점 산술동작, 부동소숫점 산술동작 및 메모리 동작의 실행을 용이하게 하기 위해 분기를 상기 파이프라인에 제공하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  14. 제2항에 있어서, 명령스트림의 추리적 실행을 수행하는 단계로 더 구성되며, 여기서 상기 단계는 결과스트림 아래로 흐르는 조건부 분기의 결과에 반응하여 명령패키지의 상향플로우로부터 잘못 선택된 명령스트림으로부터 명령패키지를 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제2항에 있어서, 결과스트림 아래로 흐르는 결과패키지에서 조건코드 및/또는 프로그램 상태 워드를 포함하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  16. 제2항에 있어서, 적어도 2위치에서 상기 파이프라인과 접촉하는 사이딩을 제공하는 단계; 명령 또는 결과패키지로 부터 사이딩 패키지까지 상기 파이프라인을 따라 제1위치에서 정보를 공급하는 단계; 상기 명령 또는 결과패키지와 평행한 상기 사이딩을 따라 상기 사이딩 패키지가 흐르도록 하는 단계; 및 상기 파이프라인을 따라 제2위치에서 상기 사이딩 패키지를 상기 명령 또는결과패키지와 재결합하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 산술동작, 메모리동작 또는 레지스터 또는 캐시액세스 동작을 수행하기 위해 사이딩을 활용하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  18. 제16항에 있어서, 상기 파이프라인을 따라 명령패키지의 상향플로우와 통신하기 위해서 사이딩과 접속하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  19. 제16항에 있어서, 사이딩과 상기 파이프라인에 대한 다른 사이딩을 접속하는 단계로 더 구성되는 것을 특징으로 하는 방법.
  20. 출발지 어드레스와 목적지 어드레스를 포함하며 양방향 파이프라인의 스테이지를 통해 위로 흐르는 명령패키지; 목적지 어드레스를 포함하며 상기 양방향 파이프라인의 스테이지를 통해 아래로 흐르는 결과패키지; 상기 상향플로우에서 명령패키지를 최초 시컨스에 유지하기 위한 회로; 동일 목적지 어드레스를 포함하는 결과패키지를 상기 하향플로우에서 시컨스에 유지하기 위한 회로; 각각의 명령패키지의 출발지 어드레스를 명령패키지가 상기 양방향 파이프라인을 통해 흐르는 동안 따르는 각각의 결과패키지의 목적지 어드레스와 비교하기 위한 회로; 상기 출발지/목적지 비교에 반응하여 상기 하향플로우로 부터 상기 명령패키지용 소스값을 검색하기 위한 회로; 각각의 명령패키지의 목적지 어드레스를 명령패키지가 상기 양방향 파이프라인을 통해 흐르는 동안 따르는 각각의 결과패키지의 목적지 어드레스와 비교하기 위한 회로; 상기 목적지/목적지 비교에 반응하여 상기 명령패키지에 의해서 재계산된 또는 재계산될 상기 하향플로우로부터 어느 소스값을 무효화하기 위한 회로; 소스값으로부터 결과값을 계산하기 위한 회로; 및 계산된 결과값을 상기 하향플로우에서 결과패키지에 제공하기 위한 회로로 구성되는 것을 특징으로 하는 계산 시스템.
  21. 제20항에 있어서, 명령패키지에서 상기 소스값의 유효성을 식별하는 소스값과 정보를 유지하기 위한 회로; 계산된 결과값을 명령패키지에 유지하기 위한 회로; 결과패키지에서 상기 결과값의 유효성을 식별하는 결과값과 정보를 유지하기 위한 회로; 상기 파이프라인의 바닥에서 명령패키지를 주입하기 위한 회로; 및 상기 파이프라인의 상 또는 중간에서 결과패키지를 주입하기 위한 회로로 더 구성하는 것을 특징으로 하는 시스템.
  22. 제21항에 있어서, 결과값의 집합을 유지하기 위한 한세트의 레지스터, 및 상기 결과값중 선택된 것을 상기 파이프라인의 하향플로우로 주입하기 위한 회로로 더 구성되는 것을 특징으로 하는 시스템.
  23. 제22항에 있어서, 상기 레지스터의 세트는 상기 파이프라인의 상부에서 위치가 정해지는 것을 특징으로 하는 시스템.
  24. 제22항에 있어서, 상기 파이프라인 아래로 흐르는 결과패키지로부터 상기 레지스터의 세트용 결과값을 인출하기 위한 회로, 및 유효 소스값이 상기 레지스터의 세트에 있거나 또는 상기 파이프라인에서 다음 스테이지에 제공되는 경우 명령패키지가 상기 레지스터의 세트를 통과하도록 허용하기 위한 회로로 더 구성되며, 여기서 상기 레지스터의 세트는 상기 파이프라인내의 바닥 또는 중간위치에서 위치가 정해지는 것을 특징으로 하는 시스템.
  25. 제22항에 있어서, 상기 파이프라인내의 레지스터의 다수의 세트로 구성되며, 여기서 레지스터의 세트중 적어도 하나는 자주 사용되는 결과값을 보유하는 것을 특징으로 하는 시스템.
  26. 제21항에 있어서, 상기 파이프라인의 다양한 상기 스테이지에서 특정처리 기능을 수행하기 위한 회로로 더 구성되며, 여기서 어떤 상기 스테이지는 상기 파이프라인을 따라 다른 위치에서 유사한 처리기능을 수행하는 것을 특징으로 하는 시스템.
  27. 제26항에 있어서, 상기 수행회로는 상기 파이프라인에서 사용된 또는 사용될 정보를 획득 또는 공급하기 위해서 상기 파이프라인의 외부장치와 통신하기 위한 회로로 구성되는 것을 특징으로 하는 시스템.
  28. 제27항에 있어서, 상기 통신회로는 상기 파이프라인의 앞뒤 스테이지에서 상기 파이프라인과 접촉하는 사이딩을 상기 파이프라인에 형성하기 위한 회로로 구성되는 것을 특징으로 하는 시스템.
  29. 제28항에 있어서, 상기 사이딩은 상기 파이프라인의 2이상 스테이지에서 상기 파이프라인과 접촉하는 것을 특징으로 하는 시스템.
  30. 제29항에 있어서, 다수의 사이딩으로 더 구성되며, 여기서 상기 다수의 사이딩중 적어도 둘은 상호 접속하는 것을 특징으로 하는 시스템.
  31. 제28항에 있어서, 상기 사이딩은 산술동작, 메모리동작 또는 레지스터 또는 캐시액세스 동작을 수행하기 위해 활용되는 것을 특징으로 하는 시스템.
  32. 제28항에 있어서, 상기 사이딩은 상기 파이프라인을 따라 명령패키지의 상향플로우와 통신하기 위해 접속되는 것을 특징으로 하는 시스템.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940026837A 1993-10-21 1994-10-20 카운터플로우파이프라인프로세서 KR100335478B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14065593A 1993-10-21 1993-10-21
US08/140.655 1993-10-21

Publications (2)

Publication Number Publication Date
KR950012209A true KR950012209A (ko) 1995-05-16
KR100335478B1 KR100335478B1 (ko) 2002-10-04

Family

ID=22492224

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940026837A KR100335478B1 (ko) 1993-10-21 1994-10-20 카운터플로우파이프라인프로세서

Country Status (5)

Country Link
US (1) US5600848A (ko)
EP (1) EP0650116B1 (ko)
JP (1) JP3575632B2 (ko)
KR (1) KR100335478B1 (ko)
DE (1) DE69415126T2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413922B1 (en) 1998-12-03 2002-07-02 Lg Chemical Ltd. Combination soap bar composition containing monoglyceride sulfonate
KR100900364B1 (ko) * 2000-02-16 2009-06-02 엔엑스피 비 브이 인스트럭션 실행 디바이스, 인스트럭션 실행 방법 및 컴퓨터 판독가능 메모리 매체

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5956747A (en) * 1994-12-15 1999-09-21 Sun Microsystems, Inc. Processor having a plurality of pipelines and a mechanism for maintaining coherency among register values in the pipelines
US5704054A (en) * 1995-05-09 1997-12-30 Yale University Counterflow pipeline processor architecture for semi-custom application specific IC's
JP3510729B2 (ja) * 1996-02-29 2004-03-29 三洋電機株式会社 命令実行方法および命令実行装置
US5790826A (en) * 1996-03-19 1998-08-04 S3 Incorporated Reduced register-dependency checking for paired-instruction dispatch in a superscalar processor with partial register writes
US5778248A (en) * 1996-06-17 1998-07-07 Sun Microsystems, Inc. Fast microprocessor stage bypass logic enable
JP3790602B2 (ja) * 1997-04-25 2006-06-28 富士ゼロックス株式会社 情報共有装置
US5996059A (en) * 1997-07-01 1999-11-30 National Semiconductor Corporation System for monitoring an execution pipeline utilizing an address pipeline in parallel with the execution pipeline
US6360288B1 (en) * 1997-10-17 2002-03-19 Sun Microsystems, Inc. Method and modules for control of pipelines carrying data using pipelines carrying control signals
US6393552B1 (en) 1998-06-19 2002-05-21 International Business Machines Corporation Method and system for dividing a computer processor register into sectors
US6336160B1 (en) 1998-06-19 2002-01-01 International Business Machines Corporation Method and system for dividing a computer processor register into sectors and storing frequently used values therein
US6085316A (en) * 1998-07-28 2000-07-04 Sun Microsystems, Inc. Layered counterflow pipeline processor with anticipatory control
US6163839A (en) 1998-09-30 2000-12-19 Intel Corporation Non-stalling circular counterflow pipeline processor with reorder buffer
US6807624B1 (en) 1998-12-17 2004-10-19 Fujitsu Limited Instruction control device and method therefor
US6192466B1 (en) 1999-01-21 2001-02-20 International Business Machines Corporation Pipeline control for high-frequency pipelined designs
EP1236092A4 (en) 1999-09-01 2006-07-26 Intel Corp BRANCH COMMAND FOR A PROCESSOR
US7546444B1 (en) 1999-09-01 2009-06-09 Intel Corporation Register set used in multithreaded parallel processor architecture
US7681018B2 (en) 2000-08-31 2010-03-16 Intel Corporation Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set
US7437724B2 (en) * 2002-04-03 2008-10-14 Intel Corporation Registers for data transfers
US6981131B2 (en) * 2002-09-04 2005-12-27 Arm Limited Early condition code evaluation at pipeline stages generating pass signals for controlling coprocessor pipeline executing same conditional instruction
CN1816799A (zh) * 2003-04-16 2006-08-09 皇家飞利浦电子股份有限公司 在时间-固定处理器中对条件操作的支持
US7743238B2 (en) * 2003-05-09 2010-06-22 Arm Limited Accessing items of architectural state from a register cache in a data processing apparatus when performing branch prediction operations for an indirect branch instruction
US20070123792A1 (en) * 2005-11-17 2007-05-31 Charlotte-Mecklenburg Hospital Authority D/B/A Carolinas Medical Center System and method for determining airway obstruction
US7913007B2 (en) * 2007-09-27 2011-03-22 The University Of North Carolina Systems, methods, and computer readable media for preemption in asynchronous systems using anti-tokens
WO2010039312A2 (en) 2008-06-27 2010-04-08 The University Of North Carolina At Chapel Hill Systems, pipeline stages, and computer readable media for advanced asynchronous pipeline circuits
US8452944B2 (en) * 2009-05-22 2013-05-28 Canon Kabushiki Kaisha Information processing apparatus and information processing method
CA2790009C (en) 2010-02-18 2017-01-17 Katsumi Inoue Memory having information refinement detection function, information detection method using memory, device including memory, information detection method, method for using memory, and memory address comparison circuit
US20140281413A1 (en) * 2013-03-14 2014-09-18 Mips Technologies, Inc. Superforwarding Processor
US10250824B2 (en) 2014-06-12 2019-04-02 The University Of North Carolina At Chapel Hill Camera sensor with event token based image capture and reconstruction

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL297562A (ko) * 1962-09-06
GB1506972A (en) * 1976-02-06 1978-04-12 Int Computers Ltd Data processing systems
JPS57139856A (en) * 1981-02-23 1982-08-30 Nippon Telegr & Teleph Corp <Ntt> Data driving information processing system
JPS6015746A (ja) * 1983-07-08 1985-01-26 Hitachi Ltd デ−タ処理装置
US5187800A (en) * 1985-01-04 1993-02-16 Sun Microsystems, Inc. Asynchronous pipelined data processing system
US4679213A (en) * 1985-01-08 1987-07-07 Sutherland Ivan E Asynchronous queue system
DE3782819D1 (de) * 1987-06-02 1993-01-07 Itt Ind Gmbh Deutsche Steuerprozessor.
US4991078A (en) * 1987-09-29 1991-02-05 Digital Equipment Corporation Apparatus and method for a pipelined central processing unit in a data processing system
JPH081599B2 (ja) * 1988-02-24 1996-01-10 三菱電機株式会社 データ処理装置
GB8817911D0 (en) * 1988-07-27 1988-09-01 Int Computers Ltd Data processing apparatus
US4996661A (en) * 1988-10-05 1991-02-26 United Technologies Corporation Single chip complex floating point numeric processor
EP0365188B1 (en) * 1988-10-18 1996-09-18 Hewlett-Packard Company Central processor condition code method and apparatus
JPH0719222B2 (ja) * 1989-03-30 1995-03-06 日本電気株式会社 ストアバッフア
US5123108A (en) * 1989-09-11 1992-06-16 Wang Laboratories, Inc. Improved cpu pipeline having register file bypass and working register bypass on update/access address compare
JP2816248B2 (ja) * 1989-11-08 1998-10-27 株式会社日立製作所 データプロセッサ
US5289577A (en) * 1992-06-04 1994-02-22 International Business Machines Incorporated Process-pipeline architecture for image/video processing
US5386563A (en) * 1992-10-13 1995-01-31 Advanced Risc Machines Limited Register substitution during exception processing
US5386585A (en) * 1993-02-03 1995-01-31 Intel Corporation Self-timed data pipeline apparatus using asynchronous stages having toggle flip-flops

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413922B1 (en) 1998-12-03 2002-07-02 Lg Chemical Ltd. Combination soap bar composition containing monoglyceride sulfonate
KR100900364B1 (ko) * 2000-02-16 2009-06-02 엔엑스피 비 브이 인스트럭션 실행 디바이스, 인스트럭션 실행 방법 및 컴퓨터 판독가능 메모리 매체

Also Published As

Publication number Publication date
JPH07271579A (ja) 1995-10-20
DE69415126D1 (de) 1999-01-21
EP0650116A1 (en) 1995-04-26
EP0650116B1 (en) 1998-12-09
DE69415126T2 (de) 1999-07-08
KR100335478B1 (ko) 2002-10-04
JP3575632B2 (ja) 2004-10-13
US5600848A (en) 1997-02-04

Similar Documents

Publication Publication Date Title
KR950012209A (ko) 카운터플로우 파이프라인 프로세서
US5710902A (en) Instruction dependency chain indentifier
RU2109333C1 (ru) Цифровой компьютер с возможностью параллельного выполнения двух и более команд
US5974538A (en) Method and apparatus for annotating operands in a computer system with source instruction identifiers
US5778233A (en) Method and apparatus for enabling global compiler optimizations in the presence of exception handlers within a computer program
KR102628269B1 (ko) 벡터 산술 명령어에 대한 예외 조건의 처리
KR900008394A (ko) 데이터 처리장치
KR920006845A (ko) 파이프 라인 컴퓨터 시스템
TW200428276A (en) Predication instruction within a data processing system
PT100206B (pt) Metodo para operar um computador digital e sistema de computador digital
US20110302394A1 (en) System and method for processing regular expressions using simd and parallel streams
KR920004964A (ko) 2개의 명령을 동시에 실행할 수 있는 데이타 프로세서
CN104049947B (zh) 基于动态重命名的矢量寄存器堆的寄存器重新配置
JPH0522936B2 (ko)
US5724572A (en) Method and apparatus for processing null terminated character strings
CN101221496B (zh) 精简指令集计算机处理器装置及其数据处理方法
JP2000284964A (ja) Vliwプロセッサにおける効率的なサブ命令エミュレーション
Certezeanu et al. Quicksort revisited: Verifying alternative versions of quicksort
US5045992A (en) Apparatus for executing instruction regardless of data types and thereafter selectively branching to other instruction upon determining of incompatible data type
CN103282876B (zh) 数据元素的条件选择
US20050005087A1 (en) System and method for memory management
JPH05216721A (ja) 電子計算機
KR930004861A (ko) 정보처리 시스템
KR100335563B1 (ko) 카운터플로우파이프라인프로세서용스코어보드테이블
JPH0628034B2 (ja) タグ付計算機

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee