KR930007150B1 - 진공용기 - Google Patents

진공용기 Download PDF

Info

Publication number
KR930007150B1
KR930007150B1 KR1019910700622A KR910700622A KR930007150B1 KR 930007150 B1 KR930007150 B1 KR 930007150B1 KR 1019910700622 A KR1019910700622 A KR 1019910700622A KR 910700622 A KR910700622 A KR 910700622A KR 930007150 B1 KR930007150 B1 KR 930007150B1
Authority
KR
South Korea
Prior art keywords
wafer
processing apparatus
gas
disposed
semiconductor wafer
Prior art date
Application number
KR1019910700622A
Other languages
English (en)
Other versions
KR920701512A (ko
Inventor
에스. 와인버그 리챠드
Original Assignee
베리언 어소시에이츠 인코포레이티드
윌리엄 알. 무어
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리언 어소시에이츠 인코포레이티드, 윌리엄 알. 무어 filed Critical 베리언 어소시에이츠 인코포레이티드
Publication of KR920701512A publication Critical patent/KR920701512A/ko
Application granted granted Critical
Publication of KR930007150B1 publication Critical patent/KR930007150B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

내용 없음.

Description

진공용기
제1도는 본 발명의 신규의 대합 조개 형상의 반도체 웨이퍼 격리 및 처리 겸용 장치를 합체하는 주진공실의 개략도.
제2도는 제1도의 반도체 웨이퍼 격리 및 처리 겸용 장치의 확대도.
제3도는 반도체 웨이퍼 격리 및 처리 겸용 장치의 일부분의 변형예를 도시하는 도면.
발명의 분야
본 발명은 일반적으로 반도체 웨이퍼 처리 장치, 특히 주진공 환경의 오염없이 웨이퍼를 처리하는 주진공 실내에 배치되는 진공용기에 관한 것이다.
발명의 배경
반도체 웨이퍼 처리 공정에 있어서, 장치의 단일 구성으로서 다수의 처리 단계를 실행하는 것이 매우 바람직하다. 웨이퍼의 전처리 및 후처리 기능은 종종 웨이퍼를 진공 분위기에서 분리하여 실시된다. 이는 전처리 및 후처리 단계가 웨이퍼의 가열을 필요로 하기 때문에 필수적인 바, 상기 가열에 의해 웨이퍼 표면상에 잔류된 가스가 제거된다.
몇가지의 전처리 및 후처리 기능은 주진공실내에서 실행되어 있다. 그러나, 상기 실행에 있어서, 반도체처리 장치의 진공도(vacuum quality)가 주진공실내에서 허용된 오염도(contamination)에 의해 상쇄된다고 하는 상당한 단점 및 한계를 갖고 있었다. 또한 전처리 및 후처리 기능을 실행키 위해 장치에 추가의 진공실이 부가되기도 했었다. 이러한 시스템의 주단점 및 한계는 이러한 격리 형태를 사용하면 처리량 제한에 따른 상당한 비용 상승이 초래된다는 점이다.
발명의 요약
따라서, 본 발명의 목적은 교차 오염(cross-contamination)없이 진공실내에서 병행적인 작업을 허용하는 장치를 제공하는 것이다.
본 발명의 또다른 목적은 처리 경비를 충분히 절감할 수 있으면서 처리량은 감소하지 않는 장치를 제공하는 것이다.
본 발명에 따라, 그 내부에 웨이퍼 홀더가 배치된 주진공실내에서 반도체 웨이퍼를 처리할 수 있는 장치는 대합 조개 형상의 웨이퍼 격리 및 처리 겸용 장치(clam shell shaped wafer isolating and processing device)를 구비한다. 이 대합 조개 형상의 웨이퍼 격리 및 처리 겸용 장치는 웨이퍼 홀더 위에 배치되는 제1부재와 웨이퍼 홀더 아래에서 상기 제1부재와 마주한 관계로 배치되는 제2부재를 포함한다. 이들 제1부재와 제2부재는 각기 개별적인 정합면(mating surface)을 갖는다. 제1 및 제2부재는 그들의 정합면이 상호 밀폐 결합하는 폐쇄 위치와 개방 위치 사이에서 이동 가능하다. 상기 대합 조개형 웨이퍼 격리 및 처리 겸용 장치는 페쇄 상태에서 내부에 챔버(chamber)를 형성한다.
또한 상기 장치는 상기 대합 조개 형상의 웨이퍼 격리 및 처리 겸용 장치가 밀폐 위치에 있을 때 그 내부 챔버로부터 주진공실 외측으로 가스를 배출시키는 수단도 포함한다.
본 발명의 복수의 다중 처리 작업이 하나의 진공실내에서 이루어지는 것이다. 반도체 칩 자체에 있어서 보다 작은 기하학적 형태를 널리 사용함에 따라 모든 형태의 오염 제어가 최적화되어야 함이 요망되어 왔다. 대합 조개 형상의 웨이퍼 격리 및 처리 겸용 장치는 그 내부에 형성된 내부 챔버와 주진공실의 잔여부 사이의 가스상 오염물을 격리시킨다. 상기 웨이퍼 격리 및 처리 겸용 장치는 매우 간단하고, 신뢰성이 있으며, 입상 오염 물질이 적은 기구로 상기의 격리 작용을 실행할 수 있다는 점에서 상당한 장점을 갖는다. 또한 이러한 기구는 다른 진공실에 설치함에 있어 작은 공간을 필요로 하며, 이러한 웨이퍼 격리 및 처리 장치를 배치함으로써 주진공실내에서의 높은 처리량이 유지된다. 이는, 인접하여 분리된 진공실들이 처리량을 감소시키는 종래 기술에 비하여 중요한 장점이다. 매우 비싼 반도체 처리 장치가 성공적인가 여부는 그 안에서 처리될 수 있는 웨이퍼들의 수에 대하여 자연적으로 측정되므로, 처리량에 대하여 고려하는 것이 가장 중요한 사항중 하나이다.
본 발명에 대한 상기 사항외의 또다른 목적과, 장점 및 특징은 첨부된 도면과 청구범위와 함께 후술되는 바람직한 실시예를 검토하면 본 기술분야의 숙련자들에게는 명확히 이해될 것이다.
바람직한 실시예의 설명
도면을 참조하면, 도면에는 주진공실(12)을 갖는 반도체 처리 장치(10)가 도시되어 있다. 상기 처리 장치(10)는 본 발명의 원리에 따라 주진공실(12) 내부에서 반도체 웨이퍼(16)를 격리 및 처리할 수 있도록 허용하는 장치(14)를 포함한다.
본 발명의 신규 장치(14)는 웨이퍼 홀더(18) 및 대합 조개 형상의 웨이퍼 격리 및 처리 겸용 장치(20)를 포함한다. 상기 웨이퍼 격리 및 처리 겸용 장치(20)는 제1부재(22)와 제2부재(24)를 포함한다. 상기 제1부재(22)와 상기 제2부재(24)는 서로 대향 관계에 있다. 상기 제1부재(22)는 정합면(26)을 상기 제2부재(24)는 상기 정합면(26)과 대응하는 정합면(28)을 가진다.
하기에 더욱 상세히 설명하는 바와 같이, 상기 제1부재와 상기 제2부재는 제2도에 도시된 바와 같은 개방위치와 상기 제1부재(22)의 정합면(26)이 제2부재(24)의 정합면(28)과 밀폐 결합되는 폐쇄 위치 사이에서(화살표 방향으로) 서로에 대해 이동 가능하게 되어 있다. 상기 웨이퍼 격리 및 처리 겸용 장치(20)가 폐쇄 위치에 있을 때, 그 내부에 내부 챔버(30)가 형성된다.
제2도에서 명백하듯이 제2부재(24)의 정합면(28)은 채널(32)을 포함하며, 그 채널(32)내에 O링(34)이 배치된다. 각각의 정합면(26,28)이 상호 결합되면 O링이 밀봉부(seal)를 제공한다. 밀봉부는 여기에 기술되듯이 탄성재일 필요는 없고 금속이나 저전도성 시일이어도 좋다.
본 발명의 한 실시예에서, 반도체 웨이퍼 처리 및 격리 겸용 장치(20)의 제1부재(22)는 반도체 처리 장치(10)의 상부벽(38)의 내면(36)에 설치될 수도 있다. 이 경우, 제2부재(24)는 제1부재에 대하여 상대 이동하게 피스톤(40)상에 설치될 수도 있다. 피스톤(40)은 반도체 처리 장치(10)의 하부벽(42)을 통하여 연장한다. 피스톤은 공압식이나 유압식 또는 전기식의 통상적 형태의 외부 작동기에 연결될 수 있다. 제2부재(24)와 하부벽(42)에 벨로우즈(44)가 밀봉적으로 결합된다. 벨로우즈(44)는 오염물이 주진공실(12)에 들어가는 것을 방지한다.
본 발명의 한 실시예의 웨이퍼 홀더(18)에는 다수개의 핀(46)으로 이루어진다. 3개의 핀(46)은 3각 구조로 사용될 수 있다. 핀(46)은 피스톤(40)내의 보어(48)를 통해 수용된다. 핀(46)은 또한, 통상적인 형태의 외부 작동기에 연결될 수 있다. 또한, 벨로우즈(50)는 하부벽(42)과 피스톤(40)의 바닥면 사이에 밀봉식으로 결합된다. 바닥면(52)은 또한 핀(46)이 관통 수용되는 보어(53)를 구비한다.
웨이퍼 격리 및 처리 겸용 장치(20)가 주진공실(12)내에 있기 때문에, 웨이퍼 장입용 아암(54)이 구비되어 웨이퍼 격리 및 처리 겸용 장치(20)내로 웨이퍼를 장입한다. 웨이퍼 격리 및 처리 겸용 장치(20)는 상기 웨어퍼 장입 작업 전에 항시 개방되어 있다. 이 웨이퍼 장입 작업은 웨이퍼 장입용 아암(54) 위로 웨이퍼(16)를 상승시키는 핀(46)에 의해 이루어진다. 웨이퍼(16)가 일단 웨이퍼 장입용 아암(54)으로부터 벗어나면 웨이퍼 장입용 아암(54)은 핀(46)이 상승 위치에 유지된 동안에 철회된다. 로드 아암(54)의 기하학 형상은 핀(46)의 간섭없이 웨이퍼 장입용 아암(54)이 철회될 수 있게 되어야 한다. 웨이퍼 장입용 아암(54)은 이상과 반대의 순서로 상기 웨이퍼 격리 및 처리 겸용 장치로부터 웨이퍼를 회수하도록 복귀한다.
핀(46)은 웨이퍼 격리 및 처리 겸용 장치(20)에 도입하기 전의 웨이퍼(16)를 상승시킨다. 이제, 웨이퍼 장입용 아암(54)은 핀(46) 혹은 웨이퍼(16)와 접촉하지 않고 웨이퍼 격리 및 처리 겸용 장치(20)내로 연장할 수 있다. 웨이퍼 장입용 아암(54)이 완전히 연장되면, 핀(46)은 하강하므로써, 비워진 웨이퍼 장입용 아암(54) 위에 웨이퍼(16)를 잔류시킨다. 웨이퍼 장입용 아암(54)은 이제 주진공실(12)내의 진공 분위기에서 모든 작업이 이루어질 수 있는 상태에서 자유롭게 웨이퍼를 다음 단계로 계속해서 이동시키게 된다.
상기 웨이퍼 격리 및 처리 겸용 장치(20)는 특히 2차의 반도체 처리 기능에 적합하게 되어 있다. 제1기능은 웨이퍼(16)의 표면상에 형성될 수 있는 가스상 불순물을 제거하도록 웨이퍼(16)에 가열하는 것을 포함하는 전처리 공정이다. 특히 제3도를 참조하면, 상기 제1부재(26)에 광학적으로 투명한 창(56)을 밀봉식으로 결합함으로써 방사 광이 상기 창(56)을 통과하여 웨이퍼(16)를 가열시킬 수 있도록 구성할 수도 있다. 폐쇄된 웨이퍼 격리 및 처리 겸용 장치(20)로부터 가스를 방출시키기 위하여, 상부벽(38)을 통과하여 제1부재(22)내에 이음부(22 ; fittings)가 설치된다. 상기 이음부(58)는 내부 챔버(30)와 연통된 구멍(60)을 구비한다. 상기 이음부(58)는 주진공실(12)의 외부에서 진공 펌프(도시않됨)와 결합하게 되어 있다.
후처리 작용은 폐쇄된 웨이퍼 격리 및 처리 겸용 장치(20)내에서 웨이퍼를 냉각시킬 것이 요구된다. 상기 웨이퍼(16)를 냉각시키기 위해, 핀(46)은 상기 웨이퍼(16)가 제2부재(24)의 표면(62)상에 얹히도록 폐쇄된 웨이퍼 격리 및 처리 겸용 장치(20)와 함께 하강된다. 상기 내부 챔버(30) 안으로 아르곤과 같은 불활성가스를 도입하기 위하여 상부벽(38)을 통하여 제1부재(22)에 제2이음부(64)가 배치된다. 상기 가스는 냉각제로 사용된다. 물론 과다한 가스는 이음부(58)를 통해 회수될 수 있다. 상기 이음부(64)는 또한 내부 챔버(30)와 연통된 구멍(66)을 가진다. 상기 이음부는 주진공실(12)로부터 외측의 가스원(도시되지 않음)에 결합되도록 되어 있다. 상기 가스를 분산시키기 위해, 상기 내부 챔버(30)내에서 상기 구멍(66)에 인접하여 배플(68)이 배치되어 주입된 가스를 분산시킬 수 있다.
웨이퍼(16)를 더욱 냉각시키기 위해서, 제2부재(24)는 냉각제가 송출 통과되는 물 재킷(70)을 포함할 수도 있다. 물 재킷(70)은 주진공실(12) 외부의 냉각제 공급원(도시되지 않음)에 도달하는 도관(72)에 연결된다. 가스 도입에 의한 냉각 공정의 종료 시점에서, 가스는 웨이퍼 격리 및 처리 겸용 장치로부터 배출된다. 웨이퍼 격리 및 처리 겸용 장치(20)의 내부가 적절한 압력일 때에, 그것은 주진공실(12)내로 개방되고, 웨이퍼(16)는 제거된다.
주진공실의 오염없이 반도체 웨이퍼상에의 처리 기능을 허용하는 장치가 위에 기술되었다. 본 기술분야의 숙련자라면 본 명세서에 기술된 발명의 개념을 이탈하지 않고 상기 바람직한 실시예를 여러가지로 이용할 수 있을 것이다.

Claims (10)

  1. 주진공실내에서 반도체 웨이퍼를 처리할 수 있는 반도체 웨이퍼 처리 장치에 있어서, 웨이퍼 홀더와, 상기 웨이퍼 홀더 위에 배치되는 제1부재 및 웨이퍼 홀더 아래에 배치되어 상기 제1부재와 마주하는 제2부재를 구비하며, 상기 제1부재와 제2부재는 각기 정합면을 가져 상기 제1부재의 정합면이 상기 제2부재의 정합면과 밀봉 결합되는 폐쇄 위치와 개방 위치 사이에서 이동 가능하며, 상기 폐쇄 위치에 있을 때 내부에 내부 챔버(interior chamber)를 형성하는 웨이퍼 격리 및 처리 겸용 장치와, 상기 웨이퍼 격리 및 처리 겸용 장치가 페쇄 위치에 있는 상태에서 상기 주진공실의 외부에서 상기 웨이퍼 격리 및 처리 겸용 장치의 상기 내부 챔버로부터 가스를 배출하는 가스 방출 수단을 구비한 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  2. 제1항에 있어서, 상기 제1부재와 상기 제2부재중 하나의 정합면이 채널을, 다른 정합면은 그 채널내에 배치되는 O-링을 구비하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  3. 제1항에 있어서, 상기 웨이퍼 격리 및 처리 장치가 폐쇄위치에 있을 때 상기 웨이퍼를 냉각시키는 웨이퍼 냉각수단을 부가로 구비하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  4. 제3항에 있어서, 상기 냉각수단이, 상기 웨이퍼가 제2부재의 내면과 접촉하도록 상기 내부 챔버내에서 상기 웨이퍼 홀더의 위치를 조정하는 수단과, 상기 제2부재내에 냉각제를 유입시키기 위한 수단을 구비하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  5. 제3항에 있어서, 상기 냉각수단은 상기 웨이퍼 격리 및 처리 겸용 장치가 폐쇄위치에 있을 때 가스를 상기 내부 챔버내로 주입시키는 수단을 포함하며, 상기 가스는 상기 가스 방출수단에 의하여 배출되는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  6. 제5항에 있어서, 상기 가스 주입 수단이 제1부재에 배치되어 상기 웨이퍼 격리 및 처리 장치의 내부 챔버와 연결되는 구멍을 갖는 이음부(fitting)를 포함하며, 상기 이음부는 진공실의 외부 가스원에 결합되도록 되어 있는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  7. 제6항에 있어서, 상기 가스 주입 수단은 상기 내부 챔버로 주입된 가스를 분산시키도록 상기 내부 챔버내에서 상기 구멍에 인접하여 배치된 배플(baffle)을 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  8. 제1항에 있어서, 상기 제1부재는 웨이퍼를 가열하기 위한 방사광이 통과할 수 있도록 밀봉 결합된 광학적으로 투명한 창을 구비한 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
  9. 제1항에 있어서, 상기 가스 배출수단은, 제1부재에 배치되어 상기 내부 챔버에 연결되는 구멍을 갖는 이음부를 포함하며, 상기 이음부는 진공실 외부의 진공펌프에 결합되도록 되어 있는 것을 특징으로 하는 반도체 웨퍼 처리 장치.
  10. 제1항에 있어서, 상기 웨이퍼 홀더는, 복수개의 수직 핀과, 제2부재를 관통하여 배치된 복수개의 구멍을 포함하며, 상기 핀 각각은 상기 구멍중 하나에 배치되어, 상승위치와 하강위치 사이에서 활주 가능하며, 상기 웨이퍼는 상기 핀이 하강 위치에 있을 때 제2부재와 접촉하는 것을 특징으로 하는 반도체 웨이퍼 처리 장치.
KR1019910700622A 1989-10-18 1990-10-18 진공용기 KR930007150B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US423,802 1989-10-18
US423802 1989-10-18
US07/423,802 US5002010A (en) 1989-10-18 1989-10-18 Vacuum vessel
PCT/US1990/005994 WO1991005887A1 (en) 1989-10-18 1990-10-18 Vacuum vessel

Publications (2)

Publication Number Publication Date
KR920701512A KR920701512A (ko) 1992-08-11
KR930007150B1 true KR930007150B1 (ko) 1993-07-30

Family

ID=23680239

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910700622A KR930007150B1 (ko) 1989-10-18 1990-10-18 진공용기

Country Status (5)

Country Link
US (1) US5002010A (ko)
EP (1) EP0448700A4 (ko)
JP (1) JPH0774447B2 (ko)
KR (1) KR930007150B1 (ko)
WO (1) WO1991005887A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4208920C1 (de) * 1992-03-19 1993-10-07 Texas Instruments Deutschland Anordnung zum Entfernen von Photolack von der Oberfläche von Halbleiterscheiben
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5791895A (en) * 1994-02-17 1998-08-11 Novellus Systems, Inc. Apparatus for thermal treatment of thin film wafer
WO1996041105A1 (en) * 1995-06-07 1996-12-19 Brooks Automation, Inc. Dual side passive gas substrate thermal conditioning
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US5960748A (en) 1997-05-02 1999-10-05 Valeo, Inc. Vehicle hydraulic component support and cooling system
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6105435A (en) * 1997-10-24 2000-08-22 Cypress Semiconductor Corp. Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
US6231289B1 (en) 1998-08-08 2001-05-15 Brooks Automation, Inc. Dual plate gas assisted heater module
KR100634642B1 (ko) * 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치
TW424265B (en) * 1999-10-06 2001-03-01 Mosel Vitelic Inc Method for stabilizing semiconductor degas temperature
US7037797B1 (en) 2000-03-17 2006-05-02 Mattson Technology, Inc. Localized heating and cooling of substrates
SG105487A1 (en) * 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP3590328B2 (ja) * 2000-05-11 2004-11-17 東京エレクトロン株式会社 塗布現像処理方法及び塗布現像処理システム
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
EP1855794B1 (en) * 2005-02-22 2012-10-31 Xactix, Inc. Etching chamber with subchamber
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US9016998B2 (en) * 2013-03-14 2015-04-28 Varian Semiconductor Equipment Associates, Inc. High throughput, low volume clamshell load lock
CN107112261A (zh) * 2014-12-11 2017-08-29 瑞士艾发科技 尤其用于基体的除气的设备和方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1173978A (en) * 1967-11-14 1969-12-10 Edwards High Vaccum Internat L Vacuum Deposition Apparatus
US3568632A (en) * 1969-03-24 1971-03-09 Gary F Cawthon Lens coating apparatus
CH573985A5 (ko) * 1973-11-22 1976-03-31 Balzers Patent Beteilig Ag
GB1500701A (en) * 1974-01-24 1978-02-08 Atomic Energy Authority Uk Vapour deposition apparatus
US3981791A (en) * 1975-03-10 1976-09-21 Signetics Corporation Vacuum sputtering apparatus
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
EP0122092A3 (en) * 1983-04-06 1985-07-10 General Engineering Radcliffe Limited Vacuum coating apparatus
JPS59222922A (ja) * 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
US4607593A (en) * 1983-12-23 1986-08-26 U.S. Philips Corporation Apparatus for processing articles in a controlled environment
US4534314A (en) * 1984-05-10 1985-08-13 Varian Associates, Inc. Load lock pumping mechanism
JPS61107720A (ja) * 1984-10-31 1986-05-26 Hitachi Ltd 分子線エピタキシ装置
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4795299A (en) * 1987-04-15 1989-01-03 Genus, Inc. Dial deposition and processing apparatus
DE3731444A1 (de) * 1987-09-18 1989-03-30 Leybold Ag Vorrichtung zum beschichten von substraten
DE3803411A1 (de) * 1988-02-05 1989-08-17 Leybold Ag Vorrichtung zur halterung von werkstuecken

Also Published As

Publication number Publication date
WO1991005887A1 (en) 1991-05-02
EP0448700A1 (en) 1991-10-02
JPH0774447B2 (ja) 1995-08-09
US5002010A (en) 1991-03-26
EP0448700A4 (en) 1993-03-24
KR920701512A (ko) 1992-08-11
JPH03504522A (ja) 1991-10-03

Similar Documents

Publication Publication Date Title
KR930007150B1 (ko) 진공용기
JP5531284B2 (ja) 副チャンバアセンブリを備えるエッチング用チャンバ
EP0698915B1 (en) Compartmentalized substrate processing chamber
KR100461911B1 (ko) 고온고압가스처리장치
KR19980080903A (ko) 유지보수동안 진공을 보존하는 격리밸브를 가진 챔버
KR200491849Y1 (ko) 슬릿 밸브 도어들을 구비한 로드 락 챔버
JPH0774227A (ja) マイクロ環境下のロードロック
US6170496B1 (en) Apparatus and method for servicing a wafer platform
KR20230129366A (ko) 탠덤 프로세싱 구역들을 갖는 플라즈마 챔버
US7153388B2 (en) Chamber for high-pressure wafer processing and method for making the same
WO1997002199A1 (en) Door drive mechanisms for substrate carrier and load lock
KR20020041294A (ko) 진공 처리 장치
KR100482978B1 (ko) 게이트 밸브
EP0325178B1 (en) Apparatus for heating a sample within a vacuum chamber
KR970067763A (ko) 감소된 풋프린트 반도체 처리 시스템
KR100419168B1 (ko) 분위기 열처리 예비챔버를 가지는 튜브 열처리로
KR20000014800A (ko) 로드락 챔버의 벤틸레이션 시스템을 이용한 세정 방법
KR100529443B1 (ko) 미스 포지션 확인용 챔버 리드
KR20070020902A (ko) 반도체 제조 장치
KR20200053810A (ko) 웨이퍼 세정장치
KR19990027888A (ko) 반도체장치의 제조공정에 사용하는 펌프설비
KR20030039827A (ko) 건식 식각 장치
KR19990052520A (ko) 삼로 밸브에 연결된 배기라인을 갖는 로드락 쳄버

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20060726

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee