KR20240036083A - 듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper) - Google Patents

듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper) Download PDF

Info

Publication number
KR20240036083A
KR20240036083A KR1020247006109A KR20247006109A KR20240036083A KR 20240036083 A KR20240036083 A KR 20240036083A KR 1020247006109 A KR1020247006109 A KR 1020247006109A KR 20247006109 A KR20247006109 A KR 20247006109A KR 20240036083 A KR20240036083 A KR 20240036083A
Authority
KR
South Korea
Prior art keywords
graphene
layer
plasma
carbon
radicals
Prior art date
Application number
KR1020247006109A
Other languages
English (en)
Inventor
아시쉬 파르바타니
바트 제이. 반 슈라벤데이크
바드리 엔. 바라다라잔
이에바 나르케비쿠테
이스와르 스리니바산
카쉬스 샤르마
랜돌프 크나르
스테판 슈미츠
비나야크 라마난
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240036083A publication Critical patent/KR20240036083A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

BEOL (back-end-of-line) 기판들의 금속 표면 상에 그래핀을 선택적으로 증착하기 위한 방법들이 제공된다.

Description

듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (GRAPHENE-CAPPED COPPER)
그래핀 (graphene) 은 원자들이 규칙적인 육각형 패턴으로 단일 원자 시트로 배열된 탄소의 동소체 (allotrope) 이다. 그래핀은 다른 유리한 특성들 중에서, 고 전기 전도도, 고 열 전도도, 우수한 기계적 강도 (strength) 및 인성 (toughness), 광학적 투명성, 및 고 전자 이동도 때문에 많은 분야 및 산업계에서 관심을 끌었다. 반도체 산업에서 그래핀에 대한 관심이 증가하고 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 범위까지 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
공동 연구 계약에 대한 성명서
개시된 주제의 적어도 일부는 개정된 바와 같이 2016년 9월 27일의 발효 일자로 공동 개발 계약의 당사자에 의해 또는 당사자를 대신하여 이루어졌다. 공동 개발 계약의 당사자들은 Lam Research Corporation 및 International Business Machines Corporation이다.
일 양태는 반도체 기판 상에 듀얼 다마신 구조체를 형성하기 위한 방법을 수반하고, 방법은: 제 1 유전체 층 및 제 1 유전체 층 내의 구리 상호 접속부를 포함하는 반도체 기판을 제공하는 단계로서, 구리 상호 접속부는 노출된 금속 표면을 갖고, 이에 따라 노출된 금속 표면은 구리를 포함하는, 반도체 기판 제공 단계; 및 노출된 금속 표면 상에 탄소 층을 선택적으로 증착하는 단계를 포함한다.
다양한 실시 예들에서, 노출된 금속 표면들 상에 탄소 층을 선택적으로 증착하는 단계는 하나 이상의 탄화수소 전구체들을 반응 챔버 내로 그리고 반도체 기판을 향해 흘리는 단계; 수소 소스 가스로부터 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계; 및 수소 라디칼들을 반응 챔버 내로 그리고 반도체 기판을 향해 도입하는 단계를 포함하고, 이에 따라 수소 라디칼들은 노출된 금속 표면 상에 탄소 층을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응한다.
다양한 실시 예들에서, 탄소 층은 육각형 격자로 결합된 탄소를 포함한다.
다양한 실시 예들에서, 탄소 층은 약 400 ℃ 미만의 온도에서 선택적으로 증착된다.
다양한 실시 예들에서, 방법은 또한 비-직접 플라즈마로 탄소 층을 처리하는 단계를 포함한다. 예를 들어, 일부 실시 예들에서, 비-직접 플라즈마는 OH* 라디칼들, O* 라디칼들, H* 라디칼들, 암모니아 라디칼들, 질소 라디칼들, 및 이들의 조합들로 구성된 그룹으로부터 선택된 라디칼들을 포함한다.
다양한 실시 예들에서, 방법은 또한 노출된 금속 표면 상에 탄소 층을 선택적으로 증착한 후, 탄소 층 위에 기밀 (hermetic) 배리어를 증착하는 단계를 포함한다. 다양한 실시 예들에서, 방법은 또한 기밀 배리어 위에 제 2 유전체 재료를 증착하는 단계를 포함한다. 예를 들어, 일부 실시 예들에서, 탄소 층은 제 2 유전체 재료가 제 1 유전체 층 상에 증착될 때 탄소 층 상의 제 2 유전체 재료의 증착을 억제한다. 일부 실시 예들에서, 제 2 유전체 재료는 금속 옥사이드를 포함한다. 예를 들어, 일부 실시 예들에서, 금속 옥사이드는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드, 또는 이들의 조합들을 포함한다.
다양한 실시 예들에서, 탄소 층은 약 3 개 미만의 단층들 (monolayers) 의 두께로 증착된다.
다양한 실시 예들에서, 제 1 유전체 층은 로우-k (low-k) 유전체 재료를 포함한다.
또 다른 양태는 비아를 갖는 제 1 유전체 층; 비아의 측벽들을 컨포멀하게 라이닝하는 (conformally line) 라이너 층; 비아의 라이너 층 위에 형성된 구리 재료로서, 구리 재료는 제 1 유전체 층의 평면형 표면에 평면형인 노출된 코발트-프리 (free) 구리 표면을 갖는, 구리 재료; 제 1 유전체 층에 대해 노출된 코발트-프리 구리 표면 직상에 (directly on) 선택적으로 형성되고 플라즈마에 대한 노출에 의해 처리되는 탄소 캡; 탄소 캡 위의 기밀 배리어; 및 기밀성 배리어 위에 형성된 제 2 유전체 층을 포함하는, 반도체 디바이스를 수반한다.
다양한 실시 예들에서, 제 2 유전체 층은 금속 옥사이드를 포함한다. 예를 들어, 일부 실시 예들에서, 금속 옥사이드는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드, 또는 이들의 조합들을 포함한다.
다양한 실시 예들에서, 탄소 캡은 약 3 개 미만의 단층들의 두께로 증착된다.
다양한 실시 예들에서, 탄소 캡은 sp2 하이브리드 탄소 (hybridized carbon) 를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 일부 구현 예들에 따라 상부에 그래핀이 증착된 금속 표면을 갖는 예시적인 기판의 단면 개략도를 예시한다.
도 2는 일부 구현 예들에 따른 듀얼 다마신 구조체의 선택적인 그래핀 막 및 유전체 층을 갖는 예시적인 반도체 디바이스의 단면 개략도를 도시한다.
도 3은 구리 직상에 형성된 그래핀 캡을 갖는 듀얼 다마신 구리 상호 접속 스킴을 도시한다.
도 4a 내지 도 4e는 일부 구현 예들에 따라 그래핀을 사용하는 선택적인 증착 프로세스의 단면 개략도들을 도시한다.
도 5는 일부 구현 예들에 따라 기판의 금속 표면 상에 그래핀을 증착하는 예시적인 방법의 프로세스 흐름도이다.
도 6은 일부 구현 예들에 따라 기판의 금속 표면 상에 그래핀을 증착하는 예시적인 방법의 흐름도이다.
도 7은 일부 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 8은 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도를 예시한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로 (partially fabricated integrated circuit)"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
구리 상호 접속부들의 스케일링 한계가 대안적인 금속들의 개발을 유도하지만, Cu 다마신 스킴들 (schemes) 의 확장은 로직 칩 산업에서 선호된다. Cu 연장의 과제는 제조 가능성 및 신뢰성을 잃지 않고 라인 저항 (Line R) 의 감소이다.
반도체 적용 예들에서 탄소 층들 및/또는 탄소 캡들을 형성하는 것에 대한 관심이 증가하고 있다. 탄소 층들 및/또는 탄소 캡들은 탄소-함유 층들, 원소 탄소, 그래핀 (graphene), 및 순수 탄소 재료들을 포함한다. 탄소 층들 및/또는 탄소 캡들은 그래핀을 포함할 수도 있고, 또는 적어도 약 10 중량%의 그래핀, 또는 적어도 약 20 중량%의 그래핀, 또는 적어도 약 30 중량%의 그래핀, 또는 적어도 약 40 중량%의 그래핀, 또는 적어도 약 50 중량%의 그래핀, 또는 적어도 약 60 중량%의 그래핀, 또는 적어도 약 70 중량%의 그래핀, 또는 적어도 약 80 중량%의 그래핀, 또는 적어도 약 90 중량%의 그래핀을 가질 수도 있다. 본 명세서에 사용된 바와 같은 "그래핀"은 sp2 및 sp3 하이브리드 탄소 (hybridized carbon) 의 혼합물을 가질 수도 있는 탄소계 재료를 포함한다. "그래핀"은 sp2 결합들만을 갖는 탄소를 포함한다. "그래핀"은 적어도 약 50 % sp2 결합들, 또는 적어도 약 60 % sp2 결합들, 또는 적어도 약 70 % sp2 결합들, 또는 적어도 약 80 % sp2 결합들, 또는 적어도 약 90 % sp2 결합들, 또는 약 100 % sp2 결합들을 갖는 탄소를 포함한다. "그래핀"은 육각형 격자로 배열된 탄소 원자들의 단층 (monolayer) 을 포함한다. "그래핀"은 탄소에 결합된 탄소의 이중층들 (bilayers), 탄소에 결합된 탄소의 삼중층들 (trilayers), 및 이들의 더 두꺼운 변형들을 포함하는, 탄소 원자들에 결합된 탄소 원자들의 하나 이상의 단층들을 포함한다. "그래핀"은 원소 탄소의 단층을 포함한다. 그래핀의 일부 실시 예들이 대부분 sp2 하이브리드 탄소들을 가질 수도 있지만, 일부 실시 예들에서, sp2 및 sp3 하이브리드 탄소들의 혼합물이 존재할 수도 있다는 것이 이해될 것이다. 그래핀은 대부분 탄소를 포함할 수도 있고, 내부에 도펀트들 또는 다른 원자들이 거의 없거나 전혀 없을 수도 있다. 일부 실시 예들에서, 그래핀은 격자 구조를 가질 수도 있다. 일부 실시 예들에서, 그래핀은 100 % 탄소이다. 일부 실시 예들에서, 그래핀은 도펀트-프리 (free) 이다. 일부 실시 예들에서, 그래핀은 수소-프리이다.
그러나, 반도체 집적을 위해 충분한 양들의 그리고 적합한 조건들 하에서 그래핀의 생성과 연관된 많은 과제들이 있다. 많은 생산 방법들은 최소 결함들로 그래핀을 성장시키는 어려움 때문에 낮은 표면 커버리지를 겪는다. 따라서, 대면적 그래핀 막들, 특히 반도체 웨이퍼들 상에 대면적 그래핀 막들을 생성하기 위한 확장성 (scalability) 은 특정한 문제를 제시한다. 그래핀 막들은 열적 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 성장될 수도 있다. 열적 CVD 방법들은 대면적, 고품질 그래핀의 합성을 위해 사용될 수도 있다. 그래핀의 열적 CVD는 상승된 온도들에서 수행될 수도 있고, 이는 특정한 반도체 적용 예들과 양립할 필요는 없을 수도 있다. 그래핀 막 증착은 일반적으로 BEOL 집적 프로세스들에 대해 너무 높은 400 ℃보다 더 높은 온도를 수반한다. 일부 그래핀 증착 프로세스들은 전체적으로 본 명세서에 참조로서 인용된 J. Jiang, Jae H. Chu, 및 K. Banerjee의, IEEE IEDM 2018 - 799의 "CMOS-Compatible Doped-Multilayer-Graphene Interconnect for Next-Generation VLSI"에 기술된다. BEOL은 금속이 웨이퍼 상에 증착된 후 수행된 프로세스 동작들을 지칭할 수도 있는 "back end of line" 프로세싱을 지칭할 수도 있다. 그래핀 증착은 통상적으로 반도체 기술과 양립할 수 없는 상승된 온도들 (800 ℃ 내지 1000 ℃) 에서 열적 CVD에 의해 행해진다. 이러한 고온들은 발전된 반도체 디바이스들 및 현재 BEOL 재료들과 양립하지 못할 수도 있다. 예를 들어, 도펀트들, 금속들의 과잉 확산 및/또는 구조적 손상이 발생할 수도 있다. BEOL 상호 접속부들은 금속 및 로우-k 유전체 라인들을 갖고 금속 라인들 상의 그래핀 증착은 라인 저항을 감소시킬 수 있지만, 이러한 실시 예들을 통합하기 위해, 그래핀의 증착은 로우-k 유전체 재료에 대해 (relative to) 금속 상에 선택적으로 증착된다.
열적 CVD 프로세스는 적어도 2 단계들: 적합한 기판 상에 안정한 고체 막을 형성하기 위한 가스성 전구체들의 활성화 및 화학적 반응을 수반한다. 열적 CVD에서, 가스성 전구체들의 활성화는 열적 분해에 의해 발생할 수 있다. 상승된 온도들에서, 탄화수소 전구체들은 열적으로 분해되고 기판 표면 상에 흡착된다. 탄화수소 라디칼들은 화학적으로 반응성이고 기판 표면과 상호 작용할 수도 있다. 기판 표면은 그래핀의 핵 생성 (nucleation) 및 성장을 위한 촉매로서 작용하는 금속 표면일 수도 있다. 어떠한 이론에도 제한되지 않고, 촉매 금속 표면은 탄소 원자들이 다른 탄소 원자들과 결합할 수 있도록 탄화수소 라디칼들을 탈수소화할 수도 있어서, 그래핀의 핵 생성 및 성장을 촉진한다. 구리와 같은 다양한 전이 금속들이 그래핀의 핵 생성 및 성장을 위한 촉매들로서 인식되었다. 구리 와이어들 상의 그래핀 캡핑은 라인 저항을 감소시키고 전자마이그레이션 및/또는 시간-종속 유전체 파괴 (electromigration and/or time-dependent dielectric breakdown; EM/TDDB) 신뢰성을 개선하기 위한 잠재적인 해결책들 중 하나일 수도 있고, 이는 R. Mehta, S. Chugh, 및 Z. Chen의 "Enhanced Electrical and Thermal Conduction in Graphene-Encapsulated Copper Nanowires", Nano Lett. 2015, 15, 3, 2024-2030; L. Li 및 H.-S. Philip Wong, "Integrating Graphene into Future Generations of Interconnect Wires" 2018 IEEE 국제 전자 장치 회의 (IEDM); 및 L. Li, Z Thu, T. Wang, J.A. Currivan-Incorvia, A. Yoon 및 H.-S. Philip Wong, "BEOL Compatible Graphene/Cu with Improved Electromigration Lifetime for Future Interconnects", 2015 IEEE 국제 전자 디바이스 회의 (IEDM) 에 기술되고, 이는 전체가 본 명세서에 참조로서 인용된다.
탄화수소 종의 활성화 및 그래핀 성장은 그래핀이 성장되는 금속 표면 및 온도와 같은 인자들에 종속될 수 있다. 또한, 그래핀 성장은 금속 표면에 대한 탄소 용해도에 종속될 수 있다. 금속이 고 탄소 용해도를 갖는다면, 탄소는 금속에 더 쉽게 용해되고 금속 표면에 침전되는 경향이 있다. 이는 일반적으로 복수의 핵 생성 사이트들 및 금속 표면 상의 예측할 수 없는 양의 분리된 (segregate) 탄소로 인해 덜 균일한 그래핀 층들 및 더 미세 구조적 결함들을 야기한다. 예를 들어, 니켈 기판들은 고 탄소 용해도를 갖고 통상적으로 저품질 그래핀 또는 무질서한 탄소의 복수의 층들을 야기한다. 금속이 저 탄소 용해도를 갖는다면, 탄소는 금속에 덜 쉽게 용해되고 이는 금속 표면 상의 탄소 원자들의 광범위한 표면 마이그레이션 (migration) 및 벌크 금속 내로 최소 확산을 발생시킨다. 이는 일반적으로 더 제어된 성장으로 인해 더 균일한 그래핀 층들 및 더 적은 미세 구조적 결함들을 야기한다. 예를 들어, 구리 기판들은 저 탄소 용해도를 갖고 고품질 그래핀의 에피택셜 성장을 발생시킨다. 고품질 그래핀은 단일 층, 이중층, 또는 소수 층 그래핀 막으로서 성장될 수도 있다.
PECVD (plasma-enhanced chemical vapor deposition) 는 그래핀을 증착하는 또 다른 방법이다. 열적 CVD 방법들은 열적 분해에 의해 탄화수소 전구체들을 활성화시키는 반면, PECVD 방법들은 탄화수소 전구체들의 이온화, 여기 및 해리를 유발하는 플라즈마에 의해 생성된 에너자이징된 (energize) 전자들을 수반한다. 플라즈마는 인 시츄로 (in situ) 또는 리모트로 형성될 수도 있다. 탄화수소 전구체들 (예를 들어, 메탄) 은 플라즈마에서 활성화될 수도 있고 기판은 플라즈마에 노출된다. 플라즈마는 RF (radio-frequency) 플라즈마 소스, 마이크로파 (microwave; MW) 플라즈마 소스, 표면파 (surface wave; SW) 플라즈마 소스, 또는 리모트 플라즈마 소스를 사용하여 생성될 수도 있다. 일부 실시 예들에서, 플라즈마는 용량 결합 플라즈마를 사용하여 생성된다. 일부 실시 예들에서, 플라즈마는 유도 결합 플라즈마를 사용하여 생성된다. 예로서, 분자 수소 및 메탄 가스가 반응 챔버 내에 도입될 수도 있고 직접 RF 플라즈마가 기판 상의 그래핀 성장을 촉진하도록 점화될 수도 있다. PECVD를 사용하여, 일부 PECVD 방법들에서 그래핀 성장은 열적 CVD 방법들과 비교하여 더 낮은 온도들에서 수행될 수도 있다. 더욱이, 일부 PECVD 방법들에서 그래핀 성장은 유전체 재료들과 같은 비-금속 기판들 상에서 달성될 수도 있다. 즉, 플라즈마-기반 방법들은 금속 촉매들의 부재 시 그래핀을 증착할 수도 있다. 플라즈마-기반 방법들은 더 낮은 온도들에서 그리고 금속 촉매들의 보조 없이 그래핀을 증착할 수도 있다.
도 1은 일부 구현 예들에 따라 상부에 그래핀이 증착된 금속 표면을 갖는 예시적인 기판들의 2 개의 단면 개략도들을 예시한다. 두 구조체들 (150-1 및 150-2) 에 대해, 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크피스일 수 있다. 일부 구현 예들에서, 기판 (100) 은 실리콘 (Si) 기판과 같은 반도체 기판이다.
구조체 (150-1) 에서, 기판 (100) 은 금속 표면 (101a) 을 포함할 수 있다. 이하에 논의된 바와 같이, 금속 표면 (101a) 은 또한 온도 민감성 (temperature sensitive) 하부층으로 지칭될 수 있다. 일부 구현 예들에서, 금속 표면 (101a) 은 전이 금속과 같은 임의의 적절한 금속을 포함할 수 있다. 예를 들어, 금속 표면 (101a) 은 구리 (Cu), 루테늄 (Ru), 니켈 (Ni), 몰리브덴 (Mo), 코발트 (Co), 또는 이들의 조합들을 포함할 수 있다. 그래핀 막 (102) 이 금속 표면 (101a) 상에 증착될 수 있다.
일부 구현 예들에서, 기판 (100) 의 금속 표면 (101a) 상에 그래핀 막 (102) 을 증착하는 것은 리모트 수소 플라즈마 CVD 및 탄소-함유 전구체의 도입에 의해 달성될 수도 있다. 탄소-함유 전구체는 리모트 수소 플라즈마에 의해 활성화될 수도 있다. 일부 다른 구현 예들에서, 기판 (100) 의 금속 표면 (101a) 상에 그래핀 막 (102) 을 증착하는 것은 열적 CVD 또는 PECVD와 같은 임의의 적합한 증착 기법을 사용하여 달성될 수도 있다. 리모트 수소 플라즈마 CVD 방법은 BEOL (back end of line) 반도체 프로세싱과 같은 반도체 프로세싱과 양립할 수 있는 저온에서 그래핀 막 (102) 을 증착할 수도 있다. 일부 구현 예들에서, 그래핀 막 (102) 은 약 200 ℃ 내지 약 500 ℃, 또는 약 500 ℃ 이하 (below), 약 450 ℃ 이하, 약 400 ℃ 이하, 약 350 ℃ 이하, 약 300 ℃ 이하, 또는 약 200 ℃ 내지 약 400 ℃의 온도에서 증착될 수도 있다.
리모트 수소 플라즈마 CVD를 사용하여 그래핀 막 (102) 을 증착할 때, 탄화수소 전구체는 기판 (100) 의 금속 표면 (101a) 으로 흐르고 수소 라디칼들은 탄화수소 전구체 플로우의 업스트림에서 리모트 플라즈마 소스에서 생성된다. 수소 라디칼들은 리모트 플라즈마 소스로부터 다운스트림의 탄화수소 전구체를 활성화시키기 위해 탄화수소 전구체와 상호 작용하고, 활성화된 탄화수소 전구체는 그래핀 막 (102) 으로 하여금 증착되게 하도록 금속 표면 (101a) 과 상호 작용한다. 일부 구현 예들에서, 탄화수소 전구체는 알켄기 또는 알킨기를 포함한다.
본 개시의 일부 구현 예들에서, 구조체 (150-2) 에서와 같이, 기판 (100) 은 온도 민감성 하부층 또는 구조 (101b) 를 포함할 수 있다. 본 명세서에서 온도 민감성 하부층에 대한 언급들은 또한 온도 민감성 구조를 포함할 수도 있다. 온도 민감성 하부층 (101b) 은 상한 온도 한계를 가질 수도 있다. 온도 민감성 하부층 (101b) 의 온도 한계 이상으로, 온도 민감성 하부층 (101b) 은 용융될 수도 있고 또는 의도된 위치로부터 이동할 수도 있고 그렇지 않으면 바람직하지 않게 변화될 수도 있다. 온도 한계는 온도 민감성 하부층 (101b) 의 많은 재료들에 대해 적어도 약 200 ℃ 내지 적어도 약 400 ℃일 수도 있다. 구조체의 다른 층들은 또한 온도 한계들을 가질 수도 있다. 예를 들어, 일부 실시 예들에서, 로우-k 유전체가 구조체 내에 존재할 수도 있고 약 500 ℃의 상한 온도를 가질 수도 있다. 일부 실시 예들에서, 구조적 손상은 상이한 재료들을 상이한 열 팽창 계수들과 결합할 때 발생할 수도 있다. 예시적인 구조적 손상은 균열 (cracking), 이동, 또는 박리를 포함하지만 이로 제한되지 않는다.
일부 열적 CVD 방법들 및 일부 종래의 플라즈마-기반 CVD 방법들은 온도 민감성 하부층 (101b) 의 온도 민감성 한계를 초과할 수도 있다. 온도 민감성 하부층 (101b) 의 예들은 전이 금속들을 포함할 수 있다. 일부 구현 예들에서, 그래핀 막 (102) 이 온도 민감성 하부층 (101b) 상에 증착된다. 일부 구현 예들에서, 그래핀 막 (102) 은 용융되지 않거나 그렇지 않으면 온도 민감성 하부층 (101b) 을 물리적으로 손상시키지 않는 충분히 낮은 온도들에서 증착된다. 기판 (100) 은 반도체 웨이퍼 또는 반도체 워크피스일 수도 있다. 따라서, 그래핀 막 (102) 은 기판 (100) 상에 대면적 그래핀 막으로서 증착될 수도 있다.
일부 구현 예들에서, 그래핀 막 (102) 은 리모트 수소 플라즈마 CVD를 사용하여 증착된다. 본 명세서에 사용된 바와 같이, 용어 "리모트"는 일반적으로 플라즈마로부터 기판의 리모트성 (remoteness) 을 지칭할 수도 있다. 본 명세서에 사용된 바와 같이, "리모트 플라즈마"는 플라즈마 생성이 기판으로부터 리모트의 위치에서 발생하는 플라즈마를 지칭할 수도 있다. 여기서, 리모트 수소 플라즈마는 수소 라디칼들을 함유할 수도 있지만 탄소 라디칼들을 함유하지 않는다. 대신, 탄소 라디칼들은 리모트 플라즈마 소스로부터 다운스트림에 생성된다. 이는 일부 구현 예들의 "리모트 플라즈마"에서, 전구체 가스가 플라즈마-생성 영역 내로 도입되지 않는다는 것을 의미한다. 탄화수소 전구체들은 반응 챔버 내로 독립적으로 흐르고 리모트 플라즈마 소스로부터 생성된 수소 라디칼들에 의해 활성화된다. 더욱이, 발생되는 탄소 라디칼들은 알켄기 또는 알킨기를 함유하는 탄화수소 전구체들로부터 생성된다. 일부 실시 예들에서, 예를 들어, 실리콘 웨이퍼들 상에서 증착이 발생하지 않는다. 일부 실시 예들에서, 그래핀은 특정한 금속들 상에 증착된다. 리모트 수소 플라즈마 CVD 방법을 사용할 때, 그래핀 증착은 금속 표면들 상에 선택적으로 증착된다. 그래핀은 유전체 표면 또는 다른 비-금속 표면에 증착되지 않는다. 그래핀은 탄탈룸 나이트라이드와 같은 배리어 재료 상에 증착되지 않는다. 리모트 수소 플라즈마 CVD 방법은 반도체 적용 예들에 적합한 저온들에서 고품질 그래핀 막을 증착할 수 있는 예시적인 방법이다. 예를 들어, 고품질 그래핀 막은 다마신 구조체 또는 듀얼 다마신 구조체에서 배리어 층으로서 역할할 (serve) 수 있다. 또한, 고품질 그래핀은 금속 표면의 상단부 상의 캡핑 층으로서 역할을 할 수 있고 전자 산란을 감소시킬 수 있다. 그러나, 고품질 그래핀 막이 완전히 정렬된 비아들의 제조와 같은, 광범위한 산업적 적용 예들에서 사용될 수도 있다는 것이 이해될 것이다.
도 2는 일부 구현 예들에 따른 듀얼 다마신 구조체의 그래핀 막 및 유전체 층을 갖는 예시적인 반도체 디바이스의 단면 개략도를 도시한다. 반도체 디바이스 (200) 는 제 1 유전체 층 (210) 및 제 1 유전체 층 (210) 내에 형성된 제 1 금속 층 (220A) 을 포함한다. 반도체 디바이스 (200) 는 제 1 유전체 층 (210) 내에 형성된 이웃하는 제 1 금속 층 (220B) 을 더 포함할 수도 있고, 여기서 제 1 금속 층 (220A) 은 이웃하는 제 1 금속 층 (220B) 과 콘택트하지 않고 이웃하는 제 1 금속 층 (220B) 에 인접하다. 제 1 금속 층 (220A) 및 이웃하는 제 1 금속 층 (220B) 각각은 제 1 배리어 층 (222) 으로 라이닝된다. 제 1 배리어 층 (222) 은 제 1 금속 층 (220A) 과 제 1 유전체 층 (210) 사이뿐만 아니라 이웃하는 제 1 금속 층 (220B) 과 제 1 유전체 층 (210) 사이의 계면에서 확산 배리어 층 및/또는 라이너 층을 제공할 수도 있다.
일부 구현 예들에서, 제 1 금속 층 (220A) 및 이웃하는 제 1 금속 층 (220B) 각각은 구리, 코발트, 루테늄, 니켈, 몰리브덴, 또는 이들의 조합들을 포함한다. 예를 들어, 제 1 금속층 (220A) 및 이웃하는 제 1 금속층 (220B) 각각은 구리를 포함한다. 일부 구현 예들에서, 제 1 유전체 층 (210) 은 실리콘 옥사이드 또는 도핑된 실리콘 카바이드와 같은 임의의 적합한 유전체 재료를 포함한다.
반도체 디바이스 (200) 는 제 1 금속층 (220A) 의 노출된 표면 상에 형성된 선택적인 그래핀 막 (232) 을 더 포함한다. 선택적인 그래핀 막 (232) 은 제 1 유전체 층 (210) 에 대해 제 1 금속 층 (220A) 상에 선택적으로 증착된다. 일부 구현 예들에서, 선택적인 그래핀 막 (232) 은 또한 이웃하는 제 1 금속 층 (220B) 의 노출된 표면 상에 형성된다. 선택적인 그래핀 막 (232) 은 약 3 Å 내지 약 20 Å 또는 약 5 Å 내지 약 10 Å의 두께를 가질 수도 있다. 선택적인 그래핀 막 (232) 은 반도체 디바이스 (200) 를 향해 하나 이상의 탄화수소 전구체들을 흘리고, 수소 소스 가스로부터 리모트 플라즈마 소스에서 수소의 라디칼들을 생성하며, 반도체 디바이스 (200) 를 향해 수소의 라디칼들을 도입함으로써, 제 1 금속 층 (220A) 의 상단 표면 상에 증착되고, 수소의 라디칼들은 하나 이상의 탄화수소 전구체들로부터 업스트림으로 도입되고, 수소의 라디칼들은 선택적인 그래핀 막 (232) 을 증착하도록 적어도 제 1 금속 층 (220A) 에 인접한 분위기에서 하나 이상의 탄화수소 전구체들과 반응한다. 하나 이상의 탄화수소 전구체들 각각은 알켄기 또는 알킨기를 포함할 수도 있다. 일부 예들에서, 수소 소스 가스는 약 1 % 내지 약 25 % 수소 또는 약 1 % 내지 약 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다. 선택적인 그래핀 막 (232) 은 낮은 증착 온도에서 증착되고, 여기서 낮은 증착 온도는 약 200 ℃ 내지 약 600 ℃, 또는 약 200 ℃ 내지 약 400 ℃, 또는 약 250 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃일 수도 있다.
반도체 디바이스 (200) 는 제 1 유전체 층 (210) 의 상단 표면 상에 형성된 유전체 층 (225) 을 더 포함한다. 유전체 층 (225) 은 제 1 유전체 층 (210) 상에 증착된다. 일부 실시 예들에서, 유전체 층 (225) 은 제 1 금속 층 (220A) 및 이웃하는 제 1 금속 층 (220B) 에 대해 제 1 유전체 층 (210) 상에 선택적으로 증착된다. 유전체 층 (225) 은 약 1 ㎚ 내지 약 10 ㎚의 두께를 가질 수도 있다. 일부 구현 예들에서, 유전체 층 (225) 은 실리콘 옥시나이트라이드, 실리콘 옥시카바이드, 또는 실리콘 옥시카보나이트라이드와 같은 로우-k 유전체 재료를 포함한다. 일부 구현 예들에서, 유전체 층 (225) 은 리모트 수소 플라즈마 CVD와 같은 비-직접 플라즈마 증착 기법을 사용하여 제 1 유전체 층 (210) 상에 증착된다.
일부 구현 예들에서, 반도체 디바이스 (200) 는 유전체 층 (225) 및 선택적인 그래핀 막 (232) 위에 에칭 정지 층 (230) 을 더 포함하고, 에칭 정지 층 (230) 은 금속 옥사이드를 포함한다. 일부 실시 예들에서, 유전체 층 (225) 은 금속 옥사이드와 같은 에칭 정지 층일 수도 있다. 일부 실시 예들에서, 에칭 정지 층은 그래핀을 증착하기 전에 이미 증착되었을 수도 있다. 금속 옥사이드들의 예들은 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드, 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 에칭 정지 층 (230) 은 알루미늄 옥사이드를 포함한다. 에칭 정지 층 (230) 은 약 5 Å 내지 약 30 Å의 두께를 가질 수도 있다. 일부 구현 예들에서, 에칭 정지 층 (230) 은 열적 ALD 또는 열적 CVD와 같은 열적 증착 기법을 사용하여 유전체 층 (225) 및 선택적인 그래핀 막 (232) 위에 증착된다.
반도체 디바이스 (200) 는 에칭 정지 층 (230) 위에 제 2 유전체 층 (240) 을 더 포함할 수도 있다. 제 2 유전체 층 (240) 은 로우-k 유전체, 실리콘 옥사이드, 도핑되지 않은 실리콘 카바이드, 도핑된 실리콘 카바이드, 또는 이들의 조합들과 같은 임의의 적합한 유전체 재료를 포함한다. 에칭 정지 층 (230) 은 제 2 유전체 층 (240) 과 상이한 에칭 선택도를 가질 수도 있다. 예를 들어, 에칭 정지 층 (230) 은 제 2 유전체 층 (240) 에 하나 이상의 리세스들이 형성될 때 제 2 유전체 층 (240) 의 에칭 저항의 10 배 이상의 에칭 저항을 가질 수도 있다. 이러한 방식으로, 제 2 유전체 층 (240) 을 통한 에칭은 선택적인 그래핀 막 (232) 의 에칭을 발생시키지 않는다. 유전체 층 (225) 은 에칭 정지 층 (230) 과 상이한 에칭 선택도를 가질 수도 있다.
리세스들 또는 개구부들이 제 2 유전체 층 (240) 을 통해 형성되고 비아 (260) 및 비아 (260) 위에 제 2 금속 층 (270) 을 형성하도록 전기적으로 전도성 재료로 충진된다. 제 2 금속 층 (270) 은 제 1 금속 층 (220A) 위에 포지셔닝되고, 비아 (260) 는 선택적인 그래핀 막 (232) 과 제 2 금속 층 (270) 사이에 포지셔닝된다. 비아 (260) 는 제 1 금속 층 (220A) 과 제 2 금속 층 (270) 사이에 전기적 상호 접속을 제공한다. 비아 (260) 및 제 2 금속 층 (270) 은 제 2 배리어 층 (262) 으로 라이닝될 수도 있다. 제 2 배리어 층 (262) 은 비아 (260) 와 제 2 유전체 층 (240) 사이뿐만 아니라 이웃하는 제 2 금속 층 (270) 과 제 2 유전체 층 (240) 사이의 계면에서 확산 배리어 층 및/또는 라이너 층을 제공할 수도 있다. 일부 구현 예들에서, 비아 (260) 및 제 2 금속 층 (270) 각각은 구리, 코발트, 루테늄, 니켈, 몰리브덴, 또는 이들의 조합들을 포함한다. 예를 들어, 비아 (260) 및 제 2 금속층 (270) 각각은 구리를 포함한다.
도 2에 도시된 바와 같이, 선택적인 그래핀 막 (232) 은 비아 (260) 와 제 1 금속 층 (220A) 사이의 계면에 포지셔닝된다. 선택적인 그래핀 막 (232) 은 유전체 층 (225) 이 제 1 금속 층 (220A) 및 이웃하는 제 1 금속 층 (220B) 에 대해 제 1 유전체 층 (210) 상에 증착되도록 억제제로서 역할한다. 선택적인 그래핀 막 (232) 은 유전체 층 (225) 이 증착된 후 제거되지 않는다. 선택적인 그래핀 막 (232) 은 감소된 전자 산란 때문에 비아 (260) 에서 전기 저항을 낮춘다. 유전체 층 (225) 은 비아 (260) 가 완전히 정렬된 비아임을 보장하고, 유전체 층 (225) 은 비아 (260) 와 이웃하는 제 1 금속 층 (220B) 사이에 부가적인 간격을 제공한다.
구리 직상에 형성된 그래핀 캡을 갖는 구리 상호 접속부들을 형성하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 특정한 실시 예들은 탄화수소 전구체의 리모트 플라즈마 활성화를 사용하여, BEOL 양립 가능 온도들 (예컨대 약 400 ℃ 이하) 에서 구리와 같은 금속 기판들 상의 그래핀의 증착에 관한 것이다. 특정한 개시된 실시 예들은 BEOL 구리 구조체들 또는 상호 접속부들 내로 그래핀 혼입에 특히 적합하다.
특정한 개시된 실시 예들은 미래의 기술 노드들의 스케일링된 금속 임계 치수 (critical dimension; CD) 에서 예컨대 5 ㎚을 넘어서 그래핀-캡핑된 구리 (graphene-capped copper) 상호 접속부들의 우수한 성능 (전자마이그레이션 (EM) 및 라인 저항) 을 갖는 (약 400 ℃ 미만일 수도 있는) BEOL-적합성 온도 범위에서 그래핀 캡 형성에 관한 것이다. 에칭 정지 층 (etch stop layer; ESL) 이 그래핀 품질을 손상시키지 않고 그래핀의 상단 또는 위에 증착될 수도 있다. 일부 실시 예들에서, 그래핀-캡핑된 구리 듀얼 다마신 상호 접속부들은 약 15 ㎚ 미만 또는 약 15 ㎚의 CD 범위를 갖는 웨이퍼들 상에 통합될 수도 있다.
하나 이상의 그래핀 성장 층들이 특정한 개시된 실시 예들을 사용하여 구리 직상에 형성될 수도 있다. 그래핀 성장은 리모트 플라즈마를 사용하여 다운스트림에서 탄화수소들 (예컨대 알켄들 및 알킨들) 의 활성화를 수반한다. 특정한 개시된 실시 예들은 저온에서 그래핀 성장을 허용하도록 표면 준비, 플라즈마, 및 탄소 라디칼들의 생성을 수반한다. 표면 준비는 후속하는 플라즈마로 인해 성장 표면 상의 손상을 감소시키거나 제거한다. 다양한 실시 예들에서, 리모트 플라즈마는 라디칼들에 의한 탄화수소 전구체의 활성화를 사용하여 구리 및 코발트 상의 그래핀에 대해 사용된다.
도 3은 상부에 그래핀이 증착된 예시적인 구조체를 도시한다. 구조체는 구리 표면의 상단부 상에 그래핀 캡을 갖는 구리 상호 접속부를 포함한다. 일부 실시 예들에서, 구리 상의 성장은 표면-매개될 (surface-mediate) 수도 있다. 일부 실시 예들에서, 구리 상의 그래핀의 증착은 고품질 그래핀 막들을 발생시킬 수도 있다. 예를 들어, 구리 상에 증착된 그래핀은 모두 sp2 하이브리드된 탄소를 포함할 수도 있다. 특정한 이론에 얽매이지 않고, 구리의 전자 구성이 전자에 의해 더 용이하게 안정화되어, 그래핀 막 내에 실질적으로 모든 또는 대부분 sp2 하이브리드 탄소를 형성하는 한편, 코발트의 전자 구성은 4 개의 나머지, 덜 안정한 전자들을 발생시키기 때문에, 구리 상의 그래핀 증착은 더 우수한 품질을 발생시킨다고 여겨진다.
다양한 실시 예들에서, 그래핀의 증착 동안 온도는 약 1000 ℃ 미만 또는 약 400 ℃ 미만일 수도 있다.
듀얼 다마신에 그래핀의 통합
본 명세서에 기술된 특정한 실시 예들에서, 약 1 내지 2 개의 그래핀 층들이 구리 직상에 증착될 수도 있다. 일부 실시 예들에서, 그래핀은 약 200 ℃ 내지 약 400 ℃의 온도를 사용하여 증착된다. 다양한 실시 예들에서, 그래핀 증착은 균일하거나 컨포멀하다 (conformal).
특정한 개시된 실시 예들은 그래핀으로 하여금 노출된 유전체 상에서가 아니라 금속 라인 상에서 선택적으로 성장하게 한다. 특정한 개시된 실시 예들은 유전체에 대해 비-코발트 금속 상에 선택적으로 그래핀의 증착을 허용한다. 다양한 실시 예들에서, 성장은 자기-제한적이다 (self-limiting). 예를 들어, 일부 실시 예들에서, 증착 두께는 약 3 개의 단층들 또는 약 2 개의 단층들일 수도 있다. 특정한 개시된 실시 예들은 금속에 인접한 로우-k 재료에 대한 손상을 최소화하면서 그래핀을 증착한다.
본 명세서의 특정한 실시 예들은 그래핀의 선택적인 증착을 수반한다. 그래핀은 유전체 표면들에 대해 금속 표면들 상에 선택적으로 증착될 수도 있다. 그래핀은 유전체 표면들에 대해 코발트-프리 금속 표면들 상에 선택적으로 증착될 수도 있다. 일부 실시 예들에서, 그래핀은 금속 표면들 상의 증착을 억제하는 동안 유전체 표면들 상의 재료들의 선택적인 증착을 촉진하는 억제제로서 작용한다. 그래핀 막들은 일반적으로 상승된 온도들에서 안정하다. 금속 표면들 상에 증착된 그래핀 막들이 감소된 전자 산란으로 인해 금속 라인들의 효과적인 저항률을 낮출 수도 있기 때문에 그래핀 막들이 반도체 집적 동안 통합될 수도 있다. 일부 구현 예들에서, 그래핀 막들은 반도체 제작 적용 예들에서 후속 제거를 필요로 하지 않는다. 그러나, 일부 다른 구현 예들에서, 그래핀은 유전체 재료의 선택적인 증착 후에 제거될 수도 있고, 후속하는 증착 동작들은 어디에서나 발생할 수도 있다.
도 4a는 금속 층 (402) 에 인접한 유전체 층 (404) 을 포함하는 예시적인 반도체 기판 (400) 의 단면 개략도를 예시한다. 일부 구현 예들에서, 금속 층 (402) 은 유전체 층 (404) 내에 형성될 수도 있고, 유전체 층 (404) 은 다마신 구조체 또는 듀얼 다마신 구조체를 위한 층간 유전체일 수도 있다. 리세스는 유전체 층 (404) 을 에칭 쓰루할 (etch through) 수도 있고, 리세스는 적합한 리소그래피 프로세스를 사용하여 패터닝되고 형성될 수도 있다. 리세스는 금속 층 (402) 을 형성하도록 전기적으로 전도성 재료로 충진될 수도 있다. 일부 구현 예들에서, 금속 층 (402) 은 구리, 루테늄, 알루미늄, 니켈, 코발트, 텅스텐, 몰리브덴, 또는 이들의 조합들을 포함한다. 확산 배리어 층 및/또는 라이너 층이 금속 층 (402) 과 유전체 층 (404) 사이에 라이닝될 수도 있다. 확산 배리어 층은 유전체 층 (404) 내로 금속 원자들의 확산을 제한할 수도 있다. 금속 층 (402) 및 유전체 층 (404) 각각은 노출된 상단 표면들을 갖는다.
도 4b는 그래핀 막 (406) 이 금속 층 (402) 상에 선택적으로 증착되는, 도 4a의 반도체 기판 (400) 의 단면 개략도를 예시한다. 그래핀 막 (406) 은 유전체 층 (404) 상에 형성되거나, 배치되거나 (place), 달리 포지셔닝되지 않고 금속 층 (402) 직상에 형성된다. 그래핀 막 (406) 은 고품질 그래핀을 포함할 수도 있고, 여기서 그래핀 막 (406) 은 단일 층 그래핀 막, 이중층 그래핀 막, 또는 소수 층 그래핀 막이다. 그래핀 막 (406) 은 유전체 재료들의 증착 전구체들이 핵 생성할 수도 있는 결함있는 (defective) 사이트들이 없을 수도 있다. 그래핀 막 (406) 의 전기 전도성 특성들은 감소된 전자 산란으로 인해 비아 (미도시) 에 전기적으로 접속될 때 금속 층 (402) 의 유효 저항률을 낮출 수도 있다. 일부 구현 예들에서, 그래핀 막 (406) 은 상기 기술된 리모트 수소 플라즈마 CVD 프로세스를 사용하여 증착될 수도 있다. 일부 구현 예들에서, 그래핀 막 (406) 은 약 200 ℃ 내지 약 300 ℃의 낮은 증착 온도에서 증착될 수도 있다. 일부 구현 예들에서, 그래핀 막 (406) 은 약 3 Å 내지 약 20 Å 또는 약 5 Å 내지 약 10 Å의 두께를 갖는다.
도 4c는 제 1 유전체 재료 (408) 가 유전체 층 (404) 상에 선택적으로 증착되는, 도 4b의 반도체 기판 (400) 의 단면 개략도의 예를 예시한다. 제 1 유전체 재료 (408) 는 그래핀 막 (406) 의 상단 표면 상에 형성되거나, 배치되거나 달리 포지셔닝되지 않고 유전체 층 (404) 상에 증착된다. 그래핀 막 (406) 은 금속 층 (402) 상의 제 1 유전체 재료 (408) 의 증착을 억제한다. 일부 구현 예들에서, 제 1 유전체 재료 (408) 는 그래핀 막 (406) 에 손상을 주지 않는 방식으로 증착될 수도 있다. 일부 구현 예들에서, 제 1 유전체 재료 (408) 는 알루미늄 옥사이드와 같은 금속 옥사이드를 포함할 수도 있고, 금속 옥사이드는 ALD와 같은 열-기반 증착 기법을 사용하여 증착될 수도 있다. 일부 구현 예들에서, 금속 옥사이드는 약 5 Å 내지 약 100 Å, 또는 약 5 Å 내지 약 60 Å의 두께를 가질 수도 있다. 제 1 유전체 재료 (408) 는 에칭 정지 층으로서 역할할 수도 있다. 일부 구현 예들에서, 제 1 유전체 재료 (408) 는 실리콘 옥시카바이드, 실리콘 옥시나이트라이드, 또는 실리콘 옥시카보나이트라이드와 같은 유전체 재료를 포함할 수도 있고, 여기서 유전체 재료는 리모트 수소 플라즈마 CVD와 같은 비-직접 플라즈마 증착 기법에 의해 증착될 수도 있다. 일부 구현 예들에서, 유전체 재료는 약 1 ㎚ 내지 약 10 ㎚의 두께를 가질 수도 있다. 제 1 유전체 재료 (408) 는 완전히 정렬된 패터닝 스킴에서 스페이서 층으로서 역할할 수도 있다. 일부 실시 예들에서, 제 1 유전체 재료 (408) 에 대한 유전체 재료는 약 2.5 내지 약 3.0의 k 값을 갖는 로우-k 재료이다. 일부 실시 예들에서, 제 1 유전체 재료 (408) 를 위한 재료는 또한 유전체 확산 배리어로서 기능할 수도 있는 에칭 정지 재료이다. 에칭 정지 재료는 약 4.0보다 더 큰 k 값을 가질 수도 있다. 일부 실시 예들에서, 이러한 에칭 정지 재료는 로우-k 유전체 재료보다 더 높은 밀도를 가질 수도 있다. 에칭 정지 재료들의 예들은 옥시카바이드들, SiCN, SiOCN, 및 이들의 조합들을 포함한다.
도 4d는 그래핀 막 (406) 이 그래핀 막 (406) 의 표면 개질을 유발하도록 처리 조건들 (410) 에 노출되는, 도 4c의 반도체 기판 (400) 의 단면 개략도를 예시한다. 이는 "작용화 (functionalization)"로 지칭될 수도 있다. 그래핀 막 (406) 의 개질된 표면은 핵 생성을 위한 더 결함있는 사이트들을 특징으로 할 수도 있고, 결함있는 사이트들은 수소-종단된 사이트들 및/또는 하이드록실-종단된 사이트들의 결함있는 사이트들을 포함할 수도 있다. 일부 구현 예들에서, 처리 조건들 (410) 은 리모트 수소 플라즈마와 같은 리모트 플라즈마에 대한 노출을 포함할 수도 있다. 리모트 플라즈마는 부가적으로 또는 대안적으로 산소, 질소, 암모니아, 또는 이들의 조합들을 포함할 수도 있다. 일부 구현 예들에서, 처리 조건들 (410) 은 하나 이상의 증착 동작들에 대한 노출을 포함한다. 충분한 증착 동작들에 걸쳐, 그래핀 막 (406) 의 표면은 결국 작용화되어 그래핀 막 (406) 상에서 핵 생성이 일어날 수 있다. 일부 구현 예들에서, 처리 조건들 (410) 은 그래핀 막 (406) 이 시간이 흐름에 따라 품질이 열화되기에 충분한 지연에 그래핀 막 (406) 을 노출하는 것을 포함한다. 이러한 처리 조건들 (410) 은 예를 들어, 연장된 지속 기간 동안 그래핀 막 (406) 을 에어 브레이크 (air break) 에 노출하는 것을 포함할 수도 있다. 도 4d에 도시되지 않지만, 그래핀 막 (406) 은 개질되기보다는 대안적으로 제거될 수도 있다. 그래핀 막 (406) 을 제거하는 것은 억제제로서 기능하는 그래핀 막 (406) 없이 반도체 기판 (400) 상의 어디에서나 후속하는 증착을 용이하게 할 수도 있다.
도 4e는 제 2 유전체 재료 (412) 가 그래핀 막 (406) 및 제 1 유전체 재료 (408) 위에 증착되는, 도 4d의 반도체 기판 (400) 의 단면 개략도를 예시한다. 그래핀 막 (406) 은 도 4d의 처리 조건들 (410) 에 이어 증착을 촉진하도록 컨디셔닝될 수도 있다. 일부 구현 예들에서, 제 2 유전체 재료 (412) 는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드, 또는 이들의 조합들과 같은 금속 옥사이드를 포함한다. 금속 옥사이드는 열적 ALD와 같은 열-기반 증착 기법에 의해 증착될 수도 있다. 금속 옥사이드는 에칭 정지 층으로서 역할을 할 수도 있다. 일부 구현 예들에서, 제 2 유전체 재료 (412) 는 실리콘 옥시카바이드, 실리콘 카보나이트라이드, 또는 실리콘 옥시카보나이트라이드와 같은 기밀 배리어를 포함한다. 기밀 배리어는 리모트 수소 플라즈마 CVD와 같은 비-직접 플라즈마 증착 기법에 의해 증착될 수도 있다. 기밀 배리어는 그래핀 막 (406) 을 캡슐화하고 보호하는 역할을 할 수도 있다. 그래핀 막 (406) 이 제거되는 구현 예들에서, 제 2 유전체 재료 (412) 는 임의의 적합한 증착 기법을 사용하여 증착될 수도 있다는 것이 이해될 것이다. 제 2 유전체 재료 (412) 는 금속 층 (402) 및 제 1 유전체 재료 (408) 위에 증착될 수도 있다.
도 5는 일부 구현 예들에 따른 그래핀을 사용한 예시적인 선택적 증착 방법의 흐름도를 예시한다. 프로세스 (500) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (500) 의 동작들은 도 6의 예시적인 선택적 증착 프로세스를 참조하여 기술된다. 프로세스 (500) 의 하나 이상의 동작들은 도 7 및 도 8에 도시된 플라즈마 프로세싱 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (500) 의 동작들은 하나 이상의 비-일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (500) 는 반도체 기판이 제공되는 동작 (510) 을 포함하고, 반도체 기판은 유전체 층 내에 형성된 금속 층을 포함한다. 다양한 실시 예들에서, 반도체 기판은 구리 상호 접속부 또는 코발트-캡핑된 구리 상호 접속부와 같은 부분적으로 제조된 금속 상호 접속부를 갖는다. 금속 층은 노출된 금속 표면을 갖는다. 다양한 실시 예들에서, 금속 층은 구리, 또는 코발트를 포함한다.
반도체 기판은 유전체 재료, 전도성 재료 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 상부에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 유전체 층은 약 4의 k 값을 가질 수도 있다. 일부 실시 예들에서, 유전체 층은 실리콘 옥사이드 또는 도핑된 실리콘 카바이드와 같은 로우-k 유전체 재료일 수도 있다. 로우-k 유전체 재료들은 약 4.0 이하의 유전 상수를 가질 수도 있다. 일부 구현 예들에서, 유전체 층은 불소-도핑되거나 탄소-도핑된 실리콘 옥사이드와 같은 ULK (ultralow-k) 유전체 재료일 수도 있다. ULK 유전체 재료들은 약 2.5 이하의 유전 상수를 가질 수도 있다.
일부 구현 예들에서, 금속 층은 금속화 스킴의 금속화 층일 수도 있고, 금속 층은 구리, 루테늄, 알루미늄, 니켈, 코발트, 텅스텐, 몰리브덴, 또는 이들의 조합들과 같은 임의의 적합한 전기 전도성 재료를 포함할 수도 있다. 일부 구현 예들에서, 금속 층은 금속 층 상의 그래핀의 증착 전에 처리될 수도 있고, 처리는 적어도 금속 층을 폴리싱하고 그리고/또는 불순물들을 제거하도록 역할할 수도 있다. 예를 들어, 금속 층의 노출된 금속 표면은 금속 옥사이드들 및 탄소 잔류물들을 환원시키기 위해 환원제에 노출될 수도 있다. 일부 실시 예들에서, 금속 층은 구리이다.
일부 구현 예들에서, 배리어 층이 금속 층과 유전체 층 사이에 형성된다. 배리어 층은 전이 금속 나이트라이드일 수도 있다. 배리어 층은 일부 실시 예들에서 금속 및 금속 나이트라이드 재료의 혼합물일 수도 있다. 일부 실시 예들에서, 배리어 층은 탄탈룸-함유 층이다. 일부 실시 예들에서, 배리어 층은 탄탈룸 나이트라이드이다. 일부 실시 예들에서, 배리어 층은 탄탈룸 금속과 탄탈룸 나이트라이드의 혼합물이다. 일부 실시 예들에서, 배리어 층은 텅스텐-함유 층이다. 배리어 층 재료들의 다른 예들은 텅스텐 및 텅스텐 나이트라이드를 포함한다.
도 5를 다시 참조하면, 프로세스 (500) 는 그래핀이 노출된 금속 표면 상에 선택적으로 증착되는 동작 (520) 을 포함한다. 다양한 실시 예들에서, 그래핀은 노출된 구리 표면 직상에 선택적으로 증착된다. 그래핀은 유전체 표면들을 포함하는 다른 표면들에 대해 노출된 금속 표면 상에 선택적으로 증착된다. 일부 구현 예들에서, 그래핀은 리모트 수소 플라즈마 CVD 프로세스, 열적 CVD 프로세스, PECVD 프로세스, 또는 또 다른 적합한 증착 프로세스를 사용하여 노출된 금속 표면 상에 선택적으로 증착된다. 예를 들어, 그래핀은 상기 기술된 바와 같이 리모트 수소 플라즈마 CVD 프로세스를 사용하여 노출된 금속 표면 상에 선택적으로 증착될 수도 있다.
일부 구현 예들에서, 노출된 금속 표면 상에 증착된 그래핀은 고품질 그래핀이다. 고품질 그래핀은 대부분 또는 모든 sp2 하이브리드 탄소 원자들을 가질 수도 있다. 고품질 그래핀은 라인 저항을 감소시키고 잠재적으로 전자마이그레이션을 방지하는 것을 보조할 수 있다. 일부 경우들에서, 고품질 그래핀은 나중에 유전체 재료 또는 알루미늄 옥사이드 재료와 같은 후속 막들이 핵 생성될 수 있는 사이트들을 형성하도록 나중에 처리될 수 있다. 이는 또한 고품질 그래핀 상에 수소-종단된 사이트들 또는 하이드록실-종단된 사이트들과 같은 결함있는 사이트들 없이 다양한 전구체들이 그래핀의 표면 상에 핵 생성할 수 없기 때문에, 그래핀 표면들에 대해 비-그래핀 표면들 상에 증착이 형성되도록 다른 재료들의 선택적인 증착을 허용할 수 있다. 예를 들어, 금속 옥사이드들의 ALD 또는 CVD는 이러한 금속 옥사이드들에 대한 전구체들이 고품질 그래핀 상에 흡착할 수 없다면, 고품질 그래핀 상에 핵 생성할 수 없을 수도 있다. 고품질 그래핀은 수소-종단된 사이트들 및 하이드록실-종단된 사이트들이 없거나 실질적으로 없는 것을 특징으로 할 수도 있다. 고품질 그래핀은 라만 스펙트럼에서 G 피크보다 상당히 더 큰 2D 피크, 및 라만 스펙트럼에서 무시할 수 있는 D 피크를 특징으로 할 수도 있다. 일부 구현 예들에서, 2D 피크는 라만 스펙트럼의 G 피크보다 적어도 2 배 더 크다.
일부 구현 예들에서, 그래핀은 인접한 유전체 층 상에 증착되지 않고 노출된 금속 표면 상에 선택적으로 증착될 수도 있다. 노출된 금속 표면 상에 그래핀을 선택적으로 증착하는 단계는 하나 이상의 탄화수소 전구체들을 반응 챔버 내로 그리고 반도체 기판을 향해 흘리는 단계, 수소 소스 가스로부터 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계, 및 수소 라디칼들을 반응 챔버 내로 그리고 반도체 기판을 향해 도입하는 단계를 포함할 수도 있고, 수소 라디칼들은 노출된 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응한다. 하나 이상의 탄화수소 전구체들은 수소 라디칼들로부터 다운스트림에 제공된다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 알켄기 또는 알킨기를 포함한다. 이러한 타입의 증착 프로세스는 증착 동안 라디칼들의 활용이 표면 구조에 대한 손상을 감소시키고 제거하고 이 증착이 수행될 수 있는 온도를 감소시키기 때문에 BEOL 구조에 대한 손상을 방지한다. 예를 들어, 이러한 증착은 약 400 ℃ 미만의 온도에서 수행될 수도 있다.
그래핀은 반도체 기판이 그래핀의 선택적인 증착 동안 반도체 프로세싱 온도 한계보다 더 낮은 증착 온도로 유지되는 조건들 하에서 증착될 수도 있다. 일부 구현 예들에서, 반도체 프로세싱 온도 한계는 반도체 기판 내의 재료들 또는 컴포넌트들의 온도 한계에 대응할 수도 있다. 예를 들어, 온도 한계는 구리에 대해 약 400 ℃일 수도 있다. 일부 구현 예들에서, 반도체 프로세싱 온도 한계는 약 400 ℃이다. 따라서, 증착 온도는 약 400 ℃ 미만, 약 350 ℃ 미만, 약 300 ℃ 미만, 약 200 ℃ 내지 약 400 ℃ 또는 약 200 ℃ 내지 약 300 ℃일 수도 있다. 더 높은 온도들은 그래핀의 품질을 감소시킬 수도 있다. 그래핀은 그래핀이 핵 생성 지연을 유발하도록 조건들 하에서 증착되고 프로세싱될 수도 있다. 증착 온도는 그래핀의 특성들에 영향을 줄뿐만 아니라 증착 시간, 전구체 플로우 레이트, 및 다른 파라미터들이 그래핀의 특성들에 영향을 줄 수 있다. 일반적으로 말하면, 더 짧은 증착 시간들 및 더 높은 전구체 플로우 레이트들은 개선된 핵 생성 지연을 갖는 그래핀을 제공할 수 있다.
도 5를 다시 참조하면, 프로세스 (500) 의 동작 (540) 에서, 그래핀은 그래핀의 표면을 개질하기 충분한 지속 기간 동안 비-직접 플라즈마 또는 처리 조건들로 선택 가능하게 (optionally) 처리될 수도 있다. 그래핀의 표면은 그래핀 상의 후속 증착을 촉진하도록 개질될 수도 있다. 표면은 그래핀 위의 유전체의 핵 생성을 용이하게 하도록 개질될 수도 있다. 예를 들어, 일부 실시 예들에서, 처리는 그래핀 상에서 핵 생성이 발생할 수도 있도록 그래핀의 표면을 작용화한다.
일부 구현 예들에서, 처리는 비-직접 플라즈마로 그래핀을 노출하는 것을 포함한다. 그래핀을 직접 플라즈마 또는 인 시츄 플라즈마에 노출시키는 것은 그래핀을 에칭하거나 그래핀 결정 구조를 파괴하여 비정질 (disorganized) 탄소 또는 비정질 (amorphous) 탄소를 형성한다. 비-직접 플라즈마 또는 리모트 플라즈마에 그래핀을 노출시키는 것은 그래핀을 에칭하지 않고 그래핀의 표면을 작용화할 수도 있다. 일부 구현 예들에서, 비-직접 플라즈마는 수소 라디칼들을 포함하는 리모트 수소 플라즈마 (예를 들어, H2 플라즈마) 일 수도 있다. 일부 구현 예들에서, 비-직접 플라즈마는 산소, 아산화질소, 산화 질소, 이산화탄소, 일산화탄소, 물, 오존, 과산화물, 암모니아, 질소, 또는 이들의 조합들의 라디칼들과 혼합된 수소의 라디칼들을 포함하는 리모트 플라즈마 (예를 들어, H2/O2 플라즈마) 일 수도 있다. 반도체 기판은 비-직접 플라즈마에 대한 노출 동안 저 처리 온도로 유지될 수도 있다. 일부 구현 예들에서, 처리 온도는 약 20 ℃ 내지 약 400 ℃ 또는 약 20 ℃ 내지 약 200 ℃일 수도 있다. 저 처리 온도에서의 비-직접 플라즈마에 대한 노출 후, 그래핀의 표면은 그래핀 상의 후속하는 재료 증착의 성장 및 핵 생성을 촉진하기 위해 수소-종단된 사이트들 또는 하이드록실-종단된 사이트들과 같은 결함있는 사이트들을 가질 수도 있다. 일부 구현 예들에서, 동작 (540) 에서의 처리 및 동작 (530) 에서의 선택적인 유전체 증착은 동작 (530) 및 동작 (540) 에서의 동작들 사이에 진공 브레이크가 도입되지 않도록 동일한 반응 챔버 또는 툴에서 수행될 수도 있다.
일부 구현 예들에서, 처리는 충분한 지속 기간 동안 처리 조건들 하에서 그래핀을 노출하는 것을 포함한다. 처리 조건들은 연장된 지속 기간 동안 그래핀을 하나 이상의 가스들에 노출시키는 것을 포함할 수도 있다. 하나 이상의 가스들은 수소 및 산소 중 하나 또는 모두를 포함할 수도 있다. 예를 들어, 그래핀은 에어 브레이크와 함께 대기 조건들에 노출될 수도 있다. 어떠한 이론에도 제한되지 않고, 에어 브레이크는 산소 및/또는 물 분자들로 하여금 그래핀의 표면을 작용화하게 할 수도 있다. 일부 구현 예들에서, 처리 조건들은 대기압 (760 Torr) 이하에 대한 노출, 공기에 대한 노출, 및 대략 실온 (약 15 ℃ 내지 약 25 ℃) 에 대한 노출을 포함할 수도 있다. 적어도 약 2 분, 적어도 약 5 분, 적어도 약 10 분, 또는 적어도 약 15 분의 연장된 지속 기간은 그래핀의 표면을 적절히 작용화하기 충분한 지속 기간이다. 일부 구현 예들에서, 처리 조건들은 하나 이상의 증착 동작들을 포함한다. 그래핀의 표면은 유전체 층 상에 유전체 재료를 선택적으로 증착한 후 적어도 부분적으로 작용화될 수도 있다. 게다가, 그래핀의 표면은 반도체 기판 상에서 부가적인 증착 동작들을 수행한 후 더 작용화될 수도 있다. 연장된 시간에 걸쳐 또는 충분한 증착 동작들 후에, 수소-종단된 사이트들 및/또는 하이드록실-종단된 사이트들의 충분한 결함있는 사이트들이 그래핀 상의 후속하는 재료 증착의 성장 및 핵 생성을 촉진하도록 그래핀의 표면 상에 형성될 수도 있다.
일부 구현 예들에서, 처리 조건들은 그래핀 상의 초박층의 후속 증착을 허용하고, 여기서 초박층은 그래핀 상의 후속하는 재료 증착을 촉진한다. 예를 들어, 이러한 초박층은 CVD에 의해 증착된 알루미늄 옥사이드 자체를 포함할 수 있다. 일부 실시 예들에서, 초박층은 실리콘 카보나이트라이드, 실리콘 옥시카바이드, 또는 실리콘 나이트라이드를 포함할 수 있다.
도 5를 다시 참조하면, 프로세스 (500) 는 열-기반 증착 기법에 의해 유전체 재료를 증착하는 단계를 더 포함할 수도 있다. 금속 옥사이드의 두께는 약 5 Å 내지 약 100 Å 또는 약 5 Å 내지 약 60 Å일 수 있다. 대안적으로, 프로세스 (500) 는 비-직접 플라즈마 증착 기법에 의해 기밀 배리어를 증착하는 단계를 더 포함할 수도 있다. 기밀 배리어의 두께는 약 5 Å 내지 약 100 Å일 수도 있다. 금속 옥사이드 또는 기밀 배리어는 그래핀의 개질된 표면 및 그래핀이 온전하게 남아 있는 유전체 층 상에 증착될 수도 있다. 일부 실시 예들에서, 이러한 동작들 동안, 그래핀 층은 유전체의 증착 동안 특정한 프로세싱 조건들에 대한 노출로 인해 두께가 감소될 수도 있지만, 두께의 감소는 머시롬화 (mushrooming) 또는 오버행을 유발하지 않는다.
일부 구현 예들에서, 유전체는 열적 ALD, 열적 CVD, 또는 물리적 기상 증착 (physical vapor deposition; PVD) 에 의해 증착된다. 유전체의 증착은 반도체 프로세싱 온도 한계 이하의 온도에서 발생할 수도 있다. 다양한 실시 예들에서, 유전체는 금속 옥사이드이다. 일부 예들에서, 금속 옥사이드의 증착은 하부 그래핀의 결정질 특성들을 개선할 수도 있다. 금속 옥사이드는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드, 또는 이들의 조합들을 포함할 수도 있다. 예를 들어, 금속 옥사이드는 알루미늄 옥사이드를 포함한다. 알루미늄 옥사이드의 증착은 트리메틸 알루미늄 (trimethyl aluminum; TMA) 과 같은 알루미늄-함유 전구체의 도즈를 도입하고 반도체 기판을 오존 또는 물과 같은 산화제에 노출함으로써 열적 ALD에 의해 발생할 수도 있다. 금속 옥사이드는 에칭 정지부로서 역할을 할 수도 있다. 금속 옥사이드는 잠재적으로 손상을 주는 플라즈마들에 대해 그래핀을 위한 보호 층으로서 부가적으로 또는 대안적으로 역할을 할 수도 있다. 일부 구현 예들에서, 유전체 층 상에 선택적으로 증착된 유전체 재료가 로우-k 유전체 재료이고, 금속 옥사이드는 로우-k 유전체 재료 및 그래핀 상에 또는 로우-k 유전체 재료 및 금속 층 상에 증착된다. 금속 옥사이드는 로우-k 유전체 재료와 상이한 에칭 선택도를 갖고, 로우-k 유전체 재료의 두께는 금속 옥사이드의 두께보다 적어도 2 배 더 크다.
일부 구현 예들에서, 그래핀 상의 금속 옥사이드의 증착은 기밀 배리어의 증착이 이어질 수도 있다. 기밀 배리어는 비-직접 플라즈마 증착 기법 및 직접 플라즈마 증착 기법을 포함하는 임의의 적합한 증착 기법에 의해 증착될 수도 있다. 그래핀 위의 금속 옥사이드는 손상을 주는 플라즈마들에 대한 노출로부터 그래핀을 보호할 수도 있다. 따라서, 기밀 배리어는 PECVD 또는 PEALD를 사용하여 증착될 수도 있고, 플라즈마는 인 시츄로 또는 리모트로 생성될 수도 있다.
일부 구현 예들에서, 질소-도핑된 실리콘 카바이드, 산소-도핑된 실리콘 카바이드, 또는 실리콘 나이트라이드와 같은 기밀 배리어가 증착된다. 기밀 배리어가 그래핀 위에 증착되는 경우, 증착은 비-직접 플라즈마 증착 기법에 의해 발생할 수도 있다. 비-직접 플라즈마 증착 기법은 리모트 플라즈마 CVD 기법일 수도 있다. 기밀 배리어 층이 그래핀의 제거 후에 증착되는 경우, 증착은 임의의 적합한 증착 기법을 사용하여 발생할 수도 있다. 기밀 배리어는 에칭 정지부로서 그리고 기밀 배리어로서 역할할 수도 있다. 일부 구현 예들에서, 기밀 배리어는 그래핀의 막 특성들에 부정적으로 영향을 줄 수도 있는 주변 분위기의 물, 산소, 및 다른 화학 물질들로부터 그래핀을 시일링함 (seal) 으로써 그래핀에 보호를 제공할 수도 있다.
리모트 플라즈마 CVD 기법에서, 실리콘-함유 전구체는 반응 챔버의 반도체 기판으로 흐르고, 라디칼들은 소스 가스로부터 리모트 플라즈마 소스에서 생성되고, 라디칼들은 반응 챔버 내로 도입되고 반응 챔버 내의 실리콘-함유 전구체와 반응하도록 반도체 기판으로 흘러, 기밀 배리어를 형성한다. 일부 구현 예들에서, 소스 가스는 수소 가스 (H2) 를 포함하고 라디칼들은 수소 라디칼들을 포함한다. 라디칼들은 반도체 기판에 인접한 분위기에서 실리콘-함유 전구체와 반응할 때 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태에 있도록 프로세싱 조건들 하에서 제공된다. 라디칼들은 실리콘-함유 전구체로부터 업스트림의 리모트 플라즈마 소스에서 생성된다. 실리콘-함유 전구체는 실리콘-수소 결합(들) 및/또는 실리콘-실리콘 결합(들), 및 실리콘-탄소 결합(들), 실리콘-질소 결합(들), 및/또는 실리콘-산소 결합(들)을 포함한다. 일부 구현 예들에서, 실리콘-함유 전구체는 탄소-산소 결합들 또는 탄소-질소 결합들을 포함하지 않는다. 실리콘-함유 전구체로부터 업스트림에서 그리고 리모트 플라즈마 소스에서 생성된 라디칼들을 가짐으로써, 반도체 기판은 플라즈마에 직접적으로 노출되지 않는다.
다양한 실시 예들에서, 그래핀-캡핑된 구리, 또는 구리 상의 그래핀-캡핑된 코발트를 갖는 상호 접속부들은 우수한 특성들을 나타낼 수도 있다. 예를 들어, 이러한 상호 접속부들은 그래핀 캡이 없는 구리 상호 접속부들과 비교하여 짧은 고장 시간 (time-to-failure) 을 가질 수도 있다.
다양한 실시 예들에서, 구리 상의 그래핀-캡핑된 코발트에서, 코발트-구리 계면에 탄소가 1 % 미만이거나 전혀 없을 수도 있다.
그래핀 증착
도 6은 일부 구현 예들에 따라 기판의 금속 표면 상에 그래핀을 증착하는 예시적인 방법의 흐름도를 예시한다. 프로세스 (600) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (600) 의 동작들은 도 5의 동작 (520) 동안 수행된다. 프로세스 (600) 의 동작들은 도 7에 도시된 플라즈마 프로세싱 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (600) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (600) 의 동작 (610) 에서, 기판의 금속 표면은 그래핀을 증착하기 전에 선택 가능하게 처리될 수도 있다. 그래핀 증착은 그래핀이 성장하는 금속 표면의 평활도 (smoothness) 및 순도에 종속될 수 있다. 기판을 폴리싱하고 불순물들을 제거하기 위해 표면 준비 기법들 (surface preparation techniques) 이 금속 표면 상에 적용될 수도 있다. 기판을 폴리싱하는 단계는 일부 구현 예들에서 가벼운 에칭에 의해 수행될 수도 있다. 불순물들의 제거는 예를 들어, 금속 옥사이드들을 제거하는 화학적 처리에 의해 수행될 수도 있다. 불순물들의 제거는 부가적으로 또는 대안적으로 CMP (chemical mechanical planarization) 프로세스들로부터 잔류물들 또는 오염 물질들의 제거를 수반할 수도 있다. 일부 구현 예들에서, 금속 표면의 처리는 임의의 확산 배리어 증착, 에칭 정지 증착, 또는 기밀 (hermetic) 배리어 증착 전에 발생할 수도 있다.
일부 구현 예들에서, 기판의 금속 표면을 처리하는 단계는 금속 표면을 환원 가스 종의 플라즈마에 노출하는 단계를 포함할 수 있다. 금속 표면의 처리는 적어도 플라즈마에 대한 노출에 의한 불순물들의 제거 및/또는 금속 옥사이드들의 환원을 포함할 수 있다. 일부 구현 예들에서, 플라즈마는 환원 가스 종의 이온들 및 라디칼들을 포함할 수 있다. 환원 가스 종은 예를 들어, 수소 가스 (H2), 암모니아 (NH3), 또는 이들의 조합들을 포함할 수 있다. 따라서, 금속 표면은 H2 플라즈마, NH3 플라즈마, 또는 H2/NH3 플라즈마에 의해 처리될 수도 있다. 플라즈마는 직접 (인 시츄) 플라즈마 또는 리모트 플라즈마일 수도 있다. 일부 구현 예들에서, 금속 표면을 환원 가스 종의 플라즈마에 노출시키는 단계는 금속 표면을 리모트 수소 플라즈마에 노출하는 단계를 포함한다.
일부 구현 예들에서, 금속 표면을 처리하는 단계는 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 더 포함한다. 일부 다른 구현 예들에서, 금속 표면을 처리하는 단계는 금속 표면을 환원 가스 종에 노출하는 단계에 대한 대안으로서 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 포함한다. 시아노계 라디칼 종은 그래핀 성장 전에 금속 표면을 평활화하기 위해 가벼운 에칭을 수행할 수도 있다. 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원 가스 종의 플라즈마에 노출하기 전 또는 후에 발생할 수 있다. 이는 다단계 전처리 프로세스로 지칭될 수 있다. 다단계 전처리 프로세스, 또는 다단계 전처리 프로세스의 적어도 일부 단계들은 그래핀을 증착하기 위한 플라즈마 프로세싱 장치와 동일하거나 상이한 장치에서 수행될 수도 있다. 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원 가스 종의 플라즈마에 노출하는 것과 동시에 발생할 수 있다. 이는 단일 단계 전처리 프로세스로 지칭될 수 있다. 단일 단계 전처리 프로세스는 그래핀을 증착하기 위한 플라즈마 프로세싱 장치와 동일하거나 상이한 장치에서 수행될 수도 있다.
다단계 전처리 프로세스에서, 시아노계 라디칼 종은 플라즈마를 점화함으로써 생성될 수도 있고, 플라즈마는 직접 (인 시츄) 플라즈마 또는 리모트 플라즈마일 수도 있다. 시아노계 라디칼 종은 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스를 함유하는 가스 혼합물로부터 또는 탄소-질소 (CN) 결합을 갖는 전구체를 함유하는 가스 혼합물로부터 생성될 수도 있다. 따라서, 금속 표면을 처리하는 단계는 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스로부터 또는 탄소-질소 결합을 갖는 전구체로부터 시아노계 라디칼 종을 함유하는 플라즈마를 생성하는 단계를 더 포함할 수 있다. 예를 들어, 탄화수소 전구체, 질소 가스 및 수소 가스의 가스 혼합물이 플라즈마 생성기로 공급될 수도 있고, 가스 혼합물의 플라즈마는 시아노계 라디칼 종을 형성하도록 점화될 수도 있다.
단일 단계 전처리 프로세스에서, 시아노계 라디칼 종은 다운스트림 탄소-함유 전구체를 활성화함으로써 생성될 수도 있다. 다운스트림 탄소-함유 전구체의 활성화는 환원 가스 종의 플라즈마에 의한 표면 전처리와 동시에 이루어진다. 이러한 예들에서, 리모트 플라즈마 소스는 다운스트림 탄소-함유 전구체의 업스트림에 포지셔닝되고, 환원 가스 종의 플라즈마는 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 다운스트림 탄소-함유 전구체는 탄화수소 전구체일 수도 있다. 따라서, 다운스트림 탄소-함유 전구체는 그래핀을 증착하는데 사용된 탄화수소 전구체와 화학적으로 동일하거나 상이할 수도 있다. 이러한 경우들에서, 환원 가스 종의 플라즈마는 환원 가스 종 및 질소-함유제의 플라즈마이다. 예를 들어, 환원 가스 종은 수소 가스를 포함할 수 있다. 질소-함유제는 질소 가스를 포함할 수 있다. 따라서, 환원 가스 종 및 질소-함유제의 플라즈마는 리모트 H2 및 N2 플라즈마일 수 있다. 환원 가스 종의 농도는 플라즈마 내의 질소-함유제의 농도보다 더 클 수도 있다. 어떠한 이론에도 제한되지 않고, 질소-함유제의 이온들/라디칼들은 시아노계 라디칼 종을 형성하기 위해 다운스트림 탄소-함유 전구체와 상호 작용한다고 여겨진다. 시아노계 라디칼 종은 금속 표면을 평활화하기 위해 가벼운 에칭을 수행할 수 있고 환원 가스 종의 플라즈마는 금속 표면 상의 금속으로 금속 옥사이드들을 환원시킬 수 있다. 일부 다른 구현 예들에서, 다운스트림 탄소-함유 전구체는 하나 이상의 CN 결합들을 함유하는 전구체 가스일 수도 있다. 이러한 전구체는 환원 가스 종의 플라즈마에 의해 활성화될 수도 있고, 환원 가스 종의 플라즈마는 리모트 플라즈마 소스의 업스트림에서 생성된 리모트 플라즈마이다. 일부 예들에서, 환원 가스 종의 플라즈마는 리모트 수소 플라즈마이다. 어떠한 이론에도 제한되지 않고, 수소의 이온들/라디칼들은 시아노계 라디칼 종을 형성하기 위해 하나 이상의 CN 결합들을 갖는 다운스트림 탄소-함유 전구체와 상호 작용한다고 여겨진다.
동작 (610) 에서의 처리 동작이 다단계 전처리 프로세스 및 단일 단계 전처리 프로세스의 관점에서 기술될 수도 있지만, 금속 표면의 전처리는 이러한 기법들로 제한되지 않는다는 것이 이해될 것이다. 기판의 금속 표면은 당업계에 공지된 임의의 적합한 표면 준비 기법을 사용하여 그래핀 증착 전에 전처리될 수도 있다.
프로세스 (600) 의 동작 (620) 에서, 기판은 반응 챔버 내에 제공되고, 기판은 금속 표면을 포함한다. 일부 구현 예들에서, 기판은 동작 (610) 에서 처리 동안 반응 챔버 내에 이미 제공되었을 수도 있다. 기판은 반도체 적용 예들에서 사용되는 반도체 기판일 수도 있다. 금속 표면은 전이 금속과 같은 임의의 적절한 금속을 포함할 수 있다. 예를 들어, 금속 표면은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들을 포함할 수 있다. 일부 실시 예들에서, 금속 표면은 코발트-프리이다. 일부 실시 예들에서, 금속 표면은 구리이다. 금속 표면은 그래핀 핵 생성 및 성장을 촉진하기 위한 촉매로서 역할을 할 수 있다. 그래핀의 증착은 금속 표면의 특정한 금속에 선택적일 수도 있다. 달리 말하면, 그래핀의 증착은 유전체 표면들 또는 다른 비-금속 표면들 상에서 발생하지 않을 수도 있다.
반응 챔버는 기판을 지지하기 위한 기판 지지부 또는 페데스탈을 포함할 수도 있다. 리모트 플라즈마 소스는 샤워헤드를 통해 반응 챔버에 유체로 커플링될 (fluidly couple) 수도 있다. 기판의 금속 표면은 리모트 플라즈마 소스를 향할 수도 있다. 전구체 가스 라인은 하나 이상의 가스 유출구들을 통해 반응 챔버에 개별적으로 유체로 커플링될 수도 있다. 하나 이상의 가스 유출구들은 리모트 플라즈마 소스로부터 다운스트림에 위치될 수도 있다. 하나 이상의 가스 유출구들은 탄화수소 전구체들을 반응 챔버 내로 전달할 수도 있고 리모트 플라즈마 소스는 반응 챔버 내로 전달을 위해 수소 라디칼들을 생성할 수도 있다.
프로세스 (600) 의 동작 (630) 에서, 하나 이상의 탄화수소 전구체들이 반응 챔버 내로 그리고 기판을 향해 흐른다. 하나 이상의 탄화수소 전구체들 각각은 알켄기 또는 알킨기를 포함한다. 이는 탄화수소 전구체들이 하나 이상의 탄소-대-탄소 이중 결합들 및/또는 탄소-대-탄소 삼중 결합들과 같은 하나 이상의 불포화 탄소 결합들을 포함한다는 것을 의미한다. 알켄기 또는 알킨기를 갖는 탄화수소 전구체들의 예들은 이로 제한되는 것은 아니지만 톨루엔, 벤젠, 에틸렌, 프로필렌, 부텐, 펜타디엔 (예를 들어, 1,4 펜타디엔), 헥센, 아세틸렌, 프로핀, 부틴, 또는 펜틴을 포함한다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 적어도 2 개의 탄소 원자들, 적어도 3 개의 탄소 원자들, 적어도 4 개의 탄소 원자들, 적어도 5 개의 탄소 원자들, 적어도 6 개의 탄소 원자들, 또는 적어도 7 개의 탄소 원자들을 포함할 수도 있다.
하나 이상의 탄화수소 전구체들은 반응 챔버에 유체로 커플링된 하나 이상의 가스 유출구들을 통해 반응 챔버 내로 흐를 수도 있다. 하나 이상의 가스 유출구들은 리모트 플라즈마 소스로부터 다운스트림에 포지셔닝된다. 하나 이상의 탄화수소 전구체들의 플라즈마는 반응 챔버 또는 리모트 플라즈마 소스에서 생성되지 않는다. 오히려, 하나 이상의 탄화수소 전구체들은 리모트 플라즈마 소스에서 생성된 플라즈마와 독립적으로 반응 챔버 내로 흐른다.
하나 이상의 탄화수소 전구체들은 금속 표면 상에 흡착하도록 기판을 향해 흐르거나 적어도 기판의 금속 표면에 인접한 분위기에 포지셔닝된다. 일부 실시 예들에서, 하나 이상의 탄화수소 전구체들은 기판을 향해 흐르고 구리 표면 상에 바로 흡착하거나 적어도 기판의 금속 표면에 인접한 분위기에 포지셔닝된다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 동작 (640) 및 동작 (650) 에 기술된 바와 같이 플라즈마 생성 및 플라즈마 노출과 동시에 반응 챔버 내로 흐른다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 동작 (640) 및 동작 (650) 에 기술된 바와 같이 플라즈마 생성 및 플라즈마 노출 전에 반응 챔버 내로 흐른다.
일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 다른 종, 특히 캐리어 가스와 함께 기판의 금속 표면에 인접한 분위기로 전달된다. 증착 반응 표면으로부터 업스트림에서, 하나 이상의 탄화수소 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은 아르곤 (Ar) 및 헬륨 (He) 을 포함하지만 이로 제한되지 않는다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 복수의 탄화수소 전구체들의 혼합물로서 전달된다. 복수의 탄화수소 전구체들은 발생되는 그래핀 내에 1 차 백본 또는 매트릭스를 형성하기에 적절하게 등몰 (equimolar) 또는 상대적으로 유사한 비율들로 존재할 수도 있다. 다른 구현 예들에서, 복수의 탄화수소 전구체들의 상대적인 양들은 등몰성으로부터 실질적으로 치우친다 (skew).
프로세스 (600) 의 동작 (640) 에서, 수소 라디칼들은 하나 이상의 탄화수소 전구체들의 업스트림에 포지셔닝된 리모트 플라즈마 소스에서 수소 소스 가스로부터 생성된다. 구체적으로, 수소 라디칼들은 반응 챔버 내로 하나 이상의 탄화수소 전구체들을 도입하기 위해 하나 이상의 가스 유출구들로부터 업스트림인 리모트 플라즈마 소스에서 생성된다. 리모트 플라즈마 소스는 유도 커플링 플라즈마 소스 또는 용량 커플링 플라즈마 소스와 같은 플라즈마 생성을 위한 임의의 적합한 플라즈마 소스일 수도 있다. 일부 구현 예들에서, 수소 소스 가스는 수소 가스 (H2) 이다. 일부 구현 예들에서, 수소 가스는 헬륨 (He) 과 같은 하나 이상의 부가적인 가스들과 함께 리모트 플라즈마 소스 내로 흐른다. 특정한 실시 예들에서, 수소 소스 가스는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 약 25 % 수소 또는 약 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다. 따라서, 일부 예들에서, H2/He 플라즈마는 리모트 플라즈마 소스에서 생성된다.
프로세스 (600) 의 동작 (650) 에서, 수소 라디칼들은 반응 챔버 내로 그리고 기판을 향해 도입되고, 수소 라디칼들은 기판의 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응한다. 수소의 라디칼들은 여기된 라디칼들이 재결합하지 않고 완화된 (relax) 라디칼들로 전이하도록 프로세스 조건들 하에서 반응 챔버 내로 전달된다. 압력, 헬륨과 같은 캐리어 가스의 분획 (fraction), 샤워헤드의 가스 포트들의 기하 구조, 샤워헤드와 하나 이상의 가스 유출구들 사이의 거리, 및 다른 프로세스 조건들은 수소 원자들이 재결합하지 않고 저 에너지 상태 (예를 들어, 바닥 상태) 의 라디칼들로서 기판과 직면하도록 구성된다. 일부 구현 예들에서, 기판에 인접한 분위기의 수소의 라디칼들 모두 또는 실질적으로 모두는 바닥 상태의 수소 라디칼들이다. 이러한 방식으로, 기판은 표면 성장 손상을 최소화하는 리모트 수소 플라즈마에 노출된다.
일단 생성되면, 수소의 라디칼들은 여기된 에너지 상태일 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 라디칼들은 그래핀 성장 동안 표면 성장 손상을 유발할 수도 있다. 일부 구현 예들에서, 여기된 수소 원자 라디칼들이 에너지를 잃거나 완화될 (relax) 때, 여기된 수소 원자 라디칼은 실질적으로 저 에너지 상태 수소 라디칼 또는 바닥 상태 수소 라디칼이 될 수도 있다. 일부 구현 예들에서, 프로세스 조건들은 여기된 수소 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 라디칼들을 형성하도록 에너지를 잃거나 완화되도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들은 리모트 플라즈마 소스로부터 기판으로 확산하는 수소 라디칼들의 체류 시간이 여기된 수소 라디칼의 에너제틱 완화 시간 (energetic relaxation time) 보다 더 길도록 설계될 수도 있다. 여기된 수소 원자 라디칼에 대한 에너제틱 완화 시간은 약 1x10-3 초 이하일 수 있다. 여기된 수소 라디칼들이 바닥 상태 수소 라디칼들을 형성하기 위해 완화 상태로 에너지를 잃도록 제어되는 다른 프로세스 조건들은 이로 제한되는 것은 아니지만, 압력, 가스 플로우 레이트들, 완화 존의 사이즈 및 기하 구조, 샤워헤드 내의 가스 포트들의 사이즈 및 기하 구조, 및 불활성 캐리어 가스에 대한 수소 소스 가스의 상대적인 농도들을 포함한다.
기판의 금속 표면에 인접한 분위기는 하나 이상의 탄화수소 전구체들을 포함할 수도 있다. 이에 더하여, 기판의 금속 표면에 인접한 분위기는 저 에너지 상태 (예를 들어, 바닥 상태) 의 수소 라디칼들을 포함할 수도 있다. 기판의 금속 표면에 인접한 분위기는 금속 표면뿐만 아니라 기판의 노출된 표면 바로 위의 공간을 포함한다. 실제로, 저 에너지 상태의 수소 라디칼들에 의한 탄화수소 전구체들의 활성화는 금속 표면 상에서 또는 기판의 금속 표면 위의 거리에서 발생할 수도 있다. 일부 구현 예들에서, 기판의 금속 표면 위의 거리는 기판의 금속 표면 위로 약 100 ㎜까지일 수도 있다. 통상적으로, 기판의 금속 표면에 인접한 분위기의 반응 조건들은 일반적으로 기판의 전체 금속 표면에 걸쳐 균일하지만, 일부 변동이 허용될 수도 있다.
일부 구현 예들에서, 수소 원자 라디칼들의 전부, 또는 실질적으로 전부, 또는 상당한 분획은 바닥 상태에 있을 수 있고, 예를 들어, 기판의 금속 표면에 인접한 수소 원자 라디칼들의 적어도 약 90 % 또는 95 %는 바닥 상태에 있다. 본 명세서에 사용된 바와 같이, 수소 라디칼들은 또한 "수소 라디칼들" 및 "수소 원자 라디칼들"로 지칭될 수도 있다. 수소 원자 라디칼들의 상당한 분획이 바닥 상태에 있는 상태는 다양한 기법들에 의해 달성될 수 있다. 도 7에 기술된 바와 같은 일부 장치들은 이 상태를 달성하도록 설계된다. 바닥 상태의 수소 원자 라디칼들을 달성하기 위한 프로세스 조건들은 바닥 상태 위의 상태들과 같은 고 에너지 상태들의 상당한 양의 이온들, 전자들, 또는 라디칼 종을 갖지 않을 수도 있다. 상당한 양의 이온들 또는 고 에너지 라디칼들의 존재는 기판 상에 표면 성장 손상을 유발할 수도 있고, 이는 저품질 그래핀 또는 무질서한 탄소 성장을 발생시킨다. 일부 구현 예들에서, 기판의 금속 표면에 인접한 분위기의 이온들의 농도는 약 107/㎤ 이하이다. 바닥 상태의 수소 원자 라디칼들은 표면 성장 손상을 제한하기 위해 금속 표면에 인접한 분위기에서 약한 조건들을 제공하면서 하나 이상의 탄화수소 전구체들을 활성화시키기에 충분한 에너지를 제공할 수도 있다.
하나 이상의 탄화수소 전구체들은 수소 라디칼들로부터 다운스트림의 반응 챔버 내로 흐른다. 수소 라디칼들은 하나 이상의 탄화수소 전구체들을 도입하기 위해 하나 이상의 가스 유출구들로부터 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 수소 라디칼들이 하나 이상의 탄화수소 전구체들에 도달할 때까지, 수소 라디칼들은 하나 이상의 탄화수소 전구체들과 혼합하거나 상호 작용할 때 저 에너지 상태 또는 바닥 상태에 있다.
어떠한 이론에도 제한되지 않고, 증착 반응에서 더 동역학적으로 유리한 반응 메커니즘들 중 하나는 활성화된 탄화수소 전구체들을 발생시키는 수소 추출 (abstraction) 을 포함한다. 어떠한 이론에도 제한되지 않고, 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 활성화된 알칸들 (예를 들어, 메탄) 의 형성을 발생시키는 탄화수소 분자의 알킨기 또는 알켄기와 상호 작용할 수도 있다. 일부 예들에서, 탄화수소 전구체는 더 작은 사슬 탄화수소 분자들 또는 라디칼들로 파괴된다. 활성화된 알칸들은 활성 사이트로서 적어도 하나의 탄소 라디칼을 함유하고, 활성 사이트들은 함께 그래핀에서 탄소-대-탄소 결합들을 형성하도록 반응할 수 있다. 활성 사이트들에서의 결합 및 교차-결합은 발생되는 그래핀 막에서 1 차 백본 또는 매트릭스를 형성할 수 있다. 금속 표면은 활성화된 탄화수소 전구체들 사이의 반응들을 촉진하기 위한 촉매로서 작용할 수도 있다.
탄화수소 전구체들은 패시브 관전자들 (passive spectators) 로서 역할을 하지 않지만, 그래핀의 조성에 상당히 기여한다. 일부 구현 예들에서, 그래핀의 실질적으로 모든 또는 상당한 분획의 원자들이 하나 이상의 탄화수소 전구체들에 의해 제공되고, 리모트 수소 플라즈마로부터의 적은 양의 수소 또는 다른 원소는 막 질량의 약 5 원자 퍼센트 미만 또는 약 2 원자 퍼센트 미만을 제공한다. 이러한 경우들에서, 증착 반응을 구동하도록 사용된 저 에너지 수소 원자 라디칼들은 증착된 그래핀의 질량에 실질적으로 기여하지 않는다.
기판의 금속 표면에 인접한 분위기의 온도는 증착 반응을 용이하게 하는 임의의 적합한 온도일 수 있다. 일부 구현 예들에서, 기판의 금속 표면에 인접한 분위기의 온도는 그래핀의 증착 동안 기판이 지지되는 페데스탈의 온도에 의해 대체로 제어될 수 있다. 일부 구현 예들에서, 동작 온도는 약 500 ℃ 이하, 약 450 ℃ 이하, 약 400 ℃ 이하, 약 350 ℃ 이하, 약 300 ℃ 이하, 약 200 ℃ 내지 약 400 ℃, 약 250 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃일 수 있다. 이러한 온도들은 반도체 적용 예들에 적합할 수도 있다. 일부 구현 예들에서, 온도는 그래핀이 증착되는 금속 표면의 금속에 종속될 수도 있다. 예를 들어, 구리는 400 ℃ 이하의 온도들을 견딜 수도 있는 반면, 루테늄은 450 ℃ 이하의 온도들을 견딜 수도 있다.
기판의 금속 표면에 인접한 분위기의 압력은 반응 챔버 내에서 그래핀 성장을 촉진하기 위한 임의의 적합한 압력일 수 있다. 일부 실시 예들에서, 압력은 약 10 Torr 또는 약 5 Torr 이하일 수 있다. 예를 들어, 압력은 약 1 Torr 내지 약 2 Torr일 수 있다.
그래핀은 리모트 플라즈마 소스로부터 다운스트림에 제공된 하나 이상의 탄화수소 전구체들과 수소의 라디칼들의 반응으로부터 금속 표면 상에 선택적으로 증착될 수도 있다. 저 에너지 상태 (예를 들어, 바닥 상태) 의 수소 라디칼들에 의해 제공된 상대적으로 약한 반응 조건들은 탄소 라디칼들을 형성하도록 하나 이상의 탄화수소 전구체들을 활성화시킨다. 이와 같이, 탄소 라디칼들은 플라즈마가 생성되는 리모트 플라즈마 소스의 외부에 형성된다. 기판의 금속 표면에 인접한 분위기에서 탄소 라디칼들의 양은 그래핀 성장을 위해 너무 많은 핵 생성 사이트들을 갖는 것을 제한하도록 제어될 수도 있다. 어떠한 이론에도 제한되지 않고, 과잉 수의 핵 생성 사이트들은 그래핀 성장 동안 과잉 수의 결함들에 대응할 수도 있다.
그래핀은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들과 같은 전이 금속 상에 선택적으로 증착될 수도 있다. 일부 구현 예들에서, 금속 표면은 구리를 포함한다. 일부 구현 예들에서, 금속 표면 상의 그래핀은 상대적으로 얇고 대략 소수의 단층들의 두께일 수도 있다. 그래핀의 일 단층은 sp2 하이브리드 탄소의 일 층을 포함할 수도 있다. 일부 실시 예들에서, 적어도 하나의 그래핀 단층이 증착된다. 일부 구현 예들에서, 그래핀의 두께는 약 10 ㎚ 이하, 약 5 ㎚ 이하, 약 3 ㎚ 이하 또는 약 1 ㎚ 이하이다. 그래핀의 두께는 그래핀이 증착되는 금속 표면에 종속될 수도 있다. 예를 들어, 그래핀의 두께는 구리 상에 증착될 때 약 1 ㎚ 미만일 수도 있다. 그래핀은 단일 층 그래핀, 이중층 그래핀, 또는 소수 층 그래핀일 수도 있다. 그래핀의 라만 스펙트럼은 강도가 무시할 수 있고 G 피크 이상인 2D 피크를 갖는 D 피크를 특징으로 할 수도 있다. D 피크의 강도는 2D 피크 및 G 피크보다 상당히 더 작을 것이라는 것이 이해될 것이다.
일부 구현 예들에서, 프로세스 (600) 는 기판의 금속 표면 상의 그래핀을 어닐링하는 단계를 더 포함할 수도 있다. 그래핀을 어닐링하는 단계는 그래핀 결정 구조로부터 결함들을 제거하기 위해 상승된 온도들에서 발생할 수도 있다. 더 구체적으로, 그래핀을 어닐링하는 단계는 그래핀의 증착 온도보다 더 높은 상승된 온도들에서 발생할 수도 있다. 이는 고품질 그래핀의 형성을 보장한다. 일부 구현 예들에서, 상승된 온도들은 약 200 ℃ 이상, 약 250 ℃ 이상, 약 300 ℃ 이상, 또는 약 400 ℃ 이상일 수도 있다. 예를 들어, 그래핀이 약 250 ℃ 미만의 온도에서 증착된다면, 어닐링은 약 250 ℃보다 더 높은 상승된 온도에서 발생할 수도 있다.
그래핀을 어닐링하는 단계는 그래핀의 증착 온도와 반도체 프로세싱 온도 한계 사이인 온도 범위에서 발생할 수도 있다. 반도체 프로세싱 온도 한계는 기판 내의 재료들 (예를 들어, 금속들) 이 용융되거나 그렇지 않으면 물리적으로 손상되는 온도 민감성 한계일 수도 있다. 예를 들어, 구리의 온도 민감성 한계는 약 400 ℃이고 루테늄의 온도 민감성 한계는 약 450 ℃이다. 어닐링을 위해 상승된 온도는 반도체 기판 내의 금속 및 BEOL (back-end-of-line) 반도체 프로세싱과 양립할 수 있는 온도 한계들에 종속될 수도 있다. 따라서, 어닐링은 그래핀의 증착 온도보다 더 높은 온도에서 발생할 수도 있지만 반도체 프로세싱 온도 한계를 초과하지 않는 온도에서 발생할 수도 있다. 일부 구현 예들에서, 그래핀을 어닐링하기 위한 온도 범위는 약 200 ℃ 내지 약 450 ℃, 약 200 ℃ 내지 약 400 ℃, 약 250 ℃ 내지 약 400 ℃, 또는 약 300 ℃ 내지 약 350 ℃이다.
그래핀을 어닐링하는 단계는 D 피크가 감소되고, 2D 피크와 G 피크 사이의 비가 상승하고, 그리고/또는 G 피크와 D 피크 사이의 비가 상승되는, 감소된 결함들을 갖는 그래핀의 품질에서 상당한 개선을 발생시킬 수도 있다. 앞서 논의된 바와 같이, D 피크를 감소시키는 것은 그래핀의 결정 구조에서 결함들의 제거를 나타낸다. 2D 피크와 G 피크 사이의 비를 상승시키는 것은 무질서한 탄소 또는 비정질 탄소와 반대로 단일 층 그래핀, 이중층 그래핀, 또는 소수 층 그래핀의 존재를 나타낸다. 비가 높을수록 막의 결정도가 높아진다. 예를 들어, 그래핀을 어닐링하는 단계는 2D 피크와 G 피크 사이의 비를 약 1:1에서 약 2:1로 상승시킬 수도 있다. 더욱이, G 피크와 D 피크 사이의 비를 상승시키는 것은 증가된 입자 사이즈를 나타낸다. 어닐링은 그래핀의 평면 구조를 파괴하는 모든 흡착물들 또는 결함들을 제거할 수 있는 한편, 입자 사이즈를 증가시킴으로써 막 품질을 개선한다. 일부 구현 예들에서, 그래핀을 어닐링하는 단계는 공기 또는 불활성 가스 분위기에서 발생하고, 불활성 가스 분위기는 아르곤 (Ar), 헬륨 (He), 질소 (N2), 또는 이들의 조합들과 같은 불활성 가스를 포함한다. 일부 구현 예들에서, 어닐링은 약 30 분 이하, 약 20 분 이하, 약 10 분 이하, 또는 약 5 분 이하인 지속 기간 동안 발생할 수 있다.
그래핀 막들은 보통 어닐링 동작들을 겪지 않는다. 이는 그래핀이 통상적으로 고온들, 예를 들어 약 400 ℃보다 더 높은 온도에서 증착되기 때문이다. 그러나, 그래핀이 저온, 예를 들어, 약 200 ℃ 내지 약 300 ℃에서 증착될 때, 어닐링은 반도체 프로세싱에서 온도 민감성 한계를 초과하지 않고 그래핀 막 품질을 개선하는 중요한 단계일 수도 있다. 즉, 어닐링은 BEOL (back-end-of-line) 열 예산 제약들 내에서 발생한다. 따라서, 어닐링은 반도체 프로세싱 적용 예들에서 그래핀을 통합하는데 중요한 단계일 수도 있다. 일부 구현 예들에서, 어닐링은 그래핀 증착 후 그러나 에칭 정지부, 확산 배리어, 또는 기밀 배리어의 증착 전 및/또는 후에 발생할 수도 있다.
그래핀은 금속 라인들의 유효 저항률을 낮추고 전자마이그레이션 (electromigration) 을 제한할 수도 있다. 그래핀의 저온 증착으로, 그래핀은 BEOL (back-end-of-line) 반도체 프로세싱에서와 같은 반도체 디바이스들을 제작하기 위한 프로세스 플로우에 통합될 수도 있다. BEOL 반도체 프로세싱은 하나 이상의 전도성 비아들을 갖는 금속화 층들 사이에 전기적 상호 접속을 제공하는 단계를 수반할 수도 있다. BEOL 반도체 프로세싱 동안, 그래핀은 금속화 층들 또는 금속 라인들 상에 증착될 수도 있다.
장치
특정한 개시된 실시 예들은 임의의 적합한 프로세싱 챔버에서 수행될 수도 있고, 챔버는 가열 가능한 페데스탈, 샤워헤드, 반응 물질 전달 시스템, 및 프로세싱 챔버로 하나 이상의 가스들을 전달하기 위한 유입구들을 포함한다. 챔버는 단일-웨이퍼 챔버 또는 멀티-스테이션 챔버 내 스테이션 또는 독립형 (standalone) 프로세스 스테이션일 수도 있다. 프로세싱 챔버의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다. 반응 물질 전달 시스템은 선택 가능한 혼합 용기 및 혼합 용기 및/또는 샤워헤드 및/또는 프로세싱 챔버로의 프로세스 가스들의 도입을 제어하는 복수의 유입구 밸브들을 포함할 수도 있다. 일부 실시 예들에서, 기화 지점은 기상 프로세스 가스들을 형성하도록 사용될 수도 있다.
본 개시의 일 양태는 본 명세서에 기술된 그래핀 증착 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 구현 예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접 플라즈마와 비교하여 약한 반응 조건들을 제공한다.
도 7은 일부 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다. 플라즈마 프로세싱 장치 (700) 는 반응 챔버 (704) 로부터 분리된 리모트 플라즈마 소스 (702) 를 포함한다. 리모트 플라즈마 소스 (702) 는 또한 멀티포트 가스 분배기로 지칭될 수도 있는 샤워헤드 (706) 를 통해 반응 챔버 (704) 와 유체로 커플링된다. 라디칼 종은 리모트 플라즈마 소스 (702) 에서 생성되고 반응 챔버 (704) 에 공급된다. 하나 이상의 탄화수소 전구체들은 리모트 플라즈마 소스 (702) 로부터 다운스트림의 그리고 샤워헤드 (706) 로부터 다운스트림의 반응 챔버 (704) 로 공급된다. 하나 이상의 탄화수소 전구체들은 기판 (712) 의 전면 표면 상에 그래핀 막을 증착하도록 반응 챔버 (704) 의 화학적 기상 증착 존 (708) 에서 라디칼 종과 반응한다. 화학적 기상 증착 존 (708) 은 기판 (712) 의 전면 표면이 리모트 플라즈마 소스 (702) 와 대면하는, 기판 (712) 의 전면 표면에 인접한 분위기를 포함한다.
기판 (712) 은 기판 지지부 또는 페데스탈 (714) 상에 지지된다. 페데스탈 (714) 은 화학적 기상 증착 존 (708) 내에 기판 (712) 을 포지셔닝시키도록 반응 챔버 (704) 내에서 이동할 수도 있다. 도 7에 도시된 실시 예에서, 화학적 기상 증착 존 (708) 내에서 상승된 기판 (712) 을 갖는 페데스탈 (714) 이 도시된다. 페데스탈 (714) 은 또한 일부 실시 예들에서 기판 (712) 의 온도를 조정할 수도 있고, 이는 기판 (712) 상의 열적으로 활성화된 표면 반응들에 대한 일부 선택적인 제어를 제공할 수 있다.
도 7은 리모트 플라즈마 소스 (702) 둘레에 배치된 코일 (718) 을 도시하고, 리모트 플라즈마 소스 (702) 는 외측 벽 (예를 들어, 석영 돔) 을 포함한다. 코일 (718) 은 유도 커플링 플라즈마 생성을 통해 플라즈마 영역 (784) 내에서 플라즈마를 형성하고 지속시키도록 사용될 수도 있는 플라즈마 생성기 제어기 (722) 에 전기적으로 커플링된다. 일부 구현 예들에서, 플라즈마 생성기 제어기 (722) 는 코일 (718) 에 전력을 공급하기 위한 전력 공급부를 포함할 수도 있고, 전력은 플라즈마 생성 동안 약 1 내지 6 ㎾ (kilowatts) 의 범위일 수 있다. 일부 구현 예들에서, 병렬 플레이트 또는 용량 커플링 플라즈마 생성을 위한 전극들 또는 안테나는 유도 커플링 플라즈마 생성보다는 플라즈마 여기를 통해 라디칼들의 연속적인 공급을 생성하도록 사용될 수도 있다. 플라즈마 영역 (784) 에서 플라즈마를 점화하고 지속시키기 위해 사용된 메커니즘과 무관하게, 라디칼 종은 막 증착 동안 플라즈마 여기를 사용하여 연속적으로 생성될 수도 있다. 일부 구현 예들에서, 수소 라디칼들은 정상-상태 막 증착 동안 대략 정상-상태 조건들 하에서 생성되지만, 과도 현상들 (transients) 은 막 증착의 시작 및 끝에서 발생할 수도 있다.
수소 라디칼들의 공급은 수소 가스 또는 다른 소스 가스가 리모트 플라즈마 소스 (702) 에 공급되는 동안 플라즈마 영역 (784) 내에서 연속적으로 생성될 수도 있다. 여기된 수소 라디칼들은 리모트 플라즈마 소스 (702) 에서 생성될 수도 있다. 재여기되지 (re-excite) 않거나 에너지가 재공급되지 않거나 다른 라디칼들과 재결합되지 않으면, 여기된 수소 라디칼들은 에너지를 잃거나 완화된다 (relax). 따라서, 여기된 수소 라디칼들은 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들을 형성하도록 완화될 수도 있다. 수소 라디칼들은 실질적으로 저 에너지 상태 또는 바닥 상태에 있다.
수소 가스 (H2) 또는 다른 소스 가스는 하나 이상의 부가적인 가스들로 희석될 수도 있다. 이들 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (702) 에 공급될 수도 있다. 일부 구현 예들에서, 수소 가스 또는 다른 소스 가스는 가스 혼합물을 형성하도록 하나 이상의 부가적인 가스들과 혼합되고, 하나 이상의 부가적인 가스들은 캐리어 가스를 포함할 수 있다. 부가적인 가스들의 비-제한적인 예들은 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크립톤 (Kr), 제논 (Xe), 및 질소 (N2) 를 포함할 수 있다. 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (702) 내에서 정상 상태 플라즈마 조건들을 지지하거나 안정화시킬 수도 있고 또는 과도 플라즈마 점화 또는 소멸 프로세스들을 보조할 수도 있다. 일부 구현 예들에서, 예를 들어, 수소 가스 또는 다른 소스 가스를 헬륨으로 희석하는 것은 수반되는 플라즈마 파괴 (breakdown) 없이 더 높은 총 압력들을 허용할 수도 있다. 달리 말하면, 수소 가스와 헬륨의 희석된 가스 혼합물은 리모트 플라즈마 소스 (702) 로의 플라즈마 전력을 증가시키지 않고 더 높은 총 가스 압력을 허용할 수도 있다. 특정한 실시 예들에서, 수소 가스는 헬륨과 같은 캐리어에 제공된다. 예로서, 수소 가스는 약 1 내지 약 25 % 수소 또는 약 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다.
도 7에 도시된 바와 같이, 소스 가스 공급부 (726) 는 수소 가스 또는 소스 가스를 공급하기 위해 또는 실리콘-함유 가스들 및/또는 산소-함유 가스를 공급하기 위해 배리어 층 상의 억제제 층의 증착을 위해 리모트 플라즈마 소스 (702) 와 유체적으로 커플링된다. 일부 실시 예들에서, 억제제 층의 증착은 그래핀 층의 증착으로부터 별도의 반응 챔버에서 수행된다. 일부 실시 예들에서, 억제제 층의 증착은 반응 챔버 (704) 와 같은 반응 챔버에서 수행되지만, 소스 가스 공급부 (726) 는 억제제 층 증착 가스들을 공급하기 위해 사용되고, 리모트 플라즈마 소스 (702) 는 선택 가능하다. 이에 더하여, 부가적인 가스 공급부 (728) 는 하나 이상의 부가적인 가스들을 공급하기 위해 리모트 플라즈마 소스 (702) 와 유체적으로 커플링된다. 하나 이상의 부가적인 가스들은 또한 공-반응 물질 가스를 포함할 수도 있다. 도 7의 실시 예는 별개의 가스 유출구들을 통해 도입되는 소스 가스와 하나 이상의 부가적인 가스들의 가스 혼합물을 도시하지만, 가스 혼합물은 리모트 플라즈마 소스 (702) 내로 직접 도입될 수도 있다는 것이 이해될 것이다. 즉, 미리 혼합된 희석된 가스 혼합물은 단일 가스 유출구를 통해 리모트 플라즈마 소스 (702) 에 공급될 수도 있다.
여기된 수소 및 헬륨 라디칼들 및 완화된 가스들/라디칼들과 같은 가스들은 리모트 플라즈마 소스 (702) 로부터 그리고 샤워헤드 (706) 를 통해 반응 챔버 (704) 내로 흐른다. 샤워헤드 (706) 내 및 반응 챔버 (704) 내 가스들은 일반적으로 내부에서 계속된 플라즈마 여기를 겪지 않는다. 일부 구현 예들에서, 샤워헤드 (706) 는 이온 필터 및/또는 광자 필터를 포함한다. 이온들 및/또는 광자들을 필터링하는 것은 기판 손상, 분자들의 바람직하지 않은 재여기, 및/또는 반응 챔버 (704) 내 탄화수소 전구체들의 선택적인 파괴 또는 분해를 감소시킬 수도 있다. 샤워헤드 (706) 는 반응 챔버 (704) 내로 가스들의 플로우를 확산시키기 위해 복수의 가스 포트들 (744) 을 가질 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (744) 은 상호 이격될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (744) 은 리모트 플라즈마 소스 (702) 와 반응 챔버 (704) 를 분리하는 플레이트를 통해 연장하는 규칙적으로 이격된 채널들 또는 쓰루-홀들의 어레이로서 배치될 수도 있다. 복수의 가스 포트들 (744) 은 리모트 플라즈마 소스 (702) 로부터 나가는 라디칼들을 반응 챔버 (704) 내로 원활하게 분산시키고 확산시킬 수도 있다.
통상적인 리모트 플라즈마 소스들은 반응 용기들로부터 멀리 떨어져 있다. 결과적으로, 라디칼 소멸 및 재조합, 예를 들어 벽 충돌 이벤트들 (wall collision events) 을 통해, 활성 종을 실질적으로 감소시킬 수도 있다. 반대로, 일부 구현 예들에서, 복수의 가스 포트들 (744) 에 대한 치수들은 반응 챔버 (704) 내로 라디칼들의 자유 통과를 보조하기 위해 통상적인 프로세싱 조건들 하에서 평균 자유 경로 또는 가스 플로우 체류 시간의 관점에서 구성될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (744) 을 위한 개구부들은 샤워헤드 (706) 의 노출된 표면적의 약 5 % 내지 약 20 %를 점유할 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (744) 각각은 약 3:1 내지 10:1 또는 약 6:1 내지 약 8:1의 축 방향 길이 대 직경 비를 가질 수도 있다. 이러한 종횡비들은 복수의 가스 포트들 (744) 을 통과하는 라디칼 종에 대한 벽-충돌 빈도를 감소시킬 수도 있는 한편, 대부분의 여기된 상태 라디칼 종이 바닥 상태 라디칼 종으로 완화되기에 충분한 시간을 제공한다. 일부 구현 예들에서, 복수의 가스 포트들 (744) 의 치수들은 샤워헤드 (706) 를 통과하는 가스들의 체류 시간이 여기된 상태 라디칼 종의 통상적인 에너제틱 완화 시간보다 더 크도록 구성될 수도 있다. 수소 소스 가스에 대한 여기된 상태 라디칼 종은 도 7에서 ·H*로 표시될 수도 있고 그리고 수소 소스 가스에 대한 바닥 상태 라디칼 종은 도 7에서 ·H로 표시될 수도 있다.
일부 구현 예들에서, 복수의 가스 포트들 (744) 을 나가는 여기된 상태 라디칼 종은 반응 챔버 (704) 의 내부 내에 포함된 완화 존 (738) 내로 흐를 수도 있다. 완화 존 (738) 은 화학적 기상 증착 존 (708) 의 업스트림이지만 샤워헤드 (706) 의 다운스트림에 포지셔닝된다. 샤워헤드 (706) 를 나가는 실질적으로 모든 또는 적어도 90 %의 여기된 상태 라디칼 종은 완화 존 (738) 에서 완화된 상태 라디칼 종으로 전이할 것이다. 완화 존 (738) 에 들어가는 거의 모든 여기된 상태 라디칼 종 (예를 들어, 여기된 수소 라디칼들) 은 완화 존 (738) 을 나가기 전에 탈 여기되거나 완화된 상태 라디칼 종 (예를 들어, 바닥 상태 수소 라디칼들) 로 전이된다. 일부 구현 예들에서, 완화 존 (738) 의 프로세스 조건들 또는 기하 구조는 완화 존 (738) 을 통해 흐르는 라디칼 종의 체류 시간, 예를 들어, 평균 자유 경로 및 평균 분자 속도에 의해 결정된 시간이 완화 존 (738) 으로부터 흐르는 완화된 상태 라디칼 종을 발생시키도록 구성될 수도 있다.
샤워헤드 (706) 로부터 완화 존 (738) 으로 라디칼 종의 전달과 함께, 하나 이상의 탄화수소 전구체들이 화학적 기상 증착 존 (708) 내로 도입될 수도 있다. 하나 이상의 탄화수소 전구체들은 가스 분배기 또는 가스 유출구 (742) 를 통해 도입될 수도 있고, 가스 유출구 (742) 는 전구체 공급 소스 (740) 와 유체로 커플링될 수도 있다. 완화 존 (738) 은 샤워헤드 (706) 와 가스 유출구 (742) 사이의 공간 내에 포함될 수도 있다. 가스 유출구 (742) 는 하나 이상의 탄화수소 전구체들의 플로우가 완화 존 (738) 으로부터 흐르는 가스 혼합물과 평행한 방향으로 도입될 수도 있도록 상호 이격된 개구부들을 포함할 수도 있다. 가스 유출구 (742) 는 샤워헤드 (706) 및 완화 존 (738) 으로부터 다운스트림에 위치될 수도 있다. 가스 유출구 (742) 는 화학적 기상 증착 존 (708) 및 기판 (712) 의 업스트림에 위치될 수도 있다. 화학적 기상 증착 존 (708) 은 반응 챔버 (704) 의 내부 내에 그리고 가스 유출구 (742) 와 기판 (712) 사이에 위치된다.
하나 이상의 탄화수소 전구체들의 실질적으로 모든 플로우는 샤워헤드 (706) 에 인접한 여기된 상태 라디칼 종과 혼합되는 것이 방지될 수도 있다. 완화되거나 바닥 상태 라디칼 종은 기판 (712) 에 인접한 영역에서 하나 이상의 탄화수소 전구체들과 혼합된다. 화학적 기상 증착 존 (708) 은 완화되거나 바닥 상태 라디칼 종이 하나 이상의 탄화수소 전구체들과 혼합되는 기판 (712) 에 인접한 영역을 포함한다. 완화 상태 또는 바닥 상태 라디칼 종은 그래핀의 CVD 형성 동안 가스상 (gas phase) 의 하나 이상의 탄화수소 전구체들과 혼합된다.
일부 구현 예들에서, 공-반응 물질은 샤워헤드 (706) 로부터 도입될 수도 있고 리모트 플라즈마 소스 (702) 에서 생성된 라디칼 종과 함께 그리고 반응 챔버 (704) 내로 흐를 수도 있다. 이는 리모트 플라즈마 소스 (702) 에 제공된 공-반응 물질 가스의 라디칼들 및/또는 이온들을 포함할 수도 있다. 공-반응 물질은 부가적인 가스 공급부 (728) 로부터 공급될 수도 있다. 일부 구현 예들에서, 공-반응 물질은 질소 가스 (N2) 와 같은 질소-함유제를 포함할 수도 있다. 예를 들어, 질소의 라디칼들 및/또는 이온들은 기판 (712) 의 금속 표면의 전처리 동안 수소의 라디칼 종과 함께 생성되고 흐를 수도 있다.
가스 유출구 (742) 는 하나 이상의 탄화수소 전구체들의 역 확산 또는 역 스트리밍을 방지하도록 충분한 거리만큼 샤워헤드 (706) 로부터 분리될 수도 있다. 이는 수소 라디칼 종이 여기된 상태로부터 완화된 상태 (예를 들어, 바닥 상태) 로 전이하기에 충분한 시간을 제공할 수 있다. 일부 구현 예들에서, 가스 유출구 (742) 는 복수의 가스 포트들 (744) 로부터 약 0.5 인치 내지 약 5 인치, 또는 약 1.5 인치 내지 약 4.5 인치, 또는 약 1.5 인치 내지 약 3 인치의 거리로 분리될 수도 있다.
프로세스 가스들은 펌프 (미도시) 에 유체로 커플링되는 유출구 (748) 를 통해 반응 챔버 (704) 로부터 제거될 수도 있다. 따라서, 과잉의 탄화수소 전구체들, 공-반응 물질들, 라디칼 종, 및 희석제 및 치환 가스 또는 퍼지 가스가 반응 챔버 (704) 로부터 제거될 수도 있다. 일부 구현 예들에서, 제어기 (750) 는 플라즈마 프로세싱 장치 (700) 와 동작하게 (operative) 통신한다. 일부 구현 예들에서, 제어기 (750) 는 데이터 시스템 (754) (예를 들어, 메모리) 내에 홀딩된 인스트럭션들을 실행하도록 구성된 프로세서 시스템 (752) (예를 들어, 마이크로프로세서) 을 포함한다. 일부 구현 예들에서, 제어기 (750) 는 플라즈마 파라미터들 및/또는 조건들을 제어하기 위해 플라즈마 생성기 제어기 (722) 와 통신할 수도 있다. 일부 구현 예들에서, 제어기 (750) 는 페데스탈 상승 및 온도를 제어하기 위해 페데스탈 (714) 과 통신할 수도 있다. 일부 구현 예들에서, 제어기 (750) 는, 그 중에서도, RF 전력 설정들, 주파수 설정들, 듀티 사이클들, 펄스 시간들, 반응 챔버 (704) 내 압력, 리모트 플라즈마 소스 (702) 내 압력, 소스 가스 공급부 (726) 및 부가적인 가스 공급부 (728) 로부터의 가스 플로우 레이트들, 전구체 공급 소스 (740) 및 다른 소스들로부터의 가스 플로우 레이트들, 페데스탈 (714) 의 온도, 및 반응 챔버 (704) 의 온도와 같은 다른 프로세싱 조건들을 제어할 수도 있다.
제어기 (750) 는 플라즈마 프로세싱 장치 (700) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (750) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다.
특정한 실시 예들에서, 제어기 (750) 는 본 명세서에 기술된 플라즈마 프로세싱 장치 (700) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (750) 는 그래핀을 증착하는 것과 연관된 플라즈마 프로세싱 장치 (700) 의 모든 또는 대부분의 액티비티들을 제어할 수도 있고, 선택 가능하게 (optionally), 그래핀을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (750) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 포지션, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 일부 실시 예들에서 제어기 (750) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 채용될 수도 있다. 기판 (712) 에 인접한 분위기에서 상대적으로 약한 반응성 조건들을 제공하기 위해, RF 전력 레벨들, 플라즈마 영역 (784) 으로의 가스 플로우 레이트들, 화학적 기상 증착 존 (708) 으로의 가스 플로우 레이트들, 및 플라즈마 점화의 타이밍과 같은 파라미터들이 제어기 (750) 에 의해 조정되고 유지될 수 있다. 부가적으로, 기판 포지션을 조정하는 것은 기판 (712) 에 인접한 분위기에서 고-에너지 라디칼 종의 존재를 더 감소시킬 수도 있다. 멀티-스테이션 반응기에서, 제어기 (750) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있고, 따라서 장치 스테이션들로 하여금 독립적으로 또는 동기적으로 동작하게 한다.
일부 실시 예들에서, 제어기 (750) 는 하나 이상의 탄화수소 전구체들을 가스 유출구 (742) 를 통해 반응 챔버 (704) 내로 흘리고, 소스 가스를 리모트 플라즈마 소스 (702) 내로 제공하고, 하나 이상의 탄화수소 전구체들의 업스트림의 리모트 플라즈마 소스 (702) 내의 소스 가스의 하나 이상의 라디칼 종을 생성하고, 기판 (712) 의 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응하도록 리모트 플라즈마 소스 (702) 로부터 반응 챔버 (704) 내로 하나 이상의 라디칼 종을 도입하는 것과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다. 기판 (712) 에 인접한 분위기의 반응 챔버 (704) 내 하나 이상의 라디칼 종은 바닥 상태의 수소 라디칼들일 수도 있다. 일부 구현 예들에서, 제어기 (750) 는 그래핀을 증착하기 전에 기판 (712) 의 금속 표면을 처리하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 제어기 (750) 는 기판 (712) 의 온도를 약 400 ℃ 이하, 또는 약 200 ℃ 내지 약 400 ℃로 유지하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 알켄기 또는 알킨기를 포함한다.
일부 실시 예들에서, 플라즈마 프로세싱 장치 (700) 는 제어기 (750) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 플라즈마 프로세싱 장치 (700) 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
프로세스를 모니터링하기 위한 신호들은 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 시스템의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
일반적으로 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은, 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치에 통합될 수도 있다. 일반적으로, 전자 장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위 부분들을 제어할 수도 있는 제어기 (750) 로 지칭된다. 제어기 (750) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 반도체 (application specific integrated circuits; ASICs) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (750) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 컴퓨터의 일부일 수도 있다. 예를 들어, 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달되는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (750) 는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기 (750) 가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기 (750) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
본 명세서에 기술된 그래핀 증착에 더하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 8은 프로세스 챔버 바디 (802) 를 갖는 ALD 프로세스 스테이션 (800) 의 실시 예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (800) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 일부 실시 예들에서, 이하에 상세히 논의된 것을 포함하는, ALD 프로세스 스테이션 (800) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (850) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
ALD 프로세스 스테이션 (800) 은 분배 샤워헤드 (806) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (801a) 과 유체로 연통한다 (fluidly communicate with). 반응 물질 전달 시스템 (801a) 은 샤워헤드 (806) 로의 전달을 위해 차단 시약 가스, 금속 전구체 가스, 또는 산소-함유 가스와 같은 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (809) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (820) 은 프로세스 가스들의 혼합 용기 (809) 로의 도입을 제어할 수도 있다.
일 예로서, 도 8의 실시 예는 혼합 용기 (809) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (803) 을 포함한다. 일부 실시 예들에서, 기화 지점 (803) 은 가열된 기화기 (vaporizer) 일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기가 다운스트림 (downstream) 전달 파이프에서 축합될 (condense) 수도 있다. 축합된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋 (throughput) 을 열화시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (803) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (809) 는 또한 열 추적될 수도 있다. 일 비-제한적인 예에서, 기화 지점 (803) 의 다운스트림 파이프는 혼합 용기 (809) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 (stream) 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플래싱 (flash) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 (atomize) 수도 있다. 더 작은 액적들이 더 큰 액적들보다 더 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 더 빠른 기화는 기화 지점 (803) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (809) 에 직접 (directly) 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (806) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (803) 의 업스트림의 액체 플로우 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (800) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (806) 는 기판 (812) 을 향해 프로세스 가스들을 분배한다. 도 8에 도시된 실시 예에서, 기판 (812) 은 샤워헤드 (806) 밑에 위치되고 페데스탈 (808) 상에 놓인 것으로 도시된다. 샤워헤드 (806) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (812) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (808) 은 기판 (812) 과 샤워헤드 (806) 사이의 볼륨에 기판 (812) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (850) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 프로세스 페이즈의 종료 시, 페데스탈 (808) 은 페데스탈 (808) 로부터 기판 (812) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 실시 예들에서, 페데스탈 (808) 은 히터 (810) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (808) 은 적어도 약 25 ℃, 또는 약 25 ℃ 내지 약 400 ℃의 온도로 가열될 수도 있다. 다양한 실시 예들에서, 프로세스 스테이션 (800) 은 플라즈마를 점화하지 않고 사용된다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (800) 에 대한 압력 제어가 버터플라이 밸브 (818) 에 의해 제공될 수도 있다. 도 8의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (818) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (800) 의 압력 제어는 또한 프로세스 스테이션 (800) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (806) 의 포지션은 기판 (812) 과 샤워헤드 (806) 사이의 볼륨을 가변하도록 페데스탈 (808) 에 대해 조정될 수도 있다. 또한, 페데스탈 (808) 및/또는 샤워헤드 (806) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (808) 은 기판 (812) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (850) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마-기반 프로세스들에서, 페데스탈 (808) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 플라즈마가 점화되는 실시 예들에서 프로세스의 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 플라즈마가 사용될 수도 있는 일부 실시 예들에서, 샤워헤드 (806) 및 페데스탈 (808) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (미도시) 및 매칭 네트워크 (미도시) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부및 매칭 네트워크는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. 플라즈마는 억제제 층을 증착하고 그리고/또는 제거하기 위해 사용될 수도 있다. RF 전력 공급부는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 27 ㎒ 초과, 40 ㎒ 초과, 60 ㎒ 초과 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 (in-situ) 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광학 방출 분광법 (OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 (programmatically) 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (850) 에 대한 인스트럭션들은 입력/출력 제어 (Input/Output Control; IOC) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피 (recipe) 의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 억제제 층 증착 전구체의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 그래핀 층 반응 물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 개시된 실시 예들의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 제어기 (850) 는 도 7의 시스템 제어기 (750) 에 대해 상기 기술된 임의의 피처들을 포함할 수도 있다.
결론
본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로 (partially fabricated integrated circuit)"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (18)

  1. 반도체 기판 상에 듀얼 다마신 구조체를 형성하는 방법에 있어서,
    제 1 유전체 층 및 상기 제 1 유전체 층 내의 구리 상호 접속부를 포함하는 반도체 기판을 제공하는 단계로서, 상기 구리 상호 접속부는 노출된 금속 표면을 갖고, 상기 노출된 금속 표면은 구리를 포함하는, 상기 반도체 기판을 제공하는 단계; 및
    상기 노출된 금속 표면 상에 탄소 층을 선택적으로 증착하는 단계를 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  2. 제 1 항에 있어서, 상기 노출된 금속 표면 상에 상기 탄소 층을 선택적으로 증착하는 단계는,
    하나 이상의 탄화수소 전구체들을 반응 챔버 내로 그리고 상기 반도체 기판을 향해 흘리는 단계;
    수소 소스 가스로부터 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계; 및
    상기 수소 라디칼들을 상기 반응 챔버 내로 그리고 상기 반도체 기판을 향해 도입하는 단계를 포함하고,
    상기 수소 라디칼들은 상기 노출된 금속 표면 상에 상기 탄소 층을 증착하기 위해 상기 하나 이상의 탄화수소 전구체들과 반응하는, 듀얼 다마신 구조체를 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 탄소 층은 육각형 격자로 결합된 탄소를 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 탄소 층은 약 400 ℃ 미만의 온도에서 선택적으로 증착되는, 듀얼 다마신 구조체를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 탄소 층을 비-직접 플라즈마로 처리하는 단계를 더 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 비-직접 플라즈마는 OH* 라디칼들, O* 라디칼들, H* 라디칼들, 암모니아 라디칼들, 질소 라디칼들, 및 이들의 조합들로 구성된 그룹으로부터 선택된 라디칼들을 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 노출된 금속 표면 상에 상기 탄소 층을 선택적으로 증착하는 단계 후, 상기 탄소 층 위에 기밀 (hermetic) 배리어를 증착하는 단계를 더 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 기밀 배리어 위에 제 2 유전체 재료를 증착하는 단계를 더 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 탄소 층은 상기 제 2 유전체 재료가 상기 제 1 유전체 층 상에 증착될 때 상기 탄소 층 상의 상기 제 2 유전체 재료의 증착을 억제하는, 듀얼 다마신 구조체를 형성하는 방법.
  10. 제 8 항에 있어서,
    상기 제 2 유전체 재료는 금속 옥사이드를 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 금속 옥사이드는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드 또는 이들의 조합들을 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 탄소 층은 약 3 개 미만의 단층들 (monolayers) 의 두께로 증착되는, 듀얼 다마신 구조체를 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 제 1 유전체 층은 로우-k (low-k) 유전체 재료를 포함하는, 듀얼 다마신 구조체를 형성하는 방법.
  14. 비아를 갖는 제 1 유전체 층;
    상기 비아의 측벽들을 컨포멀하게 라이닝하는 (conformally line) 라이너 층;
    상기 비아의 상기 라이너 층 위에 형성된 구리 재료로서, 상기 구리 재료는 상기 제 1 유전체 층의 평면형 표면에 평면형인 (planar with) 노출된 코발트-프리 (free) 구리 표면을 갖는, 상기 구리 재료;
    상기 제 1 유전체 층에 대해 상기 노출된 코발트-프리 구리 표면 직상에 (directly on) 선택적으로 형성되고 플라즈마에 대한 노출에 의해 처리되는 탄소 캡;
    상기 탄소 캡 위의 기밀 배리어; 및
    상기 기밀 배리어 위에 형성된 제 2 유전체 층을 포함하는, 반도체 디바이스.
  15. 제 14 항에 있어서,
    상기 제 2 유전체 층은 금속 옥사이드를 포함하는, 반도체 디바이스.
  16. 제 15 항에 있어서,
    상기 금속 옥사이드는 알루미늄 옥사이드, 하프늄 옥사이드, 지르코늄 옥사이드, 이트륨 옥사이드, 아연 옥사이드, 티타늄 옥사이드 또는 이들의 조합들을 포함하는, 반도체 디바이스.
  17. 제 16 항에 있어서,
    상기 탄소 캡은 약 3 개 미만의 단층들의 두께를 갖는, 반도체 디바이스.
  18. 제 17 항에 있어서,
    상기 탄소 캡은 sp2 하이브리드 (hybridized) 탄소를 포함하는, 반도체 디바이스.
KR1020247006109A 2021-07-23 2022-07-19 듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper) KR20240036083A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163203480P 2021-07-23 2021-07-23
US63/203,480 2021-07-23
PCT/US2022/073906 WO2023004329A1 (en) 2021-07-23 2022-07-19 Graphene-capped copper in dual damascene interconnect

Publications (1)

Publication Number Publication Date
KR20240036083A true KR20240036083A (ko) 2024-03-19

Family

ID=84979781

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247006110A KR20240041961A (ko) 2021-07-23 2022-07-19 코발트 캡핑된 구리 (cobalt-capped copper) 듀얼 다마신 상호 접속부 상의 그래핀 (graphene) 의 선택적인 증착
KR1020247006109A KR20240036083A (ko) 2021-07-23 2022-07-19 듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247006110A KR20240041961A (ko) 2021-07-23 2022-07-19 코발트 캡핑된 구리 (cobalt-capped copper) 듀얼 다마신 상호 접속부 상의 그래핀 (graphene) 의 선택적인 증착

Country Status (4)

Country Link
KR (2) KR20240041961A (ko)
CN (2) CN117999646A (ko)
TW (2) TW202320126A (ko)
WO (2) WO2023004329A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101633039B1 (ko) * 2015-03-10 2016-06-23 한국과학기술원 표면 개질된 그래핀 캡핑층을 포함한 구리 배선 소자 및 그 제조 방법
KR101939450B1 (ko) * 2016-12-27 2019-01-16 울산과학기술원 그래핀 상 금속산화물층의 형성방법, 그에 의해 제조된 그래핀 상 금속산화물층 및 그래핀 상 금속산화물층을 포함하는 전자소자
WO2019060413A1 (en) * 2017-09-19 2019-03-28 Applied Materials, Inc. METHODS FOR SELECTIVE DEPOSITION OF DIELECTRIC ON SILICON OXIDE
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
WO2021067118A1 (en) * 2019-09-30 2021-04-08 Lam Research Corporation Selective graphene deposition using remote plasma

Also Published As

Publication number Publication date
WO2023004329A1 (en) 2023-01-26
WO2023004328A1 (en) 2023-01-26
CN117999646A (zh) 2024-05-07
TW202314022A (zh) 2023-04-01
CN117981070A (zh) 2024-05-03
TW202320126A (zh) 2023-05-16
KR20240041961A (ko) 2024-04-01

Similar Documents

Publication Publication Date Title
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
US20220399230A1 (en) Graphene integration
TWI614363B (zh) 在原子層沉積多站/批式反應器中之序列型先驅物用劑
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US20220375722A1 (en) Selective graphene deposition using remote plasma
KR20200079343A (ko) 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
US10840087B2 (en) Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20240070485A (ko) 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착
US20220238334A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US11823909B2 (en) Selective processing with etch residue-based inhibitors
US20240213159A1 (en) Graphene-capped copper in dual damascene interconnect
KR20240036083A (ko) 듀얼 다마신 상호 접속부의 그래핀-캡핑된 구리 (graphene-capped copper)
KR20240075894A (ko) 저온에서의 나노­그래핀의 증착 및 처리
KR20230148733A (ko) 유전체 표면들 상의 몰리브덴에 비-금속 혼입
TW202413682A (zh) 在半導體處理設備中鉬氧鹵化物副產物的原位處理