KR20240032790A - 샤워헤드 전압 변동을 사용한 결함 검출 - Google Patents

샤워헤드 전압 변동을 사용한 결함 검출 Download PDF

Info

Publication number
KR20240032790A
KR20240032790A KR1020240029334A KR20240029334A KR20240032790A KR 20240032790 A KR20240032790 A KR 20240032790A KR 1020240029334 A KR1020240029334 A KR 1020240029334A KR 20240029334 A KR20240029334 A KR 20240029334A KR 20240032790 A KR20240032790 A KR 20240032790A
Authority
KR
South Korea
Prior art keywords
voltage
showerhead
stations
wafer
processing
Prior art date
Application number
KR1020240029334A
Other languages
English (en)
Inventor
수닐 카푸어
야스완쓰 란지네니
아론 빙엄
투안 응위엔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240032790A publication Critical patent/KR20240032790A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/282Testing of electronic circuits specially adapted for particular applications not provided for elsewhere
    • G01R31/2831Testing of materials or semi-finished products, e.g. semiconductor wafers or substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/04Housings; Supporting members; Arrangements of terminals
    • G01R1/0408Test fixtures or contact fields; Connectors or connecting adaptors; Test clips; Test sockets
    • G01R1/0491Test fixtures or contact fields; Connectors or connecting adaptors; Test clips; Test sockets for testing integrated circuits on wafers, e.g. wafer-level test cartridge
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/165Indicating that current or voltage is either above or below a predetermined value or within or outside a predetermined range of values
    • G01R19/16566Circuits and arrangements for comparing voltage or current with one or several thresholds and for indicating the result not covered by subgroups G01R19/16504, G01R19/16528, G01R19/16533
    • G01R19/16576Circuits and arrangements for comparing voltage or current with one or several thresholds and for indicating the result not covered by subgroups G01R19/16504, G01R19/16528, G01R19/16533 comparing DC or AC voltage with one threshold
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/165Indicating that current or voltage is either above or below a predetermined value or within or outside a predetermined range of values
    • G01R19/16566Circuits and arrangements for comparing voltage or current with one or several thresholds and for indicating the result not covered by subgroups G01R19/16504, G01R19/16528, G01R19/16533
    • G01R19/1659Circuits and arrangements for comparing voltage or current with one or several thresholds and for indicating the result not covered by subgroups G01R19/16504, G01R19/16528, G01R19/16533 to indicate that the value is within or outside a predetermined range of values (window)
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/52Testing for short-circuits, leakage current or ground faults
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/56Testing of electric apparatus
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R35/00Testing or calibrating of apparatus covered by the other groups of this subclass
    • G01R35/005Calibrating; Standards or reference devices, e.g. voltage or resistance standards, "golden" references
    • G01R35/007Standards or reference devices, e.g. voltage or resistance standards, "golden references"
    • GPHYSICS
    • G08SIGNALLING
    • G08BSIGNALLING OR CALLING SYSTEMS; ORDER TELEGRAPHS; ALARM SYSTEMS
    • G08B21/00Alarms responsive to a single specified undesired or abnormal condition and not otherwise provided for
    • G08B21/18Status alarms
    • G08B21/182Level alarms, e.g. alarms responsive to variables exceeding a threshold
    • GPHYSICS
    • G08SIGNALLING
    • G08BSIGNALLING OR CALLING SYSTEMS; ORDER TELEGRAPHS; ALARM SYSTEMS
    • G08B21/00Alarms responsive to a single specified undesired or abnormal condition and not otherwise provided for
    • G08B21/18Status alarms
    • G08B21/185Electrical failure alarms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Business, Economics & Management (AREA)
  • Emergency Management (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 프로세싱 시스템의 프로세싱 조건들을 검출하기 위한 방법들 및 시스템들이 제공된다. 일 방법은 RF (radio frequency) 전력 공급부로부터의 RF 전력을 플라즈마 프로세싱 시스템의 샤워헤드에 제공하는 단계 및 플라즈마 프로세싱 시스템 내에 배치된 기판 상에서 프로세스 동작을 실행하는 단계를 포함한다. 방법은 RF 전력 공급부와 샤워헤드 사이에 인라인으로 연결된 전압 프로브를 사용하여 샤워헤드의 전압을 센싱하는 단계를 더 포함한다. 전압의 센싱은 프로세스 동작의 실행 동안 전압 값들을 생성한다. 방법은 실행될 프로세스 동작을 위해 미리 규정된 전압 체크 밴드와 전압 값들을 비교하는 단계를 포함한다. 비교 단계는 전압 값들이 전압 체크 밴드의 외부에 있을 때를 검출하도록 구성된다. 방법은 또한 전압 값들이 전압 체크 밴드의 외부에 있을 때를 비교 단계가 검출할 때 경보를 생성하는 단계를 포함한다. 경보는 프로세스 동작을 위해 미리 규정되었던 전압 체크 밴드에 기초하여 결함의 타입을 식별하도록 더 구성된다.

Description

샤워헤드 전압 변동을 사용한 결함 검출{FAULT DETECTION USING SHOWERHEAD VOLTAGE VARIATION}
본 실시예들은 반도체 웨이퍼 프로세싱 장비 툴들, 보다 구체적으로, 샤워헤드 전압 변동들을 사용하여 결함들을 검출하기 위한 시스템들 및 방법들에 관한 것이다.
일부 반도체 프로세싱 시스템들은 프로세싱 챔버 내에서 기판 상에 박막들을 증착할 때 플라즈마를 채용할 수도 있다. 일반적으로, 기판은 프로세싱 챔버 내에서 페데스탈 상에 배치된다. 화학적 기상 증착을 사용하여 박막을 생성하도록, 하나 이상의 전구체들이 샤워헤드에 의해 프로세싱 챔버에 공급된다.
프로세싱 동안, RF (radio frequency) 전력은 플라즈마를 생성하도록 샤워헤드 또는 전극에 공급될 수도 있다. 예를 들어, RF 전력은 세라믹과 같은 비전도성 재료로 이루어질 수도 있는, 페데스탈 플래튼 (platen) 내에 임베딩된 (embedded) 전극에 공급될 수도 있다. 페데스탈의 또 다른 전도성 부분은 RF 접지 전위 또는 또 다른 실질적으로 상이한 전위에 연결될 수도 있다.
전극이 RF 전력에 의해 여기될 때, RF 필드들은 웨이퍼와 샤워헤드 사이에 플라즈마를 생성하도록 기판과 샤워헤드 사이에 생성된다. PECVD (plasma-enhanced chemical vapor deposition) 는 웨이퍼와 같은 기판 상에 박막들을 가스 상태 (즉, 증기) 로부터 고체 상태로 증착하도록 사용되는 플라즈마 증착의 타입이다. PECVD 시스템들은 액체 전구체를 증기 전구체로 변환하고, 증기 전구체는 챔버로 전달된다.
그러나, 프로세싱 동안, 과도한 트러블슈팅 (troubleshooting) 및/또는 시스템 정지 시간이 없는 프로세스 변동들 또는 결함들에 대한 원인들을 결정하는 것은 종종 어렵다.
본 발명들은 이 맥락에서 발생한다.
본 개시의 실시예들은 프로세싱 동작들에 영향을 줄 수도 있는 조건들을 식별 및 검출하도록, 반도체 프로세싱 툴들 내에서 구현된 샤워헤드의 전압 센싱을 활용하는 실시예들을 제공한다. 일 실시예에서, 기술된 시스템들은 주목할 필요가 있거나 정정할 필요가 있는 특정한 조건들을 시그널링 (signal) 및 식별하는 동작들 동안 전압들의 변화들을 센싱하기 위해서, 프로세싱 시스템으로의 전력의 전달과 함께 전압 프로브를 활용하는 것을 포함한다.
전압 프로브로부터 수집된 전압 데이터는 하나 이상의 특정한 결함들을 식별하도록 구성되는, 밴드 내 및 밴드 외 캘리브레이션 데이터에 맵핑된다 (mapped). 예를 들어, 샤워헤드 전압 변동들로부터 획득된 데이터를 사용하여, 다양한 컴포넌트들 (components) 및/또는 시스템 고장들을 검출하는 것이 가능하다. 예시적인 검출은 웨이퍼 오배치들, 전구체 부족들, 밸브 고장들, RF 개방 또는 쇼트 (short) 상태들, 및 다른 것들을 식별할 수 있다. 일 실시예에서, 시스템들은 공지된 우수한 컴포넌트들 및 시스템들을 사용하여 캘리브레이션될 (calibrated) 수 있다. 전압 프로브를 사용하여, 기준 스테이션 전압들 및 자연 발생적인 변동들은 데이터베이스 또는 파일에 저장된다. 변동들은 전압이 특정한 프로세스 동작들 동안 스테이션에 대해 예상되는 밴드를 규정할 수도 있다. 동작 동안, 전압 프로브는 전압 변동들을 측정할 수 있고, 그리고 기준 스테이션 전압들로부터의 편차들 (deviation) 에 기초하여, 시스템은 컴포넌트들/시스템 고장들을 식별하거나 컴포넌트들/시스템 고장들의 식별을 허용할 것이다. 일 실시예에서, 알람은 전압이 자연 발생적인 변동을 넘어 가변할 때 설정된다. 또 다른 실시예에서, 리포트는 고장 상태가 검출될 때 생성된다. 또 다른 실시예에서, 그래픽 사용자 인터페이스는 상태를 식별할 수도 있고 그리고 정정들을 제안/추천할 수도 있다. 또 다른 실시예에서, 시간에 걸쳐 발생하는 고장들은 이력 검토 및/또는 분석적 분석을 위해 데이터베이스에 저장될 수 있다.
일 실시예에서, 플라즈마 프로세싱 시스템의 프로세싱 조건들을 검출하기 위한 방법이 제공된다. 방법은 RF 전력 공급부로부터의 RF 전력을 플라즈마 프로세싱 시스템의 샤워헤드에 제공하는 단계 및 플라즈마 프로세싱 시스템 내에 배치된 기판 상에서 프로세스 동작을 실행하는 단계를 포함한다. 방법은 RF 전력 공급부와 샤워헤드 사이에서 인라인으로 연결된 전압 프로브를 사용하여 샤워헤드의 전압을 센싱하는 단계를 더 포함한다. 전압의 센싱은 프로세스 동작의 실행 동안 전압 값들을 생성한다. 방법은 실행될 프로세스 동작을 위해 미리 규정된 전압 체크 밴드와 전압 값들을 비교하는 단계를 포함한다. 비교 단계는 전압 값들이 전압 체크 밴드의 외부에 있을 때를 검출하도록 구성된다. 방법은 또한 전압 값들이 전압 체크 밴드의 외부에 있다는 것을 비교 단계가 검출할 때 경보를 생성하는 단계를 포함한다. 경보는 프로세스 동작을 위해 미리 규정된 전압 체크 밴드에 기초하여 결함의 타입을 식별하도록 더 구성된다.
또 다른 실시예에서, 플라즈마 프로세싱 시스템이 제공된다. 시스템은 기판을 지지하기 위한 페데스탈, 플라즈마 프로세싱 시스템 내로 그리고 기판 위로 프로세스 가스들을 공급하기 위한 샤워헤드, 및 RF 전력을 플라즈마 프로세싱 시스템의 샤워헤드에 제공하기 위한 RF 전력 공급부를 포함한다. 시스템은 또한 RF 전력 공급부와 샤워헤드 사이에 인라인으로 연결된 전압 프로브를 포함한다. 전압 프로브는 플라즈마 프로세싱 챔버 내에서 기판 위에 재료를 증착하기 위한 프로세스 동작의 실행 동안 전압 값들을 센싱하도록 구성된다. 시스템은 센싱된 전압 값들을 수신하고 그리고 실행될 프로세스 동작을 위해 미리 규정된 전압 체크 밴드와 센싱된 전압 값들을 비교하도록 구성된 제어기를 더 포함한다. 비교 동작은 전압 값들이 전압 체크 밴드의 외부에 있을 때를 검출하도록 구성되고, 센싱된 전압 값들이 전압 체크 밴드의 외부에 있다는 것을 비교 동작이 검출할 때 경보를 생성하도록 더 구성된다. 경보는 프로세스 동작을 위해 미리 규정된 전압 체크 밴드에 기초하여 결함의 타입을 식별하도록 더 구성된다.
본 명세서에서 사용된 바와 같이, 경보는 상태를 나타내는 데이터이도록 광범위하게 고려되어야 한다. 데이터는 그래픽 형태로, 텍스트 형태로, 가청 형태로, 리포트 형태로, 통지 형태로, 이력 데이터 형태로, 결함 신호 형태로, 정보 형태로, 또는 이들의 2개 이상의 조합들로 나타날 수 있다.
다양한 예들에서, 조건들 및/또는 결함들의 다른 타입들에 대한 제한 없이, 일부 시스템 조건들은 웨이퍼 오배치, 또는 전구체 전달 실패, 또는 밸브 동작 실패, 또는 전기적 쇼트 상태, 또는 전기적 개방 상태를 포함한다.
도 1은 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2는 본 발명의 일 실시예에 따라, 동작 동안 샤워헤드의 전압을 모니터링하도록 전압 프로브를 활용하고 그리고 결함들 또는 조건들을 프로세싱 및 식별하기 위한 제어기 모듈로 다시 센싱된 데이터를 제공하는 예시적인 챔버를 예시한다.
도 3 및 도 4는 일 실시예에 따른, 4개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도들을 예시한다.
도 5는 일 실시예에 따른, 프로세스 스테이션들 (1, 2, 3, 및 4) 중 프로세스 스테이션 각각에 전압 프로브들을 제공하는 일 예시적인 도면을 예시한다.
도 6a 내지 도 6c는 특정한 스테이션에서의 웨이퍼 오배치를 검출하는, 샤워헤드 (14) 에서의 전압 센싱의 결과들을 그래프로 예시한다.
도 7은 일 실시예에 따른, 전구체 부족 검출이, 전압 프로브를 사용하여 검출된 샤워헤드 전압을 사용하여 프로세싱되는 예를 예시한다.
도 8a 및 도 8b는 일 실시예에 따른, 동작 동안, 가스들을 공급하는 밸브 고장들이 또한 샤워헤드의 센싱된 전압을 사용하여 특정한 고장들에 대해 검출되고 모니터링될 수 있는 예를 예시한다.
도 9a 및 도 9b는 일 실시예에 따른, 프로세싱 챔버 내의 쇼트들을 검출하도록 전압의 변화들 및 샤워헤드의 전압을 검출하기 위해 전압 프로브를 활용하는 예를 예시한다.
도 10a 및 도 10b는 스테이션이 전기적 개방 상태를 겪을 때 조건들을 식별 및 검출하도록 샤워헤드 전압 변동들이 모니터링될 수 있는 예를 예시한다.
도 11은 일 실시예에 따른, 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 실시예들은 반도체 웨이퍼들을 프로세싱하기 위해 사용된 프로세스 챔버의 실시예들을 제공한다. 일 구현예에서, 전압 변동들을 센싱함으로써 시스템 조건들 또는 결함들의 검출을 인에이블하는 (enable) 방법 및 시스템들이 제공된다. 본 명세서에 규정된 다양한 예들에서, 전압 변동들은 플라즈마 프로세싱 챔버의 샤워헤드에서 센싱된다. 전압들의 범위들 또는 예상되는 전압들로부터의 편차 또는 센싱된 전압에 기초하여, 특정한 조건들이 식별되거나 결정될 수 있다.
본 실시예들이 프로세스, 장치, 시스템, 디바이스, 또는 방법과 같은 수많은 방식들로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들은 이하에 기술된다.
막들의 증착은 바람직하게 PECVD 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에 (예를 들어 회전, 진동, 또는 다른 교반과 같은 운동으로 또는 상기 운동 없이 그 위치 내에서) 웨이퍼를 유지한다. 증착을 겪은 웨이퍼는 프로세스 동안 반응기 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 물론, 막 증착은 단일의 스테이션에서 전적으로 일어날 수도 있거나 막의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다. 프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제 자리에 홀딩된다. 특정한 동작들에 대해, 장치는 웨이퍼를 가열하도록 가열 플레이트와 같은 히터를 포함할 수도 있다.
도 1은 예시적인 CVD 시스템을 도시한다. 막의 증착은 바람직하게 PECVD 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에 (예를 들어 회전, 진동, 또는 다른 교반과 같은 운동을 하여 또는 상기 운동 없이 그 위치 내에서) 웨이퍼를 유지한다. 증착을 겪은 웨이퍼는 프로세스 동안 반응기 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 물론, 막 증착은 단일의 스테이션에서 전적으로 일어날 수도 있거나 막의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제 자리에 홀딩된다. 특정한 동작들에 대해, 장치는 웨이퍼를 가열하도록 가열 플레이트와 같은 히터를 포함할 수도 있다. 예를 들어, 도 1의 반응기 (10) 는 반응기의 다른 컴포넌트들을 둘러싸고 그리고 플라즈마를 포함하는 프로세스 챔버 (24) 를 포함한다. 플라즈마는 접지된 히터 블록 (20) 과 함께 작동하는 샤워헤드 (14) 를 포함한 커패시터 타입 시스템에 의해 생성될 수도 있다. 매칭 네트워크 (06) 에 연결된 고 주파수 RF 생성기 (02), 및 저 주파수 RF 생성기 (04) 는 샤워헤드 (14) 에 연결된다. 매칭 네트워크 (06) 에 의해 공급된 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하다.
반응기 내에서, 웨이퍼 페데스탈 (18) 은 기판 (16) 을 지지한다. 페데스탈 (18) 은 통상적으로 증착 반응 및/또는 플라즈마 처리 반응 동안 그리고 증착 반응 및/또는 플라즈마 처리 반응 사이에서 기판을 홀딩 및 이송하도록 척, 포크 (fork), 또는 리프트 핀들을 포함한다. 척은 정전 척, 기계 척 또는 다양한 다른 타입들의 척일 수도 있다. 프로세스 가스들은 유입부 (12) 를 통해 도입된다. 복수의 소스 가스 라인들 (10) 은 매니폴드 (08) 에 연결된다. 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 적절한 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용된다.
프로세스 가스들은 유출부 (22) 를 통해 챔버 (24) 를 나간다. 진공 펌프 (26) (예를 들어, 1개 또는 2개의 스테이지 기계식 건조 펌프 및/또는 터보분자식 펌프) 는 프로세스 가스들을 외부로 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은, 폐쇄 루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다. 모든 요구된 증착들 및 처리들이 완료될 때까지 매 증착 및/또는 증착 후 플라즈마 어닐링 처리 후에 웨이퍼들을 인덱싱하는 (index) 것이 가능하거나, 복수의 증착들 및 처리들이 웨이퍼를 인덱싱하기 전에 단일의 스테이션에서 실시될 수 있다.
이러한 시스템들이 잘 작동할지라도, 계측 시스템들은 고장들 또는 부적절한 프로세싱 조건들을 검출하도록 프로세싱을 모니터링하기 위해 요구된다. 도 2의 예에서, 챔버는 PECVD 또는 ALD를 위해 사용된 툴일 수도 있다. 계측 기능을 구현함으로써, 예를 들어, 하나 이상의 전압 프로브들을 통해, 스테이션 각각에서 임의의 컴포넌트 고장을 검출하는 것이 가능하다. 일부 예들에서, 방법들은 VI 센서들, 예를 들어, 전압 프로브 (108) 를 사용하여 다양한 컴포넌트들 및 웨이퍼 배치 실패들을 검출하도록 구현된다.
도 2는 웨이퍼 (101) 를 프로세싱하도록 사용되는, 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 가진 챔버 (102) 를 포함한다. 중심 칼럼 (center column) 은 일 실시예에서 접지된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 샤워헤드 (14) 는 RF 매칭부 (60) 를 통해 전력 공급부 (예를 들어, 하나 이상의 RF 전력 생성기들 (50)) 에 전기적으로 커플링된다. 전력 공급부 (50) 는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (109) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (109) 는 웨이퍼 (101) 위에 막들을 증착하거나 형성하도록, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 이동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
중심 칼럼은 또한 리프트 핀 제어부 (122) 에 의해 제어되는, 리프트 핀들 (120) 을 포함하도록 도시된다. 리프트 핀들 (120) 은 엔드-이펙터로 하여금 웨이퍼를 피킹하게 하기 (pick) 위해 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 그리고 엔드-이펙터에 의해 배치된 후에 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114) 에 연결되는 가스 공급 매니폴드 (112), 예를 들어, 설비로부터의 가스 화학물질 공급부들을 더 포함한다. 수행되는 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (14) 내로 흐르고 웨이퍼 (101) 와 대면하는 샤워헤드 (14) 전방부와 페데스탈 (140) 위에 놓이는 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다.
RF 매칭부 (60) 는 RF 전력을 시스템 (100) 에 제공하는 RF 분배 시스템 (107) 에 커플링될 수도 있다. 예를 들어, 시스템 (100) 이 이하에 도 3에 도시된 바와 같이 스테이션들의 세트 중 일 스테이션이라면, 그러면 RF 분배 시스템 (107) 은 RF 전력을 시스템 (100) 으로 제공하도록 사용된다. 전달된 RF 전력은 이어서 동작 동안 샤워헤드 (14) 의 전압을 센싱하기 위해 전압 프로브 (108) 를 통과한다. 전압 프로브 (108) 로부터 수집된 전압 데이터는 하나 이상의 특정한 결함들을 식별하도록 구성되는, 밴드 내 및 밴드 외 캘리브레이션 데이터에 맵핑된다.
예를 들어, 전압 프로브 (108) 에 의해 판독된 데이터에 의해, 샤워헤드 전압 변동을 사용하여, 다양한 컴포넌트들 및/또는 시스템 고장들을 검출하는 것이 가능하다. 예시적인 검출은 웨이퍼 오배치, 전구체 부족들, CDA (clean dry air) 밸브 고장들, RF 개방/쇼트 실패들, 및 다른 것들을 식별할 수 있다.
일 방법은 공지된 양호한 컴포넌트들 및 시스템들을 사용하여 시스템을 캘리브레이션하는 것을 포함한다. 전압 프로브를 사용하여, 기준 스테이션 전압들 및 자연 발생적인 변동들이 데이터베이스 또는 파일에 저장된다. 동작 동안, 전압 프로브 (108) 는 전압 변동들을 측정할 수 있고, 그리고 기준 스테이션 전압들로부터의 편차들에 기초하여, 시스템은 컴포넌트들/시스템 고장들을 식별하거나 컴포넌트들/시스템 고장들의 식별을 허용할 것이다. 일 실시예에서, 알람은 전압이 자연 발생적인 변동을 넘어 가변할 때 설정된다.
페데스탈 (140) 의 외측 구역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심의 웨이퍼 지지 구역으로부터 스텝 다운된 (step down) 캐리어 링 지지부 구역 위에 놓이도록 구성된다. 캐리어 링은 캐리어 링의 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경부, 및 캐리어 링의 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳과 가장 가까운 내측 반경부를 포함한다. 캐리어 링의 웨이퍼 에지 측은 캐리어 링 (200) 이 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 또 다른 스테이션에 대해, 예를 들어, 멀티-스테이션 시스템에서 로테이션될 수 있다.
도 3은 4개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 하부 챔버 부분 (102b) 이고 (예를 들어, 예시를 위해 상단 챔버 부분 (102a) 이 제거됨), 여기서 4개의 스테이션들은 스파이더 포크들 (226) 에 의해 액세싱된다 (accessed). 스파이더 포크, 또는 포크 각각은 제 1 및 제 2 암을 포함하고, 제 1 및 제 2 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 배치된다. 이 시점에서, 스파이더 포크들 (226) 은 스파이더 포크들 (226) 이 캐리어 링 (200) 아래에 있는 것을 나타내도록 파선으로 도시된다. 인게이지먼트 및 회전 메커니즘 (220) 을 사용하여, 스파이더 포크들 (226) 은 스테이션들로부터 캐리어 링들 (200) 을 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 위로 동시에 상승 및 리프팅하도록, 그리고 이어서 추가의 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (여기서 캐리어 링들 중 적어도 하나는 웨이퍼 (101) 를 지지함) 을 다음의 위치로 하강시키기 전에 적어도 하나 이상의 스테이션들을 회전시키도록 구성된다. 상기에 언급된 바와 같이, 때때로 웨이퍼는 회전 후에 페데스탈 상에 잘 배치되지 않을 수도 있고, 그리고 전압 센싱은 이러한 상태들을 식별하도록, 예를 들어, 그리고 오배치된 웨이퍼가 위치되는 스테이션을 식별하도록 구성된다. 일 실시예에서, 스테이션 각각은 전압을 센싱하기 위한 전압 프로브 (108) 를 포함할 것이다. 일부 실시예들에서, 스테이션 각각은 2개 이상의 전압 프로브, 예를 들어, 상이한 RF 주파수 소스 각각에 대한 상이한 프로브를 포함할 수도 있다.
도 4는 인바운드 로드록 (302) 및 아웃바운드 로드록 (304) 을 가진 멀티-스테이션 프로세싱 툴 (300) 의 실시예의 개략도를 도시한다. 로봇 (306) 은 대기압에서, 기판들을 포드 (pod) (308) 를 통해 로딩된 카세트로부터 대기 포트 (310) 를 통해 인바운드 로드록 (302) 내로 이동시키도록 구성된다. 인바운드 로드록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드록 (302) 이 펌핑 다운될 (pumped down) 수도 있도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 은 기판을 프로세싱을 위해 인바운드 로드록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 매겨진, 4개의 프로세스 스테이션들 (즉, 스테이션 S1, S2, S3S4) 을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102b) 는 기판들이 진공 브레이크 (break) 및/또는 공기 노출을 겪지 않고 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하기 위해 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 나타냄) 및 프로세스 가스 전달 라인 유입부들을 포함한다.
도 5는 프로세스 스테이션들 (1, 2, 3, 및 4) 중 각각 하나에서 전압 프로브들을 제공하는 일 예시적인 도면을 예시한다. 프로세스 스테이션들은 상기의 도 3 및 도 4에서 기술된 프로세스 스테이션들일 수도 있다. 도시된 바와 같이, RF 전력은 이 예에서 저 주파수 생성기 (LF) 및 고 주파수 생성기 (HF) 에 의해 제공된다. 일 실시예에서, 고 주파수 생성기는 13.56 ㎒의 주파수로 전력을 제공하고 그리고 저 주파수 생성기는 400 ㎑의 주파수로 전력을 제공한다. 이러한 주파수들은 단지 예시적인 것임이 이해되어야 한다. 저 주파수 생성기 및 고 주파수 생성기는 도 2의 분배 시스템 (107) 과 같은 분배 시스템에 RF 전력부를 연결하는 필터들 및 매칭 회로를 통해 커플링된다.
전압 프로브들 (108) 은 각각의 스테이션들 내의 샤워헤드들 (14) 각각과 분배 시스템 (107) 을 연결하는 전력 피드들을 따라 인라인으로 커플링된다. 일 실시예에서, 단일의 전압 프로브 (108) 는 각각의 샤워헤드 (14) 와 분배 시스템 (107), 예를 들어, 13.56 ㎒ 전압 프로브 사이에서 인라인으로 연결된다. 또 다른 실시예에서, 2개 이상의 전압 프로브들 (108) 은 스테이션 각각에서 샤워헤드 (14) 와 분배 시스템 (107) 사이에서 직렬로 연결될 수 있다. 일부 실시예들에서, 보다 넓은 주파수 동작을 제공하는 전력 공급부들로부터 전압들을 프로빙하기 위해 전압 프로브들 (108) 이 사용 가능하다. 예를 들어, 일 전압 프로브 (108) 가 13.56 ㎒에 대해 설계될 수도 있지만, 전압 프로브는 13.56 ㎒ 주위의 보다 넓은 주파수 범위를 따라 사용될 수도 있다. 또 다른 실시예들에서, 100 ㎑ 내지 100 ㎒와 같은 화이트 주파수 (white frequency) 범위들에서 기능할 수 있는 전압 프로브들이 사용될 수도 있다.
일반적으로, 전압 프로브가 제공되고 샤워헤드 (14) 에 전력을 전달하면서 인라인으로 샤워헤드 (14) 에 커플링되는 한, 프로세스 스테이션에 의해 겪은 전압을 센싱하는 것이 가능하다. 상기에 언급된 바와 같이, 샤워헤드 (14) 에서 전압을 센싱하는 것은 프로세스 조건들에 따라 상이한 전압 판독치들을 생성할 것이다. 일 실시예에서, 프로세스 조건들의 데이터베이스는 미리 캘리브레이션되고 예상되는 전압 판독치들에 할당된다. 동작 동안, 샤워헤드 (14) 에서 전압 변동들을 센싱하는 것은 특정한 전압 판독치들의 생성을 인에이블할 것이다. 도시된 예에서, 전압 센서들의 출력은 시스템 제어기 (110) 에 전달된다. 시스템 제어기는 정보를 그래픽 사용자 인터페이스 또는 디스플레이 스크린 또는 리포트를 통해 제공할 수 있다.
이어서 이들 전압 판독치들은 데이터베이스로부터, 예를 들어 이전에 캘리브레이션된 전압들로부터 예상되는 전압 판독치들과 비교될 수 있다. 특정한 예들에서, 프로그램 인스트럭션들은 결함 또는 잠재적인 계측 문제들을 식별하는 디스플레이 또는 경보들을 인에이블한다. 일 실시예에서, 수행되는 프로세스 동작에 따라, 예상되는 특정한 전압 판독은 고장 또는 시스템 디펙트의 타입을 식별할 것이다. 이들 검출된 전압 변동들의 예들은 전압 판독들에 상관되는 프로세스의 특정한 검출된 변동들에 대해, 이하에 제공된다.
도 6a 내지 도 6c는 특정한 스테이션에서의 웨이퍼 오배치를 검출하는, 샤워헤드 (14) 에서의 전압 센싱의 결과들을 그래프로 예시한다. 도 6a는 4개의 스테이션들 각각에 대한 전압 판독을 예시한다. 이 예는 스테이션 1에서의 샤워헤드의 전압 동작과 연관된 전압 밴드를 예시하도록 제공된다. 다른 스테이션들의 전압들이 도 6a 내지 도 6c에 도시되지만, 전압 체크 밴드를 규정하는 이 예시는 단지 스테이션 1 (stn-1) 의 샤워헤드에서 센싱된 전압에 관한 것이다. 또한, 이 예시는 웨이퍼가 스테이션 1의 페데스탈 위에서 약 2 ㎜만큼 오배치될 때, 도 6b에 예시된 전압의 변동이 발생할 것임을 나타낸다. 이 예에서, 스테이션 1에서 전압 프로브에 의해 센싱된 전압은 전압 체크 밴드 외부에 있는 전압을 생성할 것이다. 구성으로서, 웨이퍼는 적절하게 스테이션 1에 놓이고 그리고 전압은 상기에 논의된 전압 프로브를 사용하여 샤워헤드에서 재점검된다.
도 6c는 샤워헤드 (14) 에서 전압 프로브에 의해 센싱된 전압이 이제 전압 체크 밴드의 중심 구역 주위로 복귀된다는 것을 예시한다. 따라서, 다른 스테이션들 각각에서 센싱된 다른 전압들에 대한 전압 체크 밴드는 웨이퍼 오배치가 검출되는지를 결정하도록 동작 동안 검증되는, 자체 전압 체크 밴드들을 가질 것이다. 일 실시예에서, 웨이퍼 오배치 검출은 2 ㎜ 웨이퍼 오배치가 발생할 때 전압이 스테이션 상에서 15 V만큼 증가하는지를 결정하도록 수행될 수 있다. 다른 스테이션들이 웨이퍼 오배치를 갖지 않는다면, 다른 스테이션들은 다른 스테이션들의 각각의 전압 체크 밴드들 내에 남아 있을 전압들을 가질 것이다.
도 7은 전구체 부족 검출이, 상기에 기술된 전압 프로브 (108) 를 사용하여 검출된 샤워헤드 전압을 사용하여 프로세싱되는 예를 예시한다. 이 예에서, 정상적인 동작 동안, 샤워헤드 전압은 약 68 V 내지 71 V의 범위인 안전한 동작 밴드 내에서 동작하도록 도시된다. 전구체가 존재하지 않거나 전구체 전달의 실패가 있을 때, 상이한 전압 신호가 검출될 것이고, 상이한 전압 신호는 안전한 동작 밴드 바로 아래에 있을 것이다. 따라서, 샤워헤드 전압 센싱은 전구체 부족들을 검출하기 위해 사용될 수 있다. 제공된 예 및 제공된 전압 범위들은 단지 예시적인 것이고, 프로세스 동작 각각은 샤워헤드로부터 전압들을 센싱하기 위한 응답에서, 동작 동안 실패들의 검출을 인에이블하도록 자체 정규화된 또는 예상되는 안전한 동작 밴드를 가질 것임이 이해되어야 한다.
도 8a 및 도 8b는 동작 동안, 가스들을 공급하는 밸브 고장들이 또한 샤워헤드의 센싱된 전압을 사용하여 특정한 고장들에 대해 검출되고 모니터링될 수 있는 예를 예시한다. 도 8a는 4개의 프로세싱 스테이션들 각각에 대해 프로세싱 동안 정상적인 상태를 예시한다. 스테이션 4에 대한 전압 체크 밴드는 전압이 정상적인 동작 동안 예상되는 구역을 예시하도록 강조되어 도시된다. 상기에 언급된 바와 같이, 스테이션 각각은 스테이션의 자체 전압 체크 밴드 (예를 들어, 전압들이 허용 가능하게 고려되는 범위) 를 가질 수도 있다. 다른 실시예들에서, 스테이션 각각은 동일한 전압 체크 밴드를 공유할 수도 있다. 전압 체크 밴드들이 상이하거나 동일한 경우들은 프로세스 스테이션들 각각에서 수행되는 동작들 및 프로세스 조건들에 의존할 것이다. 일반적으로, 단일의 프로세스 스테이션은 특정한 프로세싱 동작에 대해 단일의 프로세스 스테이션의 자체 전압 체크 밴드를 가질 것이고, 이는 결함 또는 실패가 웨이퍼 핸들링을 위한 프로세싱 또는 시스템 컴포넌트들, 또는 이들의 조합들에서 발생하는지를 나타낼 것이다.
도 8b의 특정한 예에서, 스테이션에 대한 전압 신호는 전압 체크 밴드 외부에 드롭하도록 (dropped) 도시되고, 이는 샤워헤드 전압 변화가 밸브 고장과 연관된다는 것을 시그널링한다. 일 예에서, 밸브는 CDA (clean dry air) 와 같은 가스, 또는 반도체 웨이퍼의 프로세싱 동안 활용된 다른 가스들을 제공할 수도 있다. 또한, 이 예는 밸브들 중 하나가 특정한 스테이션, 예를 들어 스테이션 4에서 개방된다면 약 15 V의 전압 강하가 발생한다는 것을 예시한다.
도 9a 및 도 9b는 프로세싱 챔버 내의 쇼트들을 검출하도록 전압의 변화들 및 샤워헤드의 전압을 검출하기 위해 전압 프로브를 활용하는 예를 예시한다. 도 9a에 도시된 예에서, 프로세싱 및 산화물 레시피에 대한 정상적인 전압 밴드가 도시된다. 이 프로세싱 동안, 스테이션은 쇼트를 나타내는, 정상적인 전압 밴드의 외부에 있는 전압을 갖도록 도시된다. 정상적인 전압 밴드 내에 있는 다른 프로세스 스테이션들은 이 전압 판독에 기초하여, 쇼트를 갖지 않도록 고려된다. 이 예에서, 정상적이라고 고려된 전압 밴드는 4개의 프로세스 스테이션들에 의해 공유된다. 이와 같이, 쇼트된 스테이션은 데이터베이스에 저장될 수도 있는 캘리브레이션 데이터에 기초하여 비교 및 분석되는 샤워헤드 전압 변동 측정치들을 사용하여 검출될 수 있다.
도 9b는 프로세싱 동작 동안 활용된 가변하는 전압들의 예를 예시한다. 즉, 상이한 시간들에서, 프로세싱 스테이션들 각각에 대해 허용 가능하다고 고려되는 전압은 특정한 허용 가능한 밴드 내에 있을 것이다. 허용 가능한 밴드는 도 9b에 도시되지 않지만, 스테이션들 (1, 3, 및 4) 각각에 대해 판독된 전압들 주위에 클러스터링된다는 (clustered) 것이 이해된다. 이 예에서, 스테이션 2는 다른 스테이션들의 전압 판독들과 클러스터링되지 않고, 이는 전압이 0 볼트를 향해 강하하기 때문에 스테이션이 쇼트를 겪는 것을 나타낸다. 대조적으로, 개방 상태가 검출된다면, 전압 판독치는 정상적인 밴드를 넘어 사실상 증가한다.
도 10a 및 도 10b는 스테이션이 전기적 개방 상태를 겪을 때 조건들을 식별 및 검출하도록 샤워헤드 전압 변동들이 모니터링될 수 있는 예를 예시한다. 전기적 개방 상태는 상기에 기술된 전기적 쇼트와 대조적이다. 전기적 개방 상태를 겪을 때, 스테이션 2의 전압 레벨은 도 10a에서 정상적인 전압 밴드를 넘어 스파이킹하도록 (spike) 도시된다. 또한, 다른 스테이션들에 대한 다른 전압들은 스테이션들 사이에서 이 예에 대해 공유되는 전압 밴드 내에 남아 있다.
도 10b는 전기적 개방 상태를 겪지 않은 다른 스테이션들이 겪은 전압들의 클러스터를 넘어 전압이 증가할 때, 가변하는 전력 사이클들이 또한 스테이션-2의 개방 상태를 검출하는 예를 예시한다. 이 예시는 샤워헤드 (14) 에 커플링된 전압 프로브 (108) 를 사용하는 것이 동작 동안 프로세싱 시스템의 결함들 및 상태들의 정확한 검출을 인에이블한다는 것을 도시하도록 제공된다.
또한, 룩업 (lookup) 동작들이 특정한 전압 변동이 의미하는 것을 신속하게 식별할 수 있고 그리고 경보로서, 또는 리포트로서, 또는 그래픽 사용자 데이터로서 정보를 시스템의 사용자 또는 조작자에게 제공할 수 있도록, 다양한 전압들을 검출하는 것은 또한 데이터베이스에 저장된 캘리브레이션된 데이터와 상관 및 맵핑될 수 있다. 이 방식으로 샤워헤드의 전압을 모니터링함으로써, 프로세싱 동작들에 영향을 주거나 영향을 줄 수 있는 복수의 시스템 조건들을 식별하는 것이 가능하고, 이는 동작 동안 정정되거나 조정될 수 있는 특정한 조건들을 드러내는 인라인 계측의 타입을 제공한다.
도 11은 상기에 기술된 시스템들을 제어하기 위한 제어 모듈 (800) 을 도시한다. 일 실시예에서, 도 1의 제어 모듈 (110) 은 일부 예시적인 컴포넌트들을 포함할 수도 있다. 예를 들어, 제어 모듈 (800) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (800) 은 부분적으로 센싱된 (sense) 값들에 기초하여 시스템 내의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (800) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (802), 필터 히터들 (804), 펌프들 (806), 및 다른 디바이스들 (808) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (800) 은 단지 예를 들면, 압력 마노미터들 (810), 유량계들 (812), 온도 센서들 (814), 및/또는 다른 센서들 (816) 로부터 센싱된 값들을 수신한다. 제어 모듈 (800) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (800) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (800) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (800) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (800) 은 또한 압력차를 모니터링할 수도 있고 증기 전구체 전달을 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 자동으로 스위칭할 수도 있다. 제어 모듈 (800) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (800) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (818) (예를 들어 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (820) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들과 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고, 그리고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택 가능하게 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차이(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템 내의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의, 헬륨 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되지 않지만, 질량 유량 제어 모듈들, 압력 마노미터들 (810) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 써모커플들, 페데스탈 또는 척 (예를 들어 온도 센서들 (814)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 본 발명의 실시예들의 구현예를 기술한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블할 수도 있다. 일부 예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공된다. 이는 총망라하거나 (exhaustive) 본 발명을 제한하도록 의도되지 않는다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않지만, 적용 가능한 경우에, 특별히 도시되거나 기술되지 않을지라도, 선택된 실시예에서 교체 가능하거나 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 발명으로부터의 일탈로서 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (1)

  1. 복수의 스테이션들을 포함하는 기판 프로세싱 시스템의 프로세싱 조건들을 검출하기 위한 방법에 있어서,
    스테이션들에 배치된 기판들 상에서 수행된 프로세스 동안 상기 스테이션들에서 플라즈마를 생성하도록 상기 스테이션들의 샤워헤드들로 RF (radio frequency) 전력 공급부로부터 RF 전력을 공급하는 단계;
    상기 스테이션들 중 하나 이상에서, 상기 RF 전력 공급부와 상기 샤워헤드 사이에 인라인으로 (in-line) 연결된 전압 프로브를 사용하여 상기 프로세스 동안 상기 샤워헤드의 전압 값들을 센싱하는 단계;
    상기 프로세스의 결함을 식별하기 위해 수행된 캘리브레이션에 의해 미리 규정된 전압 범위와 상기 하나 이상의 스테이션들에 대한 상기 전압 값들을 비교하는 단계; 및
    상기 하나 이상의 스테이션들 중 적어도 하나에 대한 상기 전압 값들이 상기 전압 범위 밖에 있을 때 상기 결함을 나타내는 경고를 생성하는 단계로서, 상기 경고는 상기 전압 범위에 대한 상기 전압 값들의 변동량에 기초하여 상기 결함의 타입을 식별하고, 그리고 상기 결함을 가진 상기 스테이션들 중 하나 이상을 식별하도록 더 구성되는, 상기 경고를 생성하는 단계를 포함하는, 기판 프로세싱 시스템의 프로세싱 조건들을 검출하기 위한 방법.
KR1020240029334A 2015-03-31 2024-02-29 샤워헤드 전압 변동을 사용한 결함 검출 KR20240032790A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562141242P 2015-03-31 2015-03-31
US62/141,242 2015-03-31
KR1020230050095A KR102643782B1 (ko) 2015-03-31 2023-04-17 샤워헤드 전압 변동을 사용한 결함 검출

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020230050095A Division KR102643782B1 (ko) 2015-03-31 2023-04-17 샤워헤드 전압 변동을 사용한 결함 검출

Publications (1)

Publication Number Publication Date
KR20240032790A true KR20240032790A (ko) 2024-03-12

Family

ID=57015573

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160037403A KR102523998B1 (ko) 2015-03-31 2016-03-29 샤워헤드 전압 변동을 사용한 결함 검출
KR1020230050095A KR102643782B1 (ko) 2015-03-31 2023-04-17 샤워헤드 전압 변동을 사용한 결함 검출
KR1020240029334A KR20240032790A (ko) 2015-03-31 2024-02-29 샤워헤드 전압 변동을 사용한 결함 검출

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020160037403A KR102523998B1 (ko) 2015-03-31 2016-03-29 샤워헤드 전압 변동을 사용한 결함 검출
KR1020230050095A KR102643782B1 (ko) 2015-03-31 2023-04-17 샤워헤드 전압 변동을 사용한 결함 검출

Country Status (4)

Country Link
US (2) US10043690B2 (ko)
KR (3) KR102523998B1 (ko)
CN (2) CN111508818A (ko)
TW (1) TWI717344B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP6586440B2 (ja) * 2017-07-11 2019-10-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
CN112585727B (zh) * 2019-07-30 2023-09-29 株式会社日立高新技术 装置诊断装置、等离子体处理装置以及装置诊断方法
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
WO2022055740A1 (en) * 2020-09-14 2022-03-17 Lam Research Corporation Rib cover for multi-station processing modules

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7225107B2 (en) * 2001-05-24 2007-05-29 Test Advantage, Inc. Methods and apparatus for data analysis
JP3959318B2 (ja) * 2002-08-22 2007-08-15 東京エレクトロン株式会社 プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
JP2004140129A (ja) * 2002-10-17 2004-05-13 Renesas Technology Corp 絶縁膜の欠陥検出方法及びその装置
JP4302591B2 (ja) * 2004-08-20 2009-07-29 浜松ホトニクス株式会社 液滴形成条件決定方法、液滴の体積計測方法、粒子数計測方法、及び液滴形成装置
JP2006093342A (ja) * 2004-09-22 2006-04-06 Asm Japan Kk Dcバイアス電圧測定回路及びそれを含むプラズマcvd処理装置
JP4572100B2 (ja) * 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 プラズマ処理装置
US20060275931A1 (en) * 2005-05-20 2006-12-07 Asm Japan K.K. Technology of detecting abnormal operation of plasma process
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
TWI424524B (zh) * 2006-10-04 2014-01-21 Applied Materials Inc 電漿腔室中用於基板夾持之設備與方法
KR20090000592A (ko) * 2007-03-02 2009-01-08 세메스 주식회사 설비의 고장 진단 시스템 및 그 방법
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
CA2695450C (en) * 2007-08-03 2016-10-18 Smartsignal Corporation Fuzzy classification approach to fault pattern matching
US8282983B1 (en) * 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection

Also Published As

Publication number Publication date
KR20160117274A (ko) 2016-10-10
KR102643782B1 (ko) 2024-03-05
US20160293385A1 (en) 2016-10-06
CN106024662B (zh) 2020-02-21
KR102523998B1 (ko) 2023-04-19
KR20230054349A (ko) 2023-04-24
TW201705329A (zh) 2017-02-01
US10879092B2 (en) 2020-12-29
TWI717344B (zh) 2021-02-01
CN111508818A (zh) 2020-08-07
US10043690B2 (en) 2018-08-07
CN106024662A (zh) 2016-10-12
US20180350643A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
KR102643782B1 (ko) 샤워헤드 전압 변동을 사용한 결함 검출
KR102483870B1 (ko) 증착 불균일성을 보상하기 위한 전극간 갭 변동 방법들
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11011353B2 (en) Systems and methods for performing edge ring characterization
TWI782085B (zh) 用於半導體製造設備之使使用者互動自動化的系統及方法
US20210202208A1 (en) Modular recipe controlled calibration (mrcc) apparatus used to balance plasma in multiple station system
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20220172925A1 (en) Electrostatic chuck heater resistance measurement to approximate temperature
US10725485B2 (en) System and method for calculating substrate support temperature
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
JP5273961B2 (ja) 基板処理システムおよび基板処理方法
US20220406578A1 (en) Detection and location of anomalous plasma events in fabrication chambers

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right