KR20240008774A - 자기장 제어 시스템 - Google Patents

자기장 제어 시스템 Download PDF

Info

Publication number
KR20240008774A
KR20240008774A KR1020227044946A KR20227044946A KR20240008774A KR 20240008774 A KR20240008774 A KR 20240008774A KR 1020227044946 A KR1020227044946 A KR 1020227044946A KR 20227044946 A KR20227044946 A KR 20227044946A KR 20240008774 A KR20240008774 A KR 20240008774A
Authority
KR
South Korea
Prior art keywords
magnetic field
magnetic fields
active
target value
current
Prior art date
Application number
KR1020227044946A
Other languages
English (en)
Inventor
알레시아 샨탈 그리핀
앤서니 데 라 예라
피터 브레들리 필립스
빙 지
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240008774A publication Critical patent/KR20240008774A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3444Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 장치는 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존 및 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된 적어도 하나의 자기장 소스를 갖는 진공 챔버를 포함한다. 장치는 또한 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 자기장 센서, 및 자기장 센서에 커플링된 제어기, 및 적어도 하나의 자기장 소스를 포함한다. 제어기는 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 검출하고, 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하고―초기 전류는 타깃 값에 대응함―; 그리고 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성된다.

Description

자기장 제어 시스템
본 명세서에 개시된 주제는 일반적으로 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 또는 유도 커플링 플라즈마 (inductively coupled plasma; ICP) 기판 제작과 같은, 플라즈마 기반 기판 제작에 사용된 자기장들을 제어하기 위한 방법들, 시스템들, 및 머신-판독 가능 저장 매체에 관한 것이다.
반도체 기판 프로세싱 시스템들은 에칭, 물리적 기상 증착 (physical vapor deposition; PVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 플라즈마 강화된 화학적 기상 증착 (plasma-enhanced CVD; PECVD), 원자층 증착 (atomic layer deposition; ALD), 플라즈마 강화된 원자층 증착 (plasma-enhanced ALD; PEALD), 펄싱된 증착층 (pulsed deposition layer; PDL), 플라즈마 강화된 펄싱된 증착층 (plasma-enhanced pulsed deposition layer; PEPDL), 및 레지스트 (resist) 제거를 포함하는 기법들에 의해 반도체 기판들을 프로세싱하는 데 사용된다. 반도체 기판 프로세싱 장치의 일 타입은 상부 전극 및 하부 전극을 포함하는 진공 챔버를 포함하는 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 를 사용하는 플라즈마 프로세싱 장치이고, 반응 챔버 내 반도체 기판들을 프로세싱하기 위해 플라즈마로 프로세스 가스를 여기하도록 (excite) 전극들 사이에 무선 주파수 (radio frequency; RF) 전력이 인가된다. 또 다른 타입의 반도체 기판 프로세싱 장치는 유도 커플링 플라즈마 (inductively coupled plasma; ICP) 를 사용하는 플라즈마 프로세싱 장치이다.
기판들을 제작하기 위한 CCP 기반 진공 챔버 또는 ICP 기반 진공 챔버와 같은 반도체 기판 프로세싱 시스템들에서, 기판 중심에서의 에칭 균일도 및 이온 틸팅 (tilt) 은 약한 자기장들에 대한 감도를 나타내는, 플라즈마 밀도 균일도에 의해 영향을 받는다. 예를 들어, CCP 기반 진공 챔버 및 ICP 기반 진공 챔버에서의 플라즈마 밀도 균일도는 (5 내지 10 Gauss의 자기장 강도와 연관될 수도 있는) 자화된 (magnetize) 챔버 컴포넌트들과 연관된 자기장들뿐만 아니라 (0.25 내지 0.65 Gauss의 자기장 강도를 가질 수도 있는) 지구 자기장 또는 (0.4 내지 0.5 Gauss의 자기장 강도를 가질 수도 있는) 다른 주변 자기장들을 포함하는 외부 자기장들에 의해 영향을 받을 수 있다.
현재, 특히 기판의 중심에서 그리고 기판 표면에 걸쳐 플라즈마 균일도를 튜닝하는 것이 과제이다. 챔버 내 접지 전극의 치수, 가스 플로우 및 화학 물질 플로우 또는 전달된 무선 주파수 (RF) 의 주파수 함량을 변화시키는 것이 플라즈마 균일도를 제어하기 위해 사용되는 주요 요인들이다. 그러나, 프로세싱 챔버 컴포넌트들의 자화, 뿐만 아니라 외부 자기장들에 대한 노출은 플라즈마 밀도 균일도에 영향을 주고 그리고 제작 위치 내에서 챔버마다, 뿐만 아니라 상이한 제작 위치들에서 챔버들 사이에서 크게 가변한다. 하드웨어 설계의 개선들 및 프로세스 노브들의 활용은 지금까지 엄격한 플라즈마 균일도 요건들에 대한 업계의 요구를 해결한다 (address). 그럼에도 불구하고, 균일도 사양들은 점점 더 요구되고 있고 그리고 부가적인 기법들이 전체 기판 표면에 걸쳐 매우 균일한 밀도들을 달성하도록 자기장들을 제어하기 위해 필요하다. 본 개시는, 다른 것들 중에서, 플라즈마 밀도 균일도를 위한 종래의 기법들과 연관된 단점들을 해결하려고 한다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시한다. 이 섹션에 기술된 정보는 이하에 개시된 청구 대상에 대한 일부 맥락을 당업자에게 제공하도록 제시된다는 것에 유의해야 하고, 인정된 종래 기술로 간주되지 않아야 한다. 더 구체적으로, 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
우선권 주장
본 출원은 2021년 5월 20일에 출원된 미국 특허 가출원 번호 제 63/201,965 호의 우선권의 이익을 주장하고, 이는 전체가 본 명세서에 참조로서 인용된다.
기판 제작과 관련하여 자기장들을 제어하기 위한 방법들, 시스템들, 및 컴퓨터 프로그램들이 제시된다. 일 일반적인 양태는 기판 프로세싱 장치를 포함한다. 장치는 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함하는 진공 챔버를 포함한다. 장치는 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된 적어도 하나의 자기장 소스를 더 포함한다. 장치는 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 자기장 센서를 더 포함한다. 장치는 자기장 센서 및 적어도 하나의 자기장 소스에 커플링된 자기장 제어기를 더 포함한다. 하나 이상의 자기장 소스들의 조정을 수행하기 위해, 제어기는 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하도록 구성된다. 제어기는 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하도록 더 구성되고, 초기 전류는 타깃 값에 대응한다. 제어기는 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 더 구성된다.
또 다른 일반적인 양태는 자기장 제어기를 포함한다. 제어기는 직류 (direct current; DC) 전압 소스에 커플링된 제 1 단자 및 하나 이상의 진공 챔버들에 통신 가능하게 커플링된 제 2 단자를 포함한다. 하나 이상의 진공 챔버들 각각은 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함한다. 제어기는 하나 이상의 자기장 소스들에 통신 가능하게 커플링된 제 3 단자를 더 포함한다. 하나 이상의 자기장 소스들 각각은 하나 이상의 진공 챔버들 중 적어도 하나 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된다. 제어기는 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 하나 이상의 자기장 센서들에 통신 가능하게 커플링된 제 4 단자를 더 포함한다. 제어기는 제 1 단자, 제 2 단자, 제 3 단자 및 제 4 단자에 통신 가능하게 커플링된 프로세싱 회로망을 더 포함한다. 하나 이상의 자기장 소스들의 조정을 수행하기 위해, 프로세싱 회로망은 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하도록 구성된다. 프로세싱 회로망은 하나 이상의 자기장 소스들을 통한 초기 전류를 설정하도록 더 구성되고, 초기 전류는 타깃 값에 대응한다. 프로세싱 회로망은 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
또 다른 일반적인 양태는 진공 챔버에서 자기장을 제어하는 방법을 포함한다. 방법은 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하는 단계를 포함한다. 방법은 하나 이상의 활성 자기장들을 생성하도록 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하는 단계를 더 포함하고, 초기 전류는 타깃 값에 대응한다. 방법은 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 더 포함하고, 신호는 적어도 하나의 자기장 소스와 연관된 자기장 센서를 통해 검출된다.
부가적인 일반적인 양태는 머신에 의해 실행될 때, 머신으로 하여금 진공 챔버 내에서 자기장을 제어하기 위한 동작들을 수행하게 하는 인스트럭션들을 포함하는 비일시적인 머신 판독 가능 저장 매체를 포함한다. 동작들은 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하는 단계를 포함한다. 동작들은 하나 이상의 활성 자기장들을 생성하도록 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하는 단계를 더 포함하고, 초기 전류는 타깃 값에 대응한다. 동작들은 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 더 포함하고, 신호는 적어도 하나의 자기장 소스와 연관된 자기장 센서를 통해 검출된다.
첨부된 도면들 중 다양한 도면들은 단지 본 개시의 예시적인 실시 예들을 예시하고 그 범위를 제한하는 것으로 간주될 수 없다.
도 1은 일부 예시적인 실시 예들에 따른, 기판들을 제작하기 위한, 에칭 챔버와 같은 진공 챔버를 예시한다.
도 2a는 일부 예시적인 실시 예들에 따른, 프로세싱 존 내 활성 축 방향 자기장 및 활성 방사상 자기장을 갖는 진공 챔버의 사시도를 예시한다.
도 2b는 일부 예시적인 실시 예들에 따른, 도 2a의 진공 챔버의 평면도를 예시한다.
도 2b는 일부 예시적인 실시 예들에 따른, 도 2a의 진공 챔버의 측면도를 예시한다.
도 3a는 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장에 대한 자기장 소스로서 사용되는 코일을 갖는 진공 챔버의 사시도를 예시한다.
도 3b는 일부 예시적인 실시 예들에 따른, 자기장 소스에 대한 장착 옵션들을 예시하는 도 3a의 진공 챔버의 측면도이다.
도 4는 일부 실시 예들에 따른, 도 1의 진공 챔버의 상단 플레이트 상에 장착된 예시적인 자기장 소스를 예시한다.
도 5는 일부 실시 예들에 따른, 도 1의 진공 챔버와 같은 진공 챔버에서 자기장을 제어하는 것과 관련하여 사용될 수도 있는 자기장 제어기의 블록도이다.
도 6, 도 7, 도 8은 일부 실시 예들에 따른, 도 5의 자기장 제어기와 연관된 데이터를 획득하거나 기능들을 구성하기 위해 사용될 수도 있는 예시적인 사용자 인터페이스들이다.
도 9는 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장에 대한 자기장 소스로서 사용된 단일-코일을 갖는 진공 챔버를 예시한다.
도 10은 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장에 대한 결합된 자기장 소스로서 사용된 2 개의 코일들을 갖는 진공 챔버를 예시한다.
도 11은 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장에 대한 결합된 자기장 소스로서 사용된 4 개의 코일들을 갖는 진공 챔버를 예시한다.
도 12는 일부 예시적인 실시 예들에 따른, 진공 챔버에서 자기장을 제어하는 방법의 플로우 차트이다.
도 13은 하나 이상의 예시적인 방법 실시 예들이 구현될 수도 있고 또는 하나 이상의 예시적인 실시 예들이 제어될 수도 있는 머신의 일 예를 예시하는 블록도이다.
예시적인 방법들, 시스템들, 및 컴퓨터 프로그램들은 자기장 제어기를 사용하여 기판 제작 장비에서 자기장들을 제어하는 것을 목적으로 한다 (direct). 예들은 단지 가능한 변형들을 예시한다 (typify). 달리 명시적으로 언급되지 않는 한, 컴포넌트들 (components) 및 기능들은 선택 가능하고 (optional), 결합될 수도 있거나 세분화될 수도 있고, 그리고 동작들이 순서가 가변할 수도 있거나 결합될 수도 있거나 세분화될 수도 있다. 이하의 기술에서, 설명의 목적들을 위해, 예시적인 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 청구 대상이 이들 구체적인 상세들 없이 실시될 수도 있다는 것은 당업자에게 자명할 것이다.
기판 표면에 걸친 기판 균일도는 에칭 프로세스 조건들에 의존하기 때문에 제어하기 어렵다. 조건들이 변하면, 균일도도 변할 수도 있다. (접지 전극 치수를 조정하는 것과 같이) 플라즈마 균일도를 제어하기 위한 정적 솔루션들은 넓은 범위의 프로세스 조건들에 걸쳐 효율적으로 수행되지 않을 수도 있다. 프로세스 파라미터들을 수반하는 솔루션들은 균일도를 해결하도록 (address) 수정될 때 원치 않은 부작용들을 야기할 수도 있다.
본 명세서에 논의된 기법들은 플라즈마 균일도를 제어하기 위해 진공 챔버 내에서 자기장들 (예를 들어, 축 방향 자기장 및/또는 방사상 자기장) 을 관리하기 위해 자기장 제어기를 사용한다. 본 명세서에 사용된 바와 같이, 용어 "축 방향 자기장"은 진공 챔버 내에서 기판의 표면에 직교하는 자기장을 나타낸다. 본 명세서에 사용된 바와 같이, 용어 "방사상 자기장"은 진공 챔버 내에서 기판의 표면에 평행한 자기장을 나타낸다. 개시된 자기장 제어기를 사용함으로써, 하나 이상의 진공 챔버들에서 자기장들의 다양성 (versatility) 및 유효성이 최대화될 수도 있다. 예를 들어, 개시된 자기장 제어기는 (기판에 걸친 플라즈마 밀도를 향상시킬 수도 있는) 방사상 자기장들 및 (기판 중심에서 플라즈마 밀도를 억제할 수도 있는) 축 방향 자기장들을 구성하고 관리하기 위해 사용될 수도 있다. 일부 양태들에서, 개시된 자기장은 더 우수한 균일도 및 챔버 매칭을 위해 잔류 자기장들을 보상/상쇄 (cancel) 하도록 사용될 수도 있다. 다른 양태들에서, 개시된 자기장들은 또한 임의의 주변 (또는 잔류) 자기장들의 부재 시에도 목표된 에칭 균일도를 달성하기 위해 플라즈마 균일도를 능동적으로 변화시키도록 사용될 수도 있다. 이 목적을 위해, 잔류 자기장들보다 더 강한 자기장들이 필요할 수도 있고, 그리고 잔류 자기장들은 이 목적을 위해 덜 중요한 것으로 간주될 수도 있다. 이와 관련하여, 목표된 자기장들은 (진공 챔버 내에서 수행된 프로세스 플로우와 연관된 프로세싱 조건들 또는 레시피 단계들에 따라 가변할 수도 있는) 에칭 균일도 요건에 의해 지시될 수도 있고, 그리고 개시된 자기장 제어기 및 자기장 소스는 목표된 자기장들을 전달하도록 사용될 수도 있다. 이러한 자기장은 또한 본 명세서에서 "활성 장 (active field)" 또는 "활성 자기장"으로 지칭된다. 결과적으로, 개시된 자기장 제어기는 (예를 들어, 잔류 자기장들을 보상/상쇄함으로써) 챔버 매칭을 위해 개별 방사상 활성 자기장 또는 축 방향 활성 자기장 뿐만 아니라 방사상 활성 자기장 및 축 방향 활성 자기장 모두의 조합을 관리하기 위해 그리고 목표된 에칭 균일도를 달성하기 위해 (용량 커플링 플라즈마 (Capacitive Coupled Plasma; CCP) 기반 기판 프로세싱 장치 또는 유도 커플링 플라즈마 (Inductively Coupled Plasma; ICP) 기반 기판 프로세싱 장치와 같은) 하나 이상의 기판 프로세싱 장치들의 진공 챔버 내 기판의 전체 표면에 걸친 플라즈마 밀도를 제어하기 위해 사용될 수도 있다. 자기장 제어기를 사용하여 활성 자기장을 구성하기 위한 다양한 기법들 및 옵션들이 도 1 내지 도 13과 관련하여 예시된다.
도 1은 일 실시 예에 따른, 기판들을 제작하기 위한 진공 챔버 (100) (예를 들어, 에칭 챔버) 를 예시한다. 두 전극들 사이에 전기장을 여기하는 것은 진공 챔버 내에서 무선 주파수 (radio frequency; RF) 가스 방전을 획득하기 위한 방법들 중 하나이다. 오실레이팅 (oscillating) 전압이 전극들 사이에 인가될 때, 획득된 방전은 용량 커플링 플라즈마 CCP 방전으로 지칭된다.
플라즈마 (102) 가 전자-중성자 (electron-neutral) 충돌들에 의해 유발된 다양한 분자들의 해리에 의해 생성된 광범위한 다양한 화학적으로 반응성 부산물들을 획득하기 위해 안정한 피드스톡 (feedstock) 가스들을 활용하여 생성될 수도 있다. 에칭의 화학적 양태는 에칭될 표면의 분자들과 중성 가스 분자들 및 이들의 해리된 부산물들의 반응, 및 펌핑 제거될 (pump away) 수 있는 휘발성 분자들을 생성하는 것을 수반한다. 플라즈마가 생성될 때, 양이온들은 기판 표면으로부터 재료를 제거하기에 충분한 에너지로 기판 표면에 부딪히도록 챔버 벽들로부터 플라즈마를 분리하는 공간-전하 시스 (sheath) 를 가로질러 플라즈마로부터 가속화된다. 기판 표면으로부터 재료들을 선택적으로 그리고 이방성으로 (anisotropically) 제거하기 위해 매우 에너제틱하고 (energetic) 화학적으로 반응성인 이온들을 사용하는 프로세스는 반응성 이온 에칭 (reactive ion etch; RIE) 이라고 지칭한다. 본 발명은 축 방향 자기장 및 방사상 자기장을 사용하여 플라즈마 밀도 및 시스 균일도를 제어함으로써 RIE 균일도를 개선한다.
(또한 자기장 제어기 또는 MFC로 지칭되는) 제어기 (116) 가 RF 생성기 (118), 가스 소스들 (122), 및 가스 펌프 (120) 와 같은 챔버 내 상이한 엘리먼트들을 제어함으로써 진공 챔버 (100) 의 동작을 관리한다. 일 실시 예에서, CF4 및 C4F8과 같은 플루오로카본 가스들은 이들의 이방성 및 선택적인 에칭 성능들을 위해 유전체 에칭 프로세스에 사용되지만, 본 명세서에 기술된 원리들은 다른 플라즈마 생성 가스들에 적용될 수 있다. 플루오로카본 가스들은 더 작은 분자 라디칼 및 원자 라디칼을 포함하는 화학적으로 반응성 부산물들로 용이하게 해리된다. 이들 화학적으로 반응성 부산물들은 유전체 재료를 에칭한다.
진공 챔버 (100) 는 상단 전극 (104) 및 하단 전극 (108) 을 갖는 프로세싱 챔버를 예시한다. 상단 전극 (104) 은 접지될 수도 있거나 RF 생성기 (미도시) 에 커플링될 수도 있고, 하단 전극 (108) 은 매칭 네트워크 (114) 를 통해 RF 생성기 (118) 에 커플링된다. RF 생성기 (118) 는 하나 또는 복수의 (예를 들어, 2 또는 3 개의) 상이한 RF 주파수들로 RF 전력을 제공한다. 특정한 동작을 위해 진공 챔버 (100) 의 목표된 구성에 따라, 복수의 RF 주파수들 중 적어도 하나는 턴 온될 (turn on) 수도 있거나 턴 오프될 (turn off) 수도 있다. 도 1에 도시된 실시 예에서, RF 생성기 (118) 는 적어도 3 개의 상이한 주파수들, 예를 들어, 400 ㎑, 2 ㎒, 27 ㎒ 및 60 ㎒를 제공하도록 구성되지만, 다른 주파수들이 또한 가능하다.
진공 챔버 (100) 는 가스 소스(들) (122) 에 의해 제공된 프로세스 가스를 진공 챔버 (100) 내로 입력하기 위한 상단 전극 (104) 상의 가스 샤워헤드 및 가스로 하여금 가스 펌프 (120) 에 의해 진공 챔버 (100) 로부터 펌핑되게 하는 천공된 한정 (confinement) 링 (112) 을 포함한다. 일부 예시적인 실시 예들에서, 가스 펌프 (120) 는 터보분자 (turbomolecular) 펌프이지만, 다른 타입들의 가스 펌프들이 활용될 수도 있다.
기판 (106) 이 진공 챔버 (100) 내에 존재할 때, 기판 (106) 의 표면 상의 균일한 에칭을 위해 플라즈마 (102) 의 하단 표면에서 균일한 RF 장 (field) 이 있도록 실리콘 포커스 링 (110) 이 기판 (106) 옆에 위치된다. 도 1의 실시 예는 상단 전극 (104) 이 대칭 RF 접지 전극 (124) 에 의해 둘러싸인 트라이오드 반응기 (triode reactor) 구성을 도시한다. 절연체 (126) 는 상단 전극 (104) 으로부터 접지 전극 (124) 을 격리하는 유전체이다. ICP 기반 구현 예들을 포함한, 진공 챔버 (100) 의 다른 구현 예들이 또한 개시된 실시 예들의 범위를 변경하지 않고 가능하다.
예를 들어, 기판 (106) 은, (예를 들어, 100 ㎜, 150 ㎜, 200 ㎜, 300 ㎜, 450 ㎜, 또는 보다 큰 직경을 갖는), 예를 들어, 원소-반도체 재료들 (예를 들어, 실리콘 (Si) 또는 게르마늄 (Ge)) 또는 화합물-반도체 재료들 (예를 들어, 실리콘 게르마늄 (SiGe) 또는 갈륨 비소 (GaAs)) 을 포함하는 웨이퍼들을 포함할 수 있다. 부가적으로, 예를 들어, 다른 기판들은 (반도체 재료들이 도포될 수도 있는) 석영 또는 사파이어와 같은 유전체 재료들을 포함한다.
RF 생성기 (118) 에 의해 생성된 주파수 각각은 기판 제작 프로세스에서 특정한 목적을 위해 선택될 수도 있다. 도 1의 예에서, 400 ㎑, 2 ㎒, 27 ㎒ 및 60 ㎒로 제공된 RF 전력들을 사용하여, 400 ㎑ 또는 2 ㎒ RF 전력은 이온 에너지 제어를 제공하고, 27 ㎒ 전력 및 60 ㎒ 전력은 플라즈마 밀도 및 화학 물질의 해리 패턴들의 제어를 제공한다. 이 구성은 RF 전력 각각이 턴 온되거나 턴 오프될 수도 있는, 기판들 또는 웨이퍼들 상의 울트라-로우 (ultra-low) 이온 에너지를 사용하는 특정한 프로세스들, 및 이온 에너지가 낮아야 하는 (예를 들어, 700 또는 200 eV 미만) 특정한 프로세스들 (예를 들어, 로우-k (low-k) 재료들에 대한 약한 에칭) 을 가능하게 한다.
또 다른 실시 예에서, 60 ㎒ RF 전력은 초 저 에너지들 및 매우 높은 밀도를 얻기 위해 상단 전극 (104) 상에서 사용된다. 이 구성은 정전 척 (electrostatic chuck; ESC) 표면 상에서 스퍼터링을 최소화하는 동안, 기판 (106) 이 진공 챔버 (100) 내에 있지 않을 때, 고밀도 플라즈마를 사용하여 챔버 세정을 가능하게 한다. ESC 표면은 기판 (106) 이 존재하지 않을 때 노출되고, 표면 상의 모든 이온 에너지가 방지되어야 하며, 이는 하단 2 ㎒ 전력 공급부 및 27 ㎒ 전력 공급부가 세정 동안 오프될 수도 있는 이유이다.
일부 양태들에서, 진공 챔버 (100) 는, 지구 자기장 또는 다른 주변 자기장들 (예를 들어, 호이스트 (hoist) 와 같은 진공 챔버의 자화된 (magnetize) 컴포넌트들로부터의 자기장들) 과 같은, 외부 자기장들에 노출된다. 진공 챔버 (100) 내 발생되는 잔류 자기장 (130C) 은 (크기 Bz를 갖는) 축 방향 자기장 (130A) 및 (크기 Br을 갖는) 방사상 자기장 (130B) 을 포함한다. 잔류 자기장 (130C) 은 특히 프로세싱 존 (134) 내의 기판 (106) 의 중심 영역 (132A) 또는 에지 영역들 (132B) 주변에 에칭 레이트 및 플라즈마 균일도에 부정적으로 영향을 줄 수도 있기 때문에 바람직하지 않다. 예시적인 실시 예에서, 하나 이상의 활성 자기장들은 잔류 자기장의 효과들에 대응하고 (counter) 플라즈마 균일도를 개선하도록 프로세싱 존 (134) 내에 도입될 수도 있다. 예를 들어, 하나 이상의 활성 자기장들은 MFC (116) 및 자기장 소스 (138) 를 통해 구성되고, 모니터링되고 및 제어될 수도 있는 하나 이상의 자기장 소스들 (예를 들어, 코일들) 에 의해 도입될 수도 있다. 일부 양태들에서, 하나 이상의 활성 자기장들은, 하나 이상의 활성 자기장들 각각의 크기 (또는 적어도 2 개의 활성 자기장들의 크기들의 비) 가 문턱 값에 도달하고, 프로세싱 존 (134) 내 기판 (106) 의 표면에 걸친 플라즈마 균일도를 가능하게 하도록 (facilitate), (예를 들어, 축 방향 자기장 (130A) 의 효과에 대응하기 위한) 하나 이상의 축 방향 활성 자기장들 및 (예를 들어, 방사상 자기장 (130B) 의 효과에 대응하기 위한) 하나 이상의 방사상 활성 자기장들을 포함할 수도 있다.
예시적인 실시 예에서, MFC (116) 는 하나 이상의 활성 자기장들을 생성하기 위해 사용된 하나 이상의 자기장 소스들 (예를 들어, 코일을 포함할 수 있는 자기장 소스 (138)) 의 동작을 더 구성하고 관리한다. 예를 들어, MFC (116) 는 통신 링크 (144) 를 통해 자기장 소스 (138) 의 동작을 구성하고 관리한다. 일부 실시 예들에서, 자기장 소스 (138) 는 지지 구조체 (140) 를 통해 진공 챔버 (100) 의 상단 플레이트 (136) 상에 장착된 코일이다. 일부 양태들에서, MFC (116) 는 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 자기장 센서 (142) 를 사용한다. 예를 들어, MFC (116) 는 하나 이상의 자기장 소스들을 통한 전류, 하나 이상의 활성 자기장들의 크기, 또는 하나 이상의 활성 자기장들의 극성 중 하나 이상을 결정하도록 검출된 신호를 사용할 수도 있다. 하나 이상의 활성 자기장들을 나타내는 결정된 신호는 하나 이상의 자기장 소스들을 통한 전류를 조정하기 위해 MFC (116) 에 의해 사용될 수도 있다. 자기장 센서 (142) 로부터 검출된 신호를 사용하여 MFC (116) 에 의해 수행된 예시적인 기능들은 도 5도 12과 관련하여 논의된다. 일부 실시 예들에서, 센서 (142) 는 기판 (106) 의 수평 평면에 평행한 수평 평면에 배치될 (place) 수도 있다. 부가적으로, 센서 (142) 는 센서의 수직 축 (예를 들어, 수평 평면에 직교하는 축) 이 기판 (106) 의 수직 축 (예를 들어, 중심 수직 축) 과 평행 (하거나 일치) 하도록 배치될 수도 있다.
일부 양태들에서, 제 1 활성 자기장 소스 (예를 들어, 진공 챔버의 제 1 표면을 따라 배치된 (dispose) 제 1 코일) 는 (예를 들어, 축 방향 자기장 (130A) 의 효과에 대응하기 위한) 축 방향 활성 자기장을 생성하기 위해 사용되고 그리고 제 2 활성 자기장 소스 (예를 들어, 제 1 코일에 대해 그리고 진공 챔버의 제 2 표면을 따라 직교로 배치된 제 2 코일) 는 (예를 들어, 방사상 자기장 (130B) 의 효과에 대응하기 위한) 방사상 활성 자기장을 생성하기 위해 사용된다.
다른 양태들에서, 챔버 매칭을 위한 잔류 자기장들의 보상 (또는 상쇄) 이 목표될 때, 하나 이상의 활성 자기장들 (예를 들어, 전류, 크기 또는 분극) 의 적어도 하나의 특성에 대응하는 타깃 값은 잔류 자기장 (130C) 의 공지된 특성들 (예를 들어, 극성 및 크기) 에 기초하여 (예를 들어, 기판 (106) 을 프로세싱하기 위해 진공 챔버를 사용하기 전에) 선험적으로 (a priori) 결정될 수도 있다. 다른 양태들에서, 하나 이상의 활성 자기장들의 적어도 하나의 특성 (예를 들어, 전류, 크기, 또는 분극) 에 대응하는 타깃 값은 목표된 에칭 균일도를 달성하기 위해 플라즈마 균일도를 능동적으로 변화시키도록 (예를 들어, 진공 챔버 프로세싱 조건들 또는 진공 챔버 프로세스 플로우 단계들 (레시피로도 지칭됨) 에 기초하여) 선험적으로 결정될 수도 있다. 일부 양태들에서, 타깃 값은 사용자 인터페이스 (예를 들어, 도 6 내지 도 8에 예시된 사용자 인터페이스들 중 적어도 하나) 를 통해 입력된 후 MFC (116) 에 의해 획득 (또는 검출) 될 수도 있다. MFC (116) 는 하나 이상의 자기장 소스들을 통한 초기 전류를 설정할 수도 있고, 초기 전류는 검출된 타깃 값에 대응한다. MFC (116) 는 하나 이상의 활성 자기장들을 나타내는 (센서 (142) 로부터) 검출된 신호에 기초하여 후속 전류를 주기적으로 조정할 수도 있다. 조정의 일부로서, MFC (116) 는 먼저 후속 전류를 측정하고 하나 이상의 활성 자기장들을 나타내는 (센서 (142) 로부터) 검출된 신호에 기초하여 조정을 결정할 수도 있다.
자기장 소스 (138) 및 지지 구조체 (140) 의 상이한 도면들이 도 2 내지 도 4에 예시된다. MFC (116) 의 더 상세한 도면이 도 5에 예시된다. 기능들을 구성하거나 MFC (116) 를 통해 데이터를 획득하기 위해 사용될 수도 있는 예시적인 사용자 인터페이스들이 도 6 내지 도 8에 예시된다. MFC (116) 를 통해 구성되거나 제어되는 하나 이상의 자기장 소스들의 상이한 구성들이 도 9 내지 도 11에 예시된다.
도 2a는 일부 예시적인 실시 예들에 따른, 프로세싱 존 내 활성 축 방향 자기장 및 활성 방사상 자기장을 갖는 진공 챔버 (202) 의 사시도 (200) 를 예시한다. 도 2a을 참조하면, 진공 챔버 (202) 는 제 1 외부 자기장 (206) 및 제 2 외부 자기장 (208) 과 같은, 외부 자기장들에 노출될 수 있고, 프로세싱 존 (204) (예를 들어, 진공 챔버 (202) 내부에 CCP로 충진된 볼륨) 내에 잔류 자기장 (210) 을 집합적으로 형성한다. 잔류 자기장 (210) 은 (크기 Bz를 갖는) 축 방향 자기장 (216) 및 (크기 Br을 갖는) 방사상 자기장 (218) 에 의해 형성될 수도 있다.
예시적인 실시 예에서, 프로세싱 존 (204) 내에서 기판 표면에 걸친 플라즈마 균일도에 대한 잔류 자기장 (210) 의 효과들은 대응하는 크기 Bzs 및 크기 Brs를 갖는 축 방향 활성 자기장 (220) 및 방사상 활성 자기장 (222) 중 하나 또는 모두를 포함하는 활성 자기장을 도입함으로써 완화될 수 있다. (예를 들어, 잔류 자기장 (210) 및 축 방향 활성 자기장 (220) 및 방사상 활성 자기장 (222) 을 포함하는 활성 자기장을 포함하는) 프로세싱 존 (204) 내 발생하는 자기장은 프로세싱 존 (204) 내 기판 표면에 걸쳐 더 큰 플라즈마 균일도를 발생시키도록 MFC (116) 를 통해 그리고 개시된 기법들을 사용하여 구성될 수도 있다. 더 구체적으로, (예를 들어, 도 5도 9 내지 도 11과 관련하여 논의된 바와 같이) 복수의 자기장 소스들은 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값(들)이 달성되도록 하나 이상의 활성 자기장들을 생성하기 위해 사용될 수도 있다.
도 2b는 일부 예시적인 실시 예들에 따른, 도 2a의 진공 챔버 (202) 의 평면도를 예시한다. 도 2c는 일부 예시적인 실시 예들에 따른, 도 2a의 진공 챔버 (202) 의 측면도를 예시한다. 도 2c를 참조하면, 진공 챔버 (202) 는 프로세싱 존 (204) 내에서 기판을 프로세싱하는 것과 관련하여 사용되는 다양한 설비들 (214) (예를 들어, RF 컴포넌트들 및 통신 링크들, 가스 전달 메커니즘들, 히터들, 고전압 클램프들, 기판 전달 메커니즘, 등) 뿐만 아니라 (도 1의 상단 플레이트 (136) 와 동일할 수 있는) 상단 플레이트 (212) 를 포함할 수 있다. 상단 플레이트 (212) 는 가스 플로우를 핸들링하기 위한 서모-커플러들 (thermo-couplers) 및 보조 컴포넌트들, 온도 제어를 위한 전력, 가스 진공 기능들과 연관된 기계적 컴포넌트들, 자기장 센서들 (예를 들어, 센서 (142)), 등을 포함할 수 있다.
예시적인 실시 예에서, 상단 플레이트 (212) 또는 설비들 (214) 은 진공 챔버 (202) 내의 잔류 자기장에 대응하고 하나 이상의 활성 자기장들의 적어도 하나의 특성 (예를 들어, 하나 이상의 자기장 소스들을 통한 목표된 전류, 목표된 극성, 또는 하나 이상의 활성 자기장들의 목표된 크기) 에 대응하는 목표된 타깃 값들을 달성하기 위해 하나 이상의 활성 자기장들 (예를 들어, 축 방향 활성 자기장 및 방사상 활성 자기장) 을 생성할 수 있는 하나 이상의 자기장 소스들 (예를 들어, 자기장 소스 (138)) 을 장착하기 위해 사용될 수도 있다.
도 3a는 일부 예시적인 실시 예들에 따른, 축 방향 자기장 및 방사상 자기장에 대한 자기장 소스로서 사용되는 코일을 갖는 진공 챔버 (302) 의 사시도를 예시한다. 코일은 단일 나선형 코일 또는 단일 코일을 형성하도록 함께 단단히 랩핑된 복수의 루프들일 수도 있다. 도 3a를 참조하면, 진공 챔버 (302) (진공 챔버 (100) 및 진공 챔버 (202) 와 동일할 수도 있음) 는 진공 챔버의 프로세싱 존 내의 위치 (308) 에서 측정된 잔류 자기장 (303) 을 경험할 수도 있다. 일부 양태들에서, 자기장 소스 (304) (예를 들어, 단일-코일) 는 진공 챔버 (302) 내에 활성 자기장 (306) 을 생성하도록 구성될 수도 있다. 활성 자기장 (306) 은 크기 Bz를 갖는 축 방향 자기장 (310) 및 크기 Br을 갖는 방사상 자기장 (312) 을 포함할 수도 있다. 일부 양태들에서, 활성 자기장 (306) 은 자기장 제어기 (예를 들어, MFC (116)) 에 의해 구성되고, 주기적으로 모니터링되고, 그리고 조정될 수도 있다. 예를 들어, 활성 자기장의 하나 이상의 특성들 (예를 들어, 자기장 소스 (304) 에 대한 전류, 극성, 크기, 등) 은 진공 챔버 내 플라즈마 분포의 균일도를 조정하도록 구성될 수도 있다.
예시적인 실시 예에서, 잔류 자기장 (303) 은 위치 (308) 에 또는 위치 (308) 근방에 배치된 자기장 센서 (예를 들어, 센서 (142)) 에 의해 검출되고 측정될 수도 있다. 부가적으로, MFC (116) 는 활성 자기장의 동적 조정들을 수행하기 위해 (예를 들어, 전류, 극성, 또는 크기를 결정하기 위해) 활성 자기장 (306) 을 나타내는 신호들을 검출하도록 자기장 센서 (예를 들어, 센서 (142)) 를 사용할 수도 있다. 예를 들어, MFC (116) 는 코일 (304) 의 전류 (예를 들어, 직류 (DC)) 를 조정할 수도 있고, 이에 따라 활성 자기장 (306) 의 크기 (및 대응하는 크기 Bz 및 크기 Br) 를 변화시킨다. 일부 양태들에서, 전류는, 진공 챔버 내에서 균일한 플라즈마 분포가 달성되도록 잔류 자기장 (303) 의 크기와 결합된 활성 자기장 (306) 의 크기가 목표된 크기 Bz 또는 크기 Br을 발생시키도록 조정될 수도 있다. 다른 양태들에서, MFC (116) 는 목표된 총 Bz 및/또는 Br이 목표된 에칭 균일도를 달성하도록 챔버 매칭을 위해 더 우수한 균일도를 달성하거나 플라즈마 균일도를 변화시키기 위해 챔버 내에서 달성되도록 자기장 소스의 상이한 특성들 (예를 들어, 전류, 크기, 극성, 등) 을 조정할 수도 있다.
도 3b는 일부 예시적인 실시 예들에 따른, 자기장 소스 (304) 에 대한 장착 옵션들을 예시하는 도 3a의 진공 챔버 (302) 의 측면도이다. 도 3b를 참조하면, 예시적인 실시 예에서, 자기장 소스 (304) (예를 들어, 코일) 는 내부적으로, 진공 챔버 (302) 내에, 그리고 프로세싱 존 (314) 에 근접하게 장착될 수도 있다. 예시적인 실시 예에서, 코일 (304) 은 (도 1의 상부 플레이트 (136) 와 동일할 수 있는) 진공 챔버 (302) 의 상단 플레이트 (316) 에 고정된 페데스탈 (318) (예를 들어, 도 1 도 4에 예시된 지지 구조체 (140)) 상에 장착될 수도 있다. 예시적인 실시 예에서, 코일 (304) 은 또한 연결부들 (320) 을 통해 진공 챔버 (302) 의 내부 표면 (예를 들어, 도 3b에 예시된 바와 같은 상단 표면) 에 장착될 수도 있다.
예시적인 실시 예에서, 코일 (304) 은 진공 챔버 (302) 의 외부에 배치될 수도 있다. 예시적인 실시 예에서, 복수의 코일들은 (예를 들어, 도 10도 11에 예시된 바와 같이) 활성 자기장들을 생성하도록 자기장 소스들로서 사용될 수도 있고, 코일 각각은 상이하게 (예를 들어, 진공 챔버 내부 또는 외부에) 포지셔닝될 수도 있다.
도 4는 일부 실시 예들에 따른, 도 1의 진공 챔버의 상단 플레이트 상에 장착된 예시적인 자기장 소스를 예시한다. 도 4를 참조하면, 지지 구조체 (140) 는 자기장 소스 (예를 들어, 코일) (138) 를 포함하는 금속 하우징을 포함할 수도 있다. 일부 양태들에서, 센서 (142) 는 브래킷 (402) (또는 또 다른 장착 메커니즘) 을 통해 지지 구조체 (140) 에 (예를 들어, 지지 구조체 (140) 의 표면 상에) 장착될 수도 있다.
도 5는 일부 실시 예들에 따른, 도 1의 진공 챔버 (100) 와 같은 진공 챔버에서 자기장을 제어하는 것과 관련하여 사용될 수도 있는 자기장 제어기 (116) 의 블록도 (500) 이다. 도 5를 참조하면, MFC (116) 는 적합한 회로망, 로직, 인터페이스들, 및/또는 코드를 포함하고 적어도 하나의 자기장 소스에 의해 생성된 하나 이상의 활성 자기장들뿐만 아니라 하나 이상의 활성 자기장들에 대응하는 타깃 값들을 나타내는 센서 데이터를 수신하고, 이에 따라 적어도 하나의 자기장 소스의 특성을 조정하도록 구성된다.
MFC (116) 는 단자 (522) 를 통해 전압 소스 (502) (예를 들어, 직류, 또는 DC, 전압 소스) 에 커플링된다. 일부 실시 예들에서, MFC (116) 는 단자 (524) 를 통해 통신 가능하게 커플링된 진공 챔버들 (vacuum chambers; VC들) (504, …, 506) 의 기능들을 제어하도록 구성된다. MFC (116) 에 의해 제어되는 VC들의 예시적인 기능들은 도 1과 관련하여 논의되고 단자 (526) 를 통해 MFC (116) 에 통신 가능하게 커플링된 자기장 소스들 (magnetic field sources; MFS들)(508, …, 510) 의 적어도 하나의 특성을 구성하는 것, 모니터링하는 것 및 조정하는 것을 포함할 수도 있다. MFC (116) 는 단자 (528) 를 통해 MFC (116) 에 통신 가능하게 커플링된 센서들 (512, …, 514) 을 통해 MFS들 (508, …, 510) 의 적어도 하나의 특성을 모니터링할 수도 있다. 일부 실시 예들에서, MFS들 (508, …, 510) 중 하나 이상은 상이한 진공 챔버들 (예를 들어, MFC (116) 에 의해 관리되는 VC들 (504, …, 506) 중 적어도 하나) 에 배치될 수도 있다. 이와 관련하여, MFC (116) 는 복수의 VC들에서 복수의 MFS들과 연관된 활성 자기장들을 관리하도록 구성된다.
일부 실시 예들에서, MFC (116) 는 단자 (530) 를 통해 MFC (116) 에 통신 가능하게 커플링된 제어 노드 (516)(예를 들어, 컴퓨팅 디바이스 또는 또 다른 타입의 네트워크 노드) 로부터 MFS들 (508, …, 510) 중 하나 이상에 의해 생성된 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값들을 수신할 수도 있다. 예를 들어, MFC (116) 는 제어 노드 (516) 로부터 타깃 값들을 수신하고, 타깃 값들은 사용자 인터페이스들 (user interfaces; UI들) (518, …, 520) 을 통해 입력된다. 자기장들을 제어하기 위해 개시된 기능들과 함께 사용될 수도 있는 예시적인 UI들은 도 6, 도 7 도 8과 관련하여 기술된다. 예시적인 타깃 값들은 MFS들 (508, …, 510) 중 하나 이상에 의해 생성된 하나 이상의 활성 자기장들과 연관된 타깃 코일 전류 (target coil current; TCC), 타깃 자기장 크기 (target magnetic field magnitude; TMFM), 및 타깃 자기장 극성 (target magnetic field polarity; TMFP) 을 포함한다.
일부 실시 예들에서, 센서들 (512, …, 514) 은 특정한 방향들의 자기장들 (예를 들어, 축 방향 자기장 및 방사상 자기장) 뿐만 아니라 잔류 자기장들 (예컨대 잔류 자기장 (303)) 을 검출하고 측정하도록 사용될 수도 있다. 측정된 잔류 자기장들은 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값들을 결정하기 위해 (예를 들어, MFS들 (508, …, 510) 중 하나 이상의 초기 전류, 하나 이상의 활성 자기장들의 초기 극성, 또는 하나 이상의 활성 자기장들의 초기 크기를 결정하기 위해) 사용될 수도 있다.
일부 양태들에서, 하나 이상의 활성 자기장들을 나타내는 신호들 (예를 들어, 하나 이상의 MFS들 (508, …, 510) 과 연관된 전류, 하나 이상의 활성 자기장들의 크기, 및 극성) 이 제어 노드 (516) 에 제시된 UI들 (518, …, 520) 중 하나 이상을 통해 (예를 들어, 제어 노드 (516) 의 사용자에게) 출력된다.
동작 시, MFC (116) 는 제 1 단자 (522) 를 통해 DC 전압 소스 (502) 에, 제 2 단자 (524) 를 통해 VC들 (504, …, 506) 에, 제 3 단자 (526) 를 통해 하나 이상의 MFS들 (508, …, 510) 에, 제 4 단자 (528) 를 통해 하나 이상의 자기장 센서들 (512, …, 514) 에, 그리고 제 5 단자 (530) 를 통해 제어 노드 (516) 에 커플링된다. 하나 이상의 진공 챔버들 (504, …, 506) 각각은 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함한다. 하나 이상의 MFS들 (508, …, 510) 각각은 하나 이상의 VC들 (504, …, 506) 중 적어도 하나 VC의 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된다. 하나 이상의 자기장 센서들 (512, …, 514) 은 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된다. MFC (116) 는 프로세싱 회로망 (532) (예를 들어, 하나 이상의 중앙 프로세싱 유닛들, 또는 CPU들 (Central Processing Units)) 을 더 포함한다. 프로세싱 회로망 (532) 은 제 1 단자, 제 2 단자, 제 3 단자, 제 4 단자 및 제 5 단자에 통신 가능하게 커플링된다. 부가적으로, 프로세싱 회로망 (532) 은 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 검출하도록 구성된다. 프로세싱 회로망 (532) 은 하나 이상의 MFS들 (508, …, 510) 을 통한 초기 전류를 설정하도록 더 구성되고, 여기서 초기 전류는 타깃 값에 대응한다. 프로세싱 회로망 (532) 은 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 하나 이상의 MFS들 (508, …, 510) 을 통한 후속 전류를 조정하도록 더 구성된다.
일부 실시 예들에서, 하나 이상의 자기장 센서들 (512, …, 514) 은 하나 이상의 활성 자기장들을 나타내는 신호를 주기적으로 검출하도록 구성된다. 프로세싱 회로망 (532) 은 하나 이상의 자기장 소스들을 통한 후속 전류를 모니터링하고, 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 하나 이상의 MFS들을 통한 후속 전류를 주기적으로 조정하도록 더 구성된다.
특정 양태들에서, 적어도 하나의 특성은, (하나 이상의 자기장 소스들로서 구성된) 하나 이상의 코일들과 연관된 코일 전류, 하나 이상의 활성 자기장들의 자기장 크기, 및 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함한다. 프로세싱 회로망 (532) 은 코일 전류와 타깃 값에 대응하는 초기 전류 사이의 차에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
일부 실시 예들에서, 검출된 신호는 하나 이상의 활성 자기장들의 자기장 크기를 나타내고 그리고 프로세싱 회로망 (532) 은, 하나 이상의 활성 자기장들의 자기장 크기와 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
또 다른 실시 예에서, 검출된 신호는 하나 이상의 활성 자기장들의 자기장 극성을 나타내고, 프로세싱 회로망 (532) 은, 하나 이상의 활성 자기장들의 자기장 극성 및 타깃 값에 대응하는 자기장 극성에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
도 6, 도 7, 도 8은 일부 실시 예들에 따른, 도 5의 자기장 제어기 (116) 와 연관된 데이터를 획득하거나 기능들을 구성하기 위해 사용될 수도 있는 예시적인 사용자 인터페이스들 (UI들) 이다. 도 6을 참조하면, UI (600) 가 제어 노드 (516) 에 디스플레이될 수도 있고 자기장 제어기 (예를 들어, MFC (116) 일 수 있는 "제어기 1") 와 관련하여 구성 정보를 제공하도록 사용된다. UI (600) 는 제어기 식별 섹션 (601) 및 구성 정보 섹션 (603) 을 포함할 수도 있다.
도 6에 예시된 바와 같이, 제어기 식별 섹션 (601) 은 제어 노드 (516) 를 통해 액세스될 수 있는 이용 가능한 자기장 제어기들 (예를 들어, 제어기 1, …, 제어기 S) 을 식별하는 서브-섹션 (602) 을 포함한다. 서브-섹션 (602) 은 구성 정보 섹션 (603) 에 제공된 데이터와 연관된 이용 가능한 제어기들 중 하나 이상을 (예를 들어, 하이라이팅함 (highlight) 으로써) 더 식별한다. 예를 들어, 도 6의 서브-섹션 (602) 은 구성 정보 섹션 (603) 과 연관된 제어기인 제어기 1을 하이라이팅한다.
제어기 식별 섹션 (601) 은 이용 가능한 진공 챔버들을 리스팅하고 (list) 선택된 제어기에 의해 액세스된 진공 챔버들 중 하나 이상을 하이라이팅하는 (예를 들어, VC 1이 도 6에서 하이라이팅됨) 서브-섹션 (604) 을 더 포함한다. 제어기 식별 섹션 (601) 은 이용 가능한 MFS들을 리스팅하고 선택된 제어기에 의해 액세스된 MFS들 중 하나 이상을 하이라이팅하는 (예를 들어, MFS 1이 도 6에서 하이라이팅됨) 서브-섹션 (606) 을 더 포함한다.
구성 정보 섹션 (603) 은 타깃 값들의 구성 (예를 들어, 제어 노드 (516) 의 사용자에 의한 입력 (entry)) 또는 이전에 입력된 타깃 값들의 디스플레이를 허용하는 다음의 필드들: 타깃 제어 전류 (TCC) (608) (이 파라미터는 암페어 (A) 로 측정된 특정한 전류에 대응하는 단위 전류를 나타내고; 파라미터 0이 턴 오프된 전류에 대응하여 입력되고; 0보다 더 큰 파라미터가 전류의 특정 단위들이 사용됨을 나타내고; 전류의 단일 단위가 1 A에 대응할 수도 있고 또는 대응하지 않을 수도 있음), 타깃 자기장 크기 (TMFM) (610) (이 파라미터는 특정한 Gauss 측정치가 아니라 자기장 강도의 단위를 나타내고; 파라미터 3.0이 자기장 강도의 3 단위들에 대응하여 입력되고; 또는 자기장 강도의 단일 단위는 1 Gauss에 대응할 수도 있고 대응하지 않을 수도 있음), 및 타깃 자기장 극성 (TMFP) (612) (양의 극성을 나타내는 파라미터 "+ 1"이 입력됨) 을 포함한다.
구성 정보 섹션 (603) 은 선택된 제어기 (제어기 1) 와 연관된 부가적인 구성 정보, 예컨대, 모니터링된 코일 전류 (614) (예를 들어, 코일 전류의 현재 상태), 선택된 MFS에 대한 MFS 전력 (616) (예를 들어, MFS에 전력이 공급되는 지 여부), 상단 플레이트 커버 폐쇄 인디케이터 (top plate cover closed indicator) (618) (MFS를 하우징하는 상단 플레이트를 위한 상단 플레이트 커버가 폐쇄되고 동작하기에 안전한지 여부를 나타냄), 제어기 전력 공급 퓨즈 상태 인디케이터 (620), 및 제어기 전력 공급 인디케이터 (622) (선택된 제어기에 공급된 현재 전압을 나타냄) 를 더 디스플레이할 수도 있다. 도 6에 예시되지 않은 (타깃 값들, 모니터링된 정보, 또는 기타 구성 정보를 포함하는) 부가적인 구성 정보가 또한 구성 정보 섹션 (603) 에 포함될 수도 있다.
도 7을 참조하면, UI (700) 가 제어 노드 (516) 에 디스플레이될 수도 있고 자기장 제어기 (예를 들어, MFC (116) 일 수 있는 "제어기 1") 에 의해 관리되는 기판 프로세싱 플로우 (708) 와 관련하여 구성 정보를 제공하도록 사용된다. UI (700) 는 제어기 식별 섹션 (701) 및 구성 정보 섹션 (703) 을 포함할 수도 있다. 제어기 식별 섹션 (701) 은 도 6의 서브-섹션들 (602, 604, 및 606) 과 동일할 수도 있는, 서브-섹션들 (702, 704, 및 706) 을 포함한다.
기판 프로세싱 플로우 (708) 는 선택된 진공 챔버 (예를 들어, 서브-섹션 (704) 에 나타낸 바와 같은 VC 1) 에 의해 기판을 프로세싱하기 위한 레시피 (또는 프로세싱 플로우) 와 연관된 복수의 프로세싱 단계들 (예를 들어, 단계들 (710, 712, 및 714)) 을 나타낼 수도 있다. 일부 실시 예들에서, 기판 프로세싱 플로우 (708) 의 단계 각각에 대해, 제어기 (예를 들어, MFC (116) 와 동일할 수 있는 제어기 1) 는 이 단계를 위해 입력된 특정한 타깃 값들을 검출 (또는 검색) 할 수 있다. 예를 들어, 제 1 세트의 타깃 값들 (716) (TCC = 0.0, TMFM = 0.0, 및 TMFP = "+ 1"을 포함함) 이 단계 (710) 에 대해 입력될 수도 있고, 제 2 세트의 타깃 값들 (718) (TCC = 2.5, TMFM = 2.0, 및 TMFP = "+ 1"을 포함함) 이 단계 (712) 에 대해 입력될 수도 있고, 그리고 제 3 세트의 타깃 값들 (720) (TCC = 5.5, TMFM = 4.0, 및 TMFP = "+ 1"을 포함함) 이 단계 (714) 에 대해 입력될 수도 있다. 일부 실시 예들에서, UI (700) 는 선택된 제어기에 의해 관리되는 복수의 진공 챔버들에서 수행된 복수의 레시피들을 관리하기 위해 사용될 수도 있다.
도 8을 참조하면, UI (800) 는 제어 노드 (516) 에 디스플레이될 수도 있고 하나 이상의 타깃 값들과 연관된 구성 정보를 편집하기 위해 구성 편집기 (808) 를 제공하도록 사용된다. UI (800) 는 제어기 식별 섹션 (801) 및 구성 편집기 (808) 를 갖는 구성 정보 섹션 (803) 을 포함할 수도 있다. 제어기 식별 섹션 (801) 은 도 6의 서브-섹션들 (602, 604, 및 606) 과 동일할 수도 있는, 서브-섹션들 (802, 804, 및 806) 을 포함한다.
구성 편집기 (808) 는 TCC, TMFM 및 TMFP를 포함하여, 제어기에 의해 사용된 복수의 타깃 값들에 대해 최소 (MIN) 값, 최대 (MAX) 값, 디폴트 값, 현재 값, 및 제안된 값을 특정하기 위한 사용자 인터페이스 (810) 를 포함할 수도 있다. 도 8에 예시된 특정한 타깃 값들은 예들이고 그리고 다른 타깃 값들이 또한 구성될 수도 있다.
도 9는 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장에 대한 자기장 소스로서 사용되는 단일-코일 (908) 을 갖는 진공 챔버 (902) (진공 챔버 (100) 와 동일할 수 있음) 의 도면 (900) 을 예시한다. 도 9를 참조하면, 단일-코일 (908) 은 크기 Bz를 갖는 축 방향 활성 자기장 (910) 및 크기 Br을 갖는 방사상 활성 자기장 (912) 에 대한 소스로서 사용된다. 축 방향 활성 자기장 (910) 및 방사상 활성 자기장 (912) 중 하나 또는 모두는 MFC (116) 와 같은 자기장 제어기에 의해 구성되고, 모니터링되고 그리고 제어될 수도 있다.
페데스탈 (904) 상에 배치된 기판 (906) 의 기판 프로세싱 동안, 단일-코일 (908) 은 활성화되어 (activate) 축 방향 활성 자기장 (910) 및 방사상 활성 자기장 (912) 을 발생시킨다. 예시적인 실시 예에서, (예를 들어, 진공 챔버 (902) 내부 또는 외부의) 단일-코일 (908) 의 위치 , 진공 챔버의 상단 표면까지의 단일-코일의 거리 H (또는 기판 (906) 까지의 단일-코일의 거리), 단일-코일 (908) 을 통한 전류, 단일-코일 (908) 의 자기장의 극성 또는 단일-코일 및 단일-코일이 생성하는 자기장의 다른 특성들은, 목표된 에칭 균일도를 달성하기 위해 기판 표면에 걸친 플라즈마 균일도를 튜닝하기 위해 활성 자기장의 극성 또는 미리 규정된 진폭 (또는 단일-코일을 통한 미리 규정된 전류) 을 달성하도록 (예를 들어, 진공 챔버의 셋업 동안 또는 프로세싱 동안 동적으로) MFC (116) 에 의해 가변될 수도 있다.
일 예시적인 실시 예에서, 진공 챔버에서 기판 표면에 걸친 더 최적의 플라즈마 균일도 및 활성 자기장의 튜닝 가능성을 달성하도록, 복수의 자기장 소스들 (예를 들어, 적어도 2 개의 자기장 소스들) 이 진공 챔버 내에서 축 방향 자기장 및 방사상 자기장을 생성하도록 사용될 수도 있고, 자기장 소스들의 프로세싱 특성들은 MFC (116) 에 의해 개별적으로 (예를 들어, 셋업 시간에 또는 기판 프로세싱 동안 동적으로) 조정될 수도 있다. 복수의 자기장 소스들을 사용하는 예시적인 실시 예들이 도 10도 11과 관련하여 논의된다.
도 10은 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장을 위해 결합된 자기장 소스로서 사용된 코일들 (예를 들어, 코일들 (1004 및 1006)) 과 같은 2 개의 자기장 소스들을 갖는 진공 챔버 (1002) (진공 챔버 (100) 와 동일할 수 있음) 의 도면 (1000) 을 예시한다. 도 10을 참조하면, 코일들 (1004 및 1006) 은 크기 Bz를 갖는 축 방향 활성 자기장 (1014) 및 크기 Br을 갖는 방사상 활성 자기장 (1012) 에 대한 결합된 소스로서 사용된다.
도 10에 예시된 바와 같이, 기판 (1010) 은 진공 챔버 (1002) 내의 페데스탈 (1008) 상에 배치된다. 코일 (1004) 은 진공 챔버 (1002) 의 상단 표면으로부터 H1의 거리에 배치되고 코일 (1006) 은 진공 챔버 (1002) 의 하단 표면에서 H2의 거리에 배치된다. 페데스탈 (1008) 상에 배치된 기판 (1010) 의 기판 프로세싱 동안, 코일들 (1004 및 1006) 은 활성화되어 축 방향 활성 자기장 (1014) 및 방사상 활성 자기장 (1012) 을 발생시킨다.
코일들 (1004 및 1006) 이 모두 진공 챔버 (1002) 외부에 있는 것으로 예시될지라도, 본 개시는 이와 관련하여 제한되지 않고 임의의 코일들 (1004 및 1006) 은 진공 챔버 (1002) 의 내부 또는 외부에 배치될 수도 있다. 부가적으로, 코일들 (1004 및 1006) 의 적어도 하나의 특성은 (예를 들어, 하나 이상의 타깃 값들에 기초하여) 구성되고, (하나 이상의 센서들을 통해) 모니터링되고 MFC (116) 에 의해 (예를 들어, 타깃 값들과 적어도 하나의 특성의 현재 상태를 나타내는 센서 데이터 또는 신호들 사이의 차에 기초하여) 조정될 수도 있다. 일부 양태들에서, MFC (116) 는 모니터링 기능 및 조정 기능 (거리 H1 및 거리 H2를 조정하는 것을 포함함) 을 동적으로 수행할 수도 있는 한편, 기판이 플라즈마 분배 및 에칭 균일도를 최적화하도록 진공 챔버 내에서 프로세싱된다.
예시적인 실시 예에서, (예를 들어, 진공 챔버 (1002) 내부 또는 외부의) 코일들 (1006 및 1004) 의 위치, 진공 챔버의 대응하는 상단 표면 및 하단 표면까지의 거리 H1 및 거리 H2 (또는 기판 (1010) 까지의 코일들 (1004 및 1006) 의 각각의 거리들), 코일들 (1004 및 1006) 각각을 통한 전류 (또는 코일들이 생성하는 자기장들의 크기 및 극성을 포함하는 코일들의 임의의 다른 프로세싱 특성) 는 목표된 에칭 균일도를 달성하기 위해 기판 표면에 걸친 최적의 튜닝 플라즈마 균일도를 위한 상이한 활성 자기장들을 달성하기 위해 (예를 들어, 진공 챔버의 셋업 동안 또는 프로세싱 동안 동적으로 MFC (116) 에 의해) 코일 각각에 대해 개별적으로 가변될 수도 있다. 부가적으로, 코일들 (1004 및 1006) 은 동일하거나 상이한 직경이고, (예를 들어, 도 10에 예시된 바와 같이) 동일한 배향으로 배치되고 또는 서로에 대해 상이한 배향으로 배치될 수도 있다.
도 11은 일부 예시적인 실시 예들에 따른, 축 방향 활성 자기장 및 방사상 활성 자기장을 위해 결합된 자기장 소스로서 사용된 코일들 (예를 들어, 코일들 (1102, 1104, 1106, 및 1108)) 과 같은 4 개의 자기장 소스들을 갖는 진공 챔버 (1110) (진공 챔버 (100) 와 동일할 수 있음) 의 도면 (1100) 을 예시한다. 도 11을 참조하면, 코일들 (1108 내지 1108) 은 크기 Bz를 갖는 축 방향 활성 자기장 (1118) 및 크기 Br을 갖는 방사상 활성 자기장 (1116) 에 대한 결합된 소스로서 사용된다.
도 11에 예시된 바와 같이, 기판 (1114) 은 진공 챔버 (1110) 내의 페데스탈 (1112) 상에 배치된다. 코일들 (1108, 1106, 1104, 및 1102) 은 진공 챔버 (1110) 의 상단 표면으로부터 대응하는 거리들 (H1, H2, H3, 및 H4) 에 배치된다. 코일들 (1102 내지 1108) 이 진공 챔버 (1110) 외부에 있는 것으로 예시될지라도, 본 개시는 이와 관련하여 제한되지 않고, 코일들 (1102 내지 1108) 중 임의의 코일은 (서로 평행하고 그리고 기판 (1114) 에 평행하게 유지되는 동안) 진공 챔버 (1110) 의 내부 또는 외부에 배치될 수도 있다.
예시적인 실시 예에서 그리고 도 11에 예시된 바와 같이, 코일들 (1102 내지 1108) 은 상이한 직경들을 갖는다. 그러나, 본 개시는 이와 관련하여 제한되지 않고, 코일들 (1102 내지 1108) 중 2 개 이상이 동일한 직경을 가질 수도 있다. 부가적으로, 도 11은 상이한 직경들을 갖고 스택된 구성으로 배치된 4 개의 개별 코일들을 예시하지만, 본 개시는 이와 관련하여 제한되지 않고 그리고 MFC (116) 는 진공 챔버의 하나 이상의 표면들에 근접하여 상이한 구성들로 배치된 상이한 수의 자기장 소스들을 제어하도록 구성될 수도 있다.
페데스탈 (1112) 상에 배치된 기판 (1114) 의 기판 프로세싱 동안, 코일들 (1102 내지 1108) 은 활성화되어 축 방향 활성 자기장 (1118) 및 방사상 활성 자기장 (1116) 을 발생시킨다.
일 예시적인 실시 예에서, (예를 들어, 진공 챔버 (1110) 내부 또는 외부의) 코일들 (1102 내지 1108) 의 위치, 진공 챔버의 상단 표면까지의 거리 H1 내지 거리 H4 (또는 기판 (1114) 까지의 코일들 (1102 내지 1108) 의 각각의 거리들), 코일들 (1102 내지 1108) 각각을 통한 전류 (또는 코일들이 생성하는 자기장들의 극성 및 크기와 같은 코일들의 임의의 다른 프로세싱 특성) 는 미리 규정된 타깃 값들에 기초하여 상이한 활성 자기장들을 달성하고 그리고 기판 표면에 걸친 최적의 튜닝 플라즈마 균일도를 달성하기 위해 (예를 들어, 진공 챔버의 셋업 동안 또는 프로세싱 동안 동적으로 MFC (116) 에 의해) 코일 각각에 대해 개별적으로 가변될 수도 있다.
도 12는 일부 예시적인 실시 예들에 따른, 진공 챔버에서 자기장을 제어하기 위한 방법 (1200) 의 플로우 차트이다. 방법 (1200) 은 도 1도 5의 자기장 제어기 (116) 또는 도 13의 프로세서 (1302) 와 같은 자기장 제어기에 의해 수행될 수도 있는, 동작들 (1202, 1204, 및 1206) 을 포함한다. 일부 실시 예들에서, 도 13의 프로세서 (1302) 는 프로세싱 회로망 (532) 과 동일할 수도 있다. 도 12를 참조하면, 동작 (1202) 에서, 진공 챔버의 프로세싱 존을 통한 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값이 결정된다. 예를 들어, MFC (116) 는 UI (600) 를 통해 (예를 들어, 사용자에 의해) 제공되거나 지정된 타깃 값들 (608 내지 612) 중 하나 이상을 결정한다 (예를 들어, 메모리로부터 수신하거나 회수한다).
동작 (1204) 에서, MFC (116) 는 하나 이상의 활성 자기장들을 생성하도록 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하고, 초기 전류는 타깃 값에 대응한다. 예를 들어, MFC (116) 는 타깃 값에 기초하여 (예를 들어, TCC (608) 에 기초하여) MFS들 (508, …, 510) 중 적어도 하나에 대한 초기 전류를 결정하고 MFS들 (508, …, 510) 중 적어도 하나에 대해 결정된 초기 전류를 설정한다.
동작 (1206) 에서, MFC (116) 는 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하고, 신호는 적어도 하나의 자기장 소스와 연관된 자기장 센서를 통해 검출된다. 예를 들어, 자기장 센서를 통해 검출된 신호는 활성화된 적어도 하나의 MFS의 전류, 생성된 활성 자기장의 극성, 또는 측정된 생성된 활성 자기장의 크기를 나타낼 수도 있다. 예를 들어, MFC (116) 는 초기 전류를 설정하는 것으로부터 미리 결정된 시간이 지난 후 센서들 (512, …, 514) 중 하나 이상을 통해 후속 전류를 측정한다. MFC (116) 는 후속 전류가 측정될 때 검출된 신호와 타깃 값 사이의 차에 기초하여 (예를 들어, MFS 전류, 활성 자기장 극성, 또는 크기에 대한) 조정을 결정할 수도 있다.
자기장들은 다양한 이유들 (예를 들어, 컴포넌트 온도 변화들, 주변 자기장 변화들, 등) 으로 웨이퍼 프로세싱 동안 변화 (드리프트) 할 수도 있다. 웨이퍼 프로세스 내내, 웨이퍼로부터 웨이퍼로, 그리고 챔버로부터 챔버로 목표된 장을 유지하기 위해, 방법 (1200) 은 적어도 하나의 MFS를 제어하도록 MFC에서 액티브 피드백 루프를 더 구현한다. 도 12는 동작 (1206) 으로부터의 정보가 동작 (1202) 으로 피드백되는 제어 루프 메커니즘을 더 예시한다. 더 구체적으로, 적어도 하나의 자기장 소스를 통한 후속 전류는 자기장 센서에 의해 검출된 신호 (예를 들어, 코일 전류) 와 타깃 값에 대응하는 초기 전류 사이의 전류들의 차에 기초하여 조정된다. 결정된 전류들의 차는 피드백되고 동작 (1202) 에서 다시 사용될 수도 있고, 여기서 타깃 값은 결정된 전류들의 차에 기초하여 조정되고, 그리고 방법 (1200) 의 나머지 동작들 (1204 내지 1206) 이 반복될 수도 있다. 특정 양태들에서, 적어도 하나의 특성은, 이하의 (적어도 하나의 자기장 소스로서 구성된) 적어도 하나의 코일과 연관된 코일 전류, 하나 이상의 활성 자기장들의 자기장 크기, 및 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함한다.
일부 실시 예들에서, MFC (116) 는 코일 전류와 타깃 값에 대응하는 초기 전류 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성된다.
상이한 실시 예에서, 신호는 하나 이상의 활성 자기장들의 자기장 크기를 나타낸다. MFC (116) 는 하나 이상의 활성 자기장들의 자기장 크기와 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성된다.
일부 양태들에서, 신호는 하나 이상의 활성 자기장들의 자기장 극성을 나타낸다. MFC (116) 는 하나 이상의 활성 자기장들의 자기장 극성 및 타깃 값에 대응하는 자기장 극성에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성된다.
일부 실시 예들에서, MFC (116) 는 하나 이상의 활성 자기장들을 나타내는 신호를 주기적으로 검출하고, 적어도 하나의 자기장 소스를 통한 후속 전류를 모니터링하고 그리고 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 주기적으로 조정하도록 더 구성된다.
도 13은 본 명세서에 기술된 하나 이상의 예시적인 프로세스 실시 예들이 구현되거나, 제어될 수도 있는 머신 (1300) 의 일 예를 예시하는 블록도이다. 대안적인 실시 예들에서, 머신 (1300) 은 독립 (standalone) 디바이스로서 동작할 수도 있거나, 다른 머신들에 연결될 (예를 들어, 네트워킹될) 수도 있다. 네트워킹된 배치 (deployment) 에서, 머신 (1300) 은 서버-클라이언트 네트워크 환경들에서 서버 머신, 클라이언트 머신 또는 두 가지 머신 모두로서 동작할 수도 있다. 일 예에서, 머신 (1300) 은 P2P (peer-to-peer) (또는 다른 분산된) 네트워크 환경의 피어 (peer) 머신으로 작용할 수도 있다. 또한, 단일 머신 (1300) 만이 예시되지만, 용어 "머신"은 본 명세서에 논의된, 클라우드 컴퓨팅, 서비스형 소프트웨어 (software as a service; SaaS) 또는 다른 컴퓨터 클러스터 구성들 (computer cluster configurations) 과 같은 방법론들 중 임의의 하나 이상을 수행하기 위해 인스트럭션들의 세트 (또는 복수의 세트들) 를 개별적으로 또는 공동으로 실행하는 머신들의 임의의 집합을 포함하는 것으로 이해되어야 한다.
본 명세서에 기술된 예들은, 로직, 다수의 컴포넌트들 또는 메커니즘들을 포함할 수도 있고 또는 이에 의해 동작할 수도 있다. 회로망은 하드웨어 (예를 들어, 단순 회로들, 게이트들, 로직) 를 포함하는 유형 개체들 (tangible entities) 로 구현된 회로들의 집합이다. 회로망 부재 (circuitry membership) 는 시간 및 기본 하드웨어 변동성에 대해 유연할 수도 있다. 회로망들은 동작할 때 단독으로 또는 조합하여, 지정된 동작들을 수행할 수도 있는 부재들을 포함한다. 일 예에서, 회로망의 하드웨어는 특정한 동작을 수행하기 위해 변경할 수 없게 설계 (예를 들어, 하드웨어에 내장 (hardwired)) 될 수도 있다. 일 예에서, 회로망의 하드웨어는 특정 동작의 인스트럭션들을 인코딩하기 위해 물리적으로 (예를 들어, 자기적으로, 전기적으로, 불변 질량 입자들의 이동 가능한 배치에 의해) 변경된 컴퓨터 판독가능 매체를 포함하여, 가변적으로 연결된 물리적 컴포넌트들 (예를 들어, 실행 유닛들, 트랜지스터들, 단순 회로들) 을 포함할 수도 있다. 물리적 컴포넌트들의 연결에서, 하드웨어 구성 요소의 기본적인 전기적 특성들이 (예를 들어, 절연체로부터 전도체로 또는 반대로) 변화된다. 인스트럭션들은 동작 중일 때 임베딩된 하드웨어 (예를 들어, 실행 유닛들 또는 로딩 메커니즘) 로 하여금 특정 동작의 일부들을 수행하기 위해 가변 연결부들을 통해 하드웨어 내에 회로망의 부재들을 생성하게 한다. 따라서, 컴퓨터 판독 가능 매체는 디바이스가 동작 중일 때 회로망의 다른 컴포넌트들에 통신 가능하게 커플링된다. 일부 양태들에서, 임의의 물리적 컴포넌트들은 2 개 이상의 회로망의 2 개 이상의 부재에서 사용될 수도 있다. 예를 들어, 동작 하에, 실행 유닛들은 일 시점에서 제 1 회로망의 제 1 회로에서 사용될 수도 있고, 상이한 시점에 제 1 회로망의 제 2 회로, 또는 제 2 회로망의 제 3 회로에 의해 재사용될 수도 있다.
머신 (예를 들어, 컴퓨터 시스템) (1300) 은 하드웨어 프로세서 (1302) (예를 들어, CPU (Central Processing Unit), 하드웨어 프로세서 코어 (core), 또는 이들의 임의의 조합), GPU (Graphics Processing Unit) (1303), 메인 메모리 (1304) 및 정적 메모리 (1306) 를 포함할 수도 있고, 이들 중 일부 또는 전부는 인터링크 (interlink) (예를 들어, 버스 (bus)) (1308) 를 통해 서로 통신할 수도 있다. 머신 (1300) 은 디스플레이 디바이스 (1310), 영숫자 입력 디바이스 (alphanumeric input device) (1312) (예를 들어, 키보드) 및 사용자 인터페이스 UI) 내비게이션 디바이스 (1314) (예를 들어, 마우스) 를 더 포함할 수도 있다. 일 예에서, 디스플레이 디바이스 (1310), 영숫자 입력 디바이스 (1312) 및 UI 내비게이션 디바이스 (1314) 는 터치 스크린 디스플레이일 수도 있다. 머신 (1300) 은 대용량 저장 디바이스 (예를 들어, 드라이브 유닛) (1316), 신호 생성 디바이스 (1318) (예를 들어, 스피커), 네트워크 인터페이스 디바이스 (1320) 및 GPS (Global Positioning System) 센서, 나침반, 가속도계, 또는 또 다른 센서와 같은, 하나 이상의 센서들 (1321) 을 부가적으로 포함할 수도 있다. 머신 (1300) 은 하나 이상의 주변 디바이스들 (예를 들어, 프린터, 카드 리더기) 과 통신하거나 제어하도록 직렬 (예를 들어, USB (Universal Serial Bus)), 병렬 또는 다른 유선 또는 무선 (예를 들어, 적외선 (IR), NFC (Near Field Communication)) 연결과 같은, 출력 제어기 (1328) 를 포함할 수도 있다.
일 예시적인 실시 예에서, 하드웨어 프로세서 (1302) 는 적어도 도 1 내지 도 12와 관련하여 상기 명세서에 논의된 자기장 제어기 (116) 의 기능들을 수행할 수도 있다.
대용량 저장 디바이스 (1316) 는 본 명세서에 기술된 기법들 또는 기능들 중 임의의 하나 이상에 의해 구현되거나 활용되는, 데이터 구조들 또는 인스트럭션들 (1324) (예를 들어, 소프트웨어) 의 하나 이상의 세트들이 저장되는 머신-판독가능 매체 (1322) 를 포함할 수도 있다. 인스트럭션들 (1324) 은 또한 머신 (1300) 에 의한 인스트럭션들의 실행 동안 메인 메모리 (1304) 내에, 정적 메모리 (1306) 내에, 하드웨어 프로세서 (1302) 내에, 또는 GPU (1303) 내에 완전히 또는 적어도 부분적으로 존재할 수도 있다. 일 예에서, 하드웨어 프로세서 (1302), GPU (1303), 메인 메모리 (1304), 정적 메모리 (1306), 또는 대용량 저장 디바이스 (1316) 중 하나 또는 임의의 조합은 머신-판독가능 매체를 구성할 수도 있다.
머신-판독 가능 매체 (1322) 가 단일 매체로 예시되었지만, 용어 "머신-판독 가능 매체"는 하나 이상의 인스트럭션들 (1324) 을 저장하도록 구성된 단일 매체 또는 복수의 매체 (예를 들어, 중앙 집중되거나 분산된 데이터베이스, 및/또는 연관된 캐시들과 서버들) 를 포함할 수도 있다.
용어 "머신-판독가능 매체"는 머신 (1300) 에 의한 실행을 위해 인스트럭션들 (1324) 을 저장하고, 인코딩하고 또는 전달할 수도 있고, 머신 (1300) 으로 하여금 본 개시의 기법들 중 임의의 하나 이상을 수행하게 하거나, 이러한 인스트럭션들 (1324) 에 의해 사용되거나 또는 인스트럭션들 (1324) 과 연관된 데이터 구조들을 저장하고, 인코딩하고 또는 전달할 수 있는, 임의의 매체를 포함할 수도 있다. 비-제한적인 머신-판독 가능 매체 예들은 고체 상태 메모리들 및 광학 매체 및 자기 매체를 포함할 수도 있다. 일 예에서, 대용량 머신-판독가능 매체는 불변 (예를 들어, 정지 (rest)) 질량을 갖는 복수의 입자들을 갖는 머신-판독가능 매체 (1322) 를 포함한다. 따라서, 대용량 머신-판독가능 매체는 일시적인 전파 신호들이 아니다. 대용량 머신-판독가능 매체의 특정한 예들은 반도체 메모리 디바이스들 (예를 들어, EPROM (Electrically Programmable Read-Only Memory), EEPROM (Electrically Erasable Programmable Read-Only Memory)) 및 플래시 메모리 디바이스들; 내부 하드 디스크들 및 이동식 디스크들과 같은 자기 디스크들; 자기-광학 (magneto-optical) 디스크들; 및 CD-ROM 및 DVD-ROM 디스크들과 같은, 비휘발성 메모리를 포함할 수도 있다.
인스트럭션들 (1324) 은 또한 네트워크 인터페이스 디바이스 (1320) 를 통해 송신 매체를 사용하여 통신 네트워크 (1326) 를 거쳐 송신되거나 수신될 수도 있다.
선행하는 기법들의 구현은 임의의 수의 하드웨어 및 소프트웨어의 사양들, 구성들 또는 예시적인 배치들을 통해 달성될 수도 있다. 본 명세서에 기술된 기능적 유닛들 또는 성능들은 이들의 구현 독립성을 더 구체적으로 강조하기 위해 컴포넌트들 또는 모듈들로 지칭되거나 또는 라벨링될 수도 있다는 것이 이해되어야 한다. 이러한 컴포넌트들은 임의의 수의 소프트웨어 형태 또는 하드웨어 형태로 실시될 수도 있다. 예를 들어, 컴포넌트 또는 모듈은 커스텀 VLSI (very-large-scale integration) 회로들 또는 게이트 어레이들, 로직 칩들, 트랜지스터들 또는 다른 개별 컴포넌트들과 같은 기성 (off-the-shelf) 반도체들을 포함하는 하드웨어 회로로서 구현될 수도 있다. 컴포넌트 또는 모듈은 또한 필드-프로그래밍 가능 게이트 어레이들, 프로그램 가능 어레이 로직, 프로그램 가능 로직 디바이스들, 등과 같은 프로그램 가능 하드웨어 디바이스들로 구현될 수도 있다. 컴포넌트들 또는 모듈들은 또한 다양한 타입들의 프로세서들에 의한 실행을 위한 소프트웨어로 구현될 수도 있다. 실행 가능한 코드의 식별된 컴포넌트 또는 모듈은 예를 들어, 컴퓨터 인스트럭션들의 하나 이상의 물리적 블록 (block) 또는 논리적 블록을 포함할 수도 있고, 예를 들어, 객체, 절차 또는 함수로서 조직될 수도 있다. 그럼에도 불구하고, 식별된 컴포넌트 또는 모듈의 실행 파일들 (executables) 은 물리적으로 함께 위치될 필요는 없지만, 논리적으로 함께 결합될 때, 컴포넌트 또는 모듈을 포함하고 컴포넌트 또는 모듈에 대해 명시된 목적을 달성하는 상이한 위치들에 저장된 전혀 다른 (disparate) 인스트럭션들을 포함할 수도 있다.
실제로, 실행 가능한 코드의 컴포넌트 또는 모듈은 단일 인스트럭션 또는 많은 인스트럭션들일 수도 있고, 그리고 심지어 몇몇 상이한 코드 세그먼트들에 걸쳐, 상이한 프로그램들 사이에서, 그리고 몇몇 메모리 디바이스들 또는 프로세싱 시스템들에 걸쳐 분배될 수도 있다. 특히, 기술된 프로세스의 일부 양태들 (예컨대 코드 재작성 및 코드 분석) 은 코드가 (예를 들어, 센서 또는 로봇에 임베딩된 컴퓨터에) 전개되는 (예를 들어, 데이터 센터의 컴퓨터에서) 상이한 프로세싱 시스템 상에서 발생할 수도 있다. 유사하게, 동작 데이터는 컴포넌트들 또는 모듈들 내에서 본 명세서에서 식별될 수도 있고 예시될 수도 있고 그리고 임의의 적합한 형태로 구현될 수도 있고 임의의 적합한 타입의 데이터 구조 내에 조직될 수도 있다. 동작 데이터는 단일 데이터 세트로서 수집될 수도 있고 또는 상이한 저장 디바이스들을 포함하여 상이한 위치들에 걸쳐 분배될 수도 있고, 적어도 부분적으로, 단지 시스템 또는 네트워크 상의 전자 신호들로서 존재할 수도 있다. 컴포넌트들 또는 모듈들은 목표된 기능들을 수행하도록 동작 가능한 에이전트들을 포함하여 패시브 또는 액티브일 수도 있다.
추가 참고 사항들 및 실시 예들
예 1은 기판 프로세싱 장치이고, 기판 프로세싱 장치는 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함하는 진공 챔버; 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된 적어도 하나의 자기장 소스; 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 자기장 센서; 자기장 센서 및 적어도 하나의 자기장 소스에 커플링된 제어기를 포함하고, 적어도 하나의 자기장 소스의 조정을 수행하기 위해, 제어기는, 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하고; 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하고―초기 전류는 타깃 값에 대응함―; 그리고 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성된다.
예 2에서, 예 1의 주제는 다음을 포함하고, 여기서 적어도 하나의 특성은, 적어도 하나의 코일과 연관된 코일 전류로서, 적어도 하나의 코일은 적어도 하나의 자기장 소스로서 구성되는, 코일 전류; 하나 이상의 활성 자기장들의 자기장 크기; 및 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함한다.
예 3에서, 예 2의 주제는 다음을 포함하고, 여기서 제어기는, 코일 전류와 타깃 값에 대응하는 초기 전류 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하고; 차에 기초하여 타깃 값을 업데이트하고; 그리고 업데이트된 타깃 값에 기초하여 적어도 하나의 자기장 소스의 조정을 반복하도록 더 구성된다.
예 4에서, 예 2 및 예 3의 주제는 다음을 포함하고, 여기서, 검출된 신호는 하나 이상의 활성 자기장들의 자기장 크기를 나타내고, 제어기는, 하나 이상의 활성 자기장들의 자기장 크기와 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 더 구성된다.
예 5에서, 예 2 내지 예 4의 주제는 다음을 포함하고, 여기서 검출된 신호는 하나 이상의 활성 자기장들의 자기장 극성을 나타내고, 제어기는, 하나 이상의 활성 자기장들의 자기장 극성 및 타깃 값에 대응하는 자기장 극성에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 더 구성된다.
예 6에서, 예 1 내지 예 5의 주제는 다음을 포함하고, 여기서 제어기는, 적어도 하나의 사용자 인터페이스를 사용하여 사용자 입력으로서 타깃 값을 수신하도록 더 구성된다.
예 7에서, 예 1 내지 예 6의 주제는 다음을 포함하고, 여기서 적어도 하나의 자기장 소스는 미리 결정된 직경의 적어도 하나의 코일을 포함하고, 적어도 하나의 코일은 기판이 진공 챔버 내에 포지셔닝될 때 기판의 표면에 실질적으로 평행하다.
예 8에서, 예 1 내지 예 7의 주제는 다음을 포함하고, 여기서 적어도 하나의 자기장 소스는 적어도 2 개의 코일들을 포함하고, 적어도 2 개의 코일들은 상이한 직경들을 갖는다.
예 9에서, 예 8의 주제는 다음을 포함하고, 여기서 기판이 진공 챔버 내에 포지셔닝될 때 적어도 2 개의 코일들의 제 1 코일은 기판의 표면에 실질적으로 평행하다.
예 10에서, 예 9의 주제는 다음을 포함하고, 여기서 기판이 진공 챔버 내에 포지셔닝될 때 적어도 2 개의 코일들의 제 2 코일은 기판의 표면에 실질적으로 직교한다.
예 11에서, 예 1 내지 예 10의 주제는 다음을 포함하고, 여기서 자기장 센서 및 적어도 하나의 자기장 소스 중 하나 또는 모두는 진공 챔버의 외부에 장착된다.
예 12에서, 예 1 내지 예 11의 주제는 다음을 포함하고, 여기서 자기장 센서는 하나 이상의 활성 자기장들을 나타내는 신호를 주기적으로 검출하도록 구성되고, 그리고 여기서 제어기는, 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 주기적으로 조정하도록 더 구성된다.
예 13은 자기장 제어기이고, 자기장 제어기는 직류 (direct current; DC) 전압 소스에 커플링된 제 1 단자; 하나 이상의 진공 챔버들에 통신 가능하게 커플링된 제 2 단자로서, 하나 이상의 진공 챔버들 각각은 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함하는, 제 2 단자; 하나 이상의 자기장 소스들에 통신 가능하게 커플링된 제 3 단자로서, 하나 이상의 자기장 소스들 각각은 하나 이상의 진공 챔버들 중 적어도 하나의 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성되는, 제 3 단자; 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 하나 이상의 자기장 센서들에 통신 가능하게 커플링된 제 4 단자; 및 제 1 단자, 제 2 단자, 제 3 단자 및 제 4 단자에 통신 가능하게 커플링된 프로세싱 회로망을 포함하고, 하나 이상의 자기장 소스들의 조정을 수행하기 위해, 프로세싱 회로망은, 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하고; 하나 이상의 자기장 소스들을 통한 초기 전류를 설정하고―초기 전류는 타깃 값에 대응함―; 그리고 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 구성된다.
예 14에서, 예 13의 주제는 다음을 포함하고, 여기서 하나 이상의 자기장 센서는 하나 이상의 활성 자기장들을 나타내는 신호를 주기적으로 검출하도록 구성되고, 그리고 여기서 프로세싱 회로망은, 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 주기적으로 조정하도록 더 구성된다.
예 15에서, 예 13 및 예 14의 주제는 다음을 포함하고, 여기서 적어도 하나의 특성은, 하나 이상의 코일들과 연관된 코일 전류로서, 하나 이상의 코일들은 하나 이상의 자기장 소스들로서 구성되는, 코일 전류; 하나 이상의 활성 자기장들의 자기장 크기; 및 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함한다.
예 16에서, 예 15의 주제는 다음을 포함하고, 여기서 프로세싱 제어망은, 코일 전류와 타깃 값에 대응하는 초기 전류 사이의 차에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하고; 차에 기초하여 타깃 값을 업데이트하고; 그리고 업데이트된 타깃 값에 기초하여 하나 이상의 자기장 소스들의 조정을 반복하도록 더 구성된다.
예 17에서, 예 15 및 예 16의 주제는 다음을 포함하고, 여기서, 검출된 신호는 하나 이상의 활성 자기장들의 자기장 크기를 나타내고, 프로세싱 회로망은, 하나 이상의 활성 자기장들의 자기장 크기와 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
예 18에서, 예 15 내지 예 17의 주제는 다음을 포함하고, 여기서 검출된 신호는 하나 이상의 활성 자기장들의 자기장 극성을 나타내고, 프로세싱 회로망은, 하나 이상의 활성 자기장들의 자기장 극성 및 타깃 값에 대응하는 자기장 극성에 기초하여 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 더 구성된다.
예 19는 진공 챔버에서 자기장을 제어하는 방법이고, 방법은 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하는 단계; 하나 이상의 활성 자기장들을 생성하도록 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하는 단계로서, 초기 전류는 타깃 값에 대응하는, 초기 전류를 설정하는 단계; 및 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 포함하고, 신호는 적어도 하나의 자기장 소스와 연관된 자기장 센서를 통해 검출된다.
예 20에서, 예 19의 주제는 다음을 포함하고, 여기서 적어도 하나의 특성은, 적어도 하나의 코일과 연관된 코일 전류로서, 적어도 하나의 코일은 적어도 하나의 자기장 소스로서 구성되는, 코일 전류; 하나 이상의 활성 자기장들의 자기장 크기; 및 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함한다.
예 21에서, 예 20의 주제는 코일 전류와 타깃 값에 대응하는 초기 전류 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 포함한다.
예 22에서, 예 20 및 예 21의 주제는 다음을 포함하고, 여기서, 신호는 하나 이상의 활성 자기장들의 자기장 크기를 나타내고, 방법은 하나 이상의 활성 자기장들의 자기장 크기와 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 더 포함한다.
예 23에서, 예 20 내지 예 22의 주제는 다음을 포함하고, 여기서 신호는 하나 이상의 활성 자기장들의 자기장 극성을 나타내고, 방법은, 하나 이상의 활성 자기장들의 자기장 극성 및 타깃 값에 대응하는 자기장 극성에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 더 포함한다.
예 24에서, 예 19 내지 예 23의 주제는 다음을 포함하고, 하나 이상의 활성 자기장들을 나타내는 신호를 주기적으로 검출하는 단계, 및 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 적어도 하나의 자기장 소스를 통한 후속 전류를 주기적으로 조정하는 단계를 포함한다.
예 25는 프로세싱 회로망에 의해 실행될 때, 프로세싱 회로망으로 하여금 예 1 내지 예 4 중 임의의 예를 구현하는 동작들을 수행하게 하는 인스트럭션들을 포함하는 적어도 하나의 머신 판독 가능 매체이다.
예 26은 예 1 내지 예 4 중 임의의 예를 구현하기 위한 수단을 포함하는 장치이다.
예 27은 예 1 내지 예 4 중 임의의 예를 구현하기 위한 시스템이다.
예 28는 예 1 내지 예 4 중 임의의 예를 구현하는 방법이다.
본 명세서 전반에서, 복수의 예들이 단일 예로서 기술된 컴포넌트들, 동작들, 또는 구조체들을 구현할 수도 있다. 방법들 중 하나 이상의 개별 동작들이 별개의 동작들로 예시되고 기술되었지만, 개별 동작들 중 하나 이상은 동시에 수행될 수도 있고, 동작들이 예시된 순서로 수행될 것을 요구하지 않는다. 예시적인 구성들에 대해 별개의 컴포넌트들로서 제시된 구조체들 및 기능성은 결합된 구조체 또는 컴포넌트로서 구현될 수도 있다. 유사하게, 단일 컴포넌트로서 제시된 구조체들 및 기능성은 별개의 컴포넌트들로서 구현될 수도 있다. 이들 및 다른 변형들, 수정들, 추가들, 및 개선들은 본 명세서의 청구 대상의 범위 내에 속한다.
본 명세서에서 예시된 실시 예들은 당업자들로 하여금 개시된 교시들 (teachings) 을 실시할 수 있게 하도록 충분히 상세하게 기술된다. 다른 실시 예들은 구조적 및 논리적 대용물들 및 변화들이 본 개시의 범위로부터 벗어나지 않고 제조될 수도 있도록, 이로부터 사용되고 유도될 수도 있다. 이 상세한 기술은 따라서 제한하는 의미로 생각되지 않고, 다양한 실시 예들의 범위는 첨부된 청구항들로 인정되는 등가물들의 전체 범위와 함께, 첨부된 청구항들에 의해서만 규정된다.
실시 예들이 상기 특징들의 서브세트를 특징으로 할 수도 있기 때문에 청구항들은 본 명세서에 개시된 모든 특징을 제시하지 않을 수도 있다. 또한, 실시 예들은 특정한 예에서 개시된 것들보다 더 적은 특징들을 포함할 수도 있다. 따라서, 이하의 청구항들은 별개의 실시 예로서 독립되는 청구항과 함께, 본 명세서에서 발명을 실시하기 위한 구체적인 내용에 통합된다.
본 명세서에 사용된 바와 같이, 용어 "또는 (or)"은 포괄적이거나 배타적인 의미로 해석될 수도 있다. 게다가, 복수의 예들이 단일 예로서 본 명세서에 기술된 리소스들, 동작들 또는 구조체들을 위해 제공될 수도 있다. 부가적으로, 다양한 리소스들, 동작들, 모듈들, 엔진들 및 데이터 저장부들 사이의 경계들은 다소 임의적이고, 특정한 동작들이 특정한 예시적인 구성들의 맥락에서 예시된다. 기능성의 다른 할당들이 구상되고 본 개시의 다양한 실시 예들의 범위 내에 속할 수도 있다. 일반적으로, 예시적인 구성들에서 별개의 리소스들로서 제시된 구조체들 및 기능성은 결합된 구조체 또는 리소스로서 구현될 수도 있다. 유사하게, 단일 리소스로서 제시된 구조체들 및 기능성은 별개의 리소스들로서 구현될 수도 있다. 이들 및 다른 변형들, 수정들, 추가들 및 개선들은 첨부된 청구항들에 의해 나타낸 바와 같이 본 개시의 실시 예들의 범위 내에 속한다. 따라서, 명세서 및 도면들은 제한적인 의미보다 예시로서 간주된다.

Claims (24)

  1. 기판 프로세싱 장치에 있어서,
    플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함하는 진공 챔버;
    상기 진공 챔버의 상기 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성된 적어도 하나의 자기장 소스;
    상기 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 자기장 센서;
    상기 자기장 센서 및 상기 적어도 하나의 자기장 소스에 커플링된 제어기를 포함하고, 상기 적어도 하나의 자기장 소스의 조정을 수행하기 위해, 상기 제어기는,
    상기 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하고;
    상기 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하고―상기 초기 전류는 상기 타깃 값에 대응함―; 그리고
    상기 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 상기 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하도록 구성되는, 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 특성은,
    적어도 하나의 코일과 연관된 코일 전류로서, 상기 적어도 하나의 코일은 상기 적어도 하나의 자기장 소스로서 구성되는, 상기 코일 전류;
    상기 하나 이상의 활성 자기장들의 자기장 크기; 및
    상기 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함하는, 기판 프로세싱 장치.
  3. 제 2 항에 있어서,
    상기 제어기는,
    상기 코일 전류와 상기 타깃 값에 대응하는 상기 초기 전류 사이의 차에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하고;
    상기 차에 기초하여 상기 타깃 값을 업데이트하고; 그리고
    상기 업데이트된 타깃 값에 기초하여 상기 적어도 하나의 자기장 소스의 상기 조정을 반복하도록 더 구성되는, 기판 프로세싱 장치.
  4. 제 2 항에 있어서,
    상기 검출된 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 크기를 나타내고, 상기 제어기는,
    상기 하나 이상의 활성 자기장들의 상기 자기장 크기와 상기 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하도록 더 구성되는, 기판 프로세싱 장치.
  5. 제 2 항에 있어서,
    상기 검출된 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 극성을 나타내고, 그리고 상기 제어기는,
    상기 하나 이상의 활성 자기장들의 상기 자기장 극성 및 상기 타깃 값에 대응하는 자기장 극성에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하도록 더 구성되는, 기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 제어기는,
    적어도 하나의 사용자 인터페이스를 사용하여 사용자 입력으로서 상기 타깃 값을 수신하도록 더 구성되는, 기판 프로세싱 장치.
  7. 제 1 항에 있어서,
    상기 적어도 하나의 자기장 소스는 미리 결정된 직경의 적어도 하나의 코일을 포함하고, 상기 적어도 하나의 코일은 상기 기판이 상기 진공 챔버 내에 포지셔닝될 때 상기 기판의 표면에 실질적으로 평행한, 기판 프로세싱 장치.
  8. 제 1 항에 있어서,
    상기 적어도 하나의 자기장 소스는 적어도 2 개의 코일들을 포함하고, 상기 적어도 2 개의 코일들은 상이한 직경들을 갖는, 기판 프로세싱 장치.
  9. 제 8 항에 있어서,
    상기 기판이 상기 진공 챔버 내에 포지셔닝될 때 상기 적어도 2 개의 코일들의 제 1 코일은 상기 기판의 표면에 실질적으로 평행한, 기판 프로세싱 장치.
  10. 제 9 항에 있어서,
    상기 적어도 2 개의 코일들의 제 2 코일은 상기 기판이 상기 진공 챔버 내에 포지셔닝될 때 상기 기판의 표면에 실질적으로 직교하는, 기판 프로세싱 장치.
  11. 제 1 항에 있어서,
    상기 자기장 센서 및 상기 적어도 하나의 자기장 소스 중 하나 또는 모두는 상기 진공 챔버의 외부에 장착되는, 기판 프로세싱 장치.
  12. 제 1 항에 있어서,
    상기 자기장 센서는 상기 하나 이상의 활성 자기장들을 나타내는 상기 신호를 주기적으로 검출하도록 구성되고, 그리고, 상기 제어기는,
    상기 하나 이상의 활성 자기장들을 나타내는 상기 신호에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 주기적으로 조정하도록 더 구성되는, 기판 프로세싱 장치.
  13. 자기장 제어기에 있어서,
    직류 (direct current; DC) 전압 소스에 커플링된 제 1 단자;
    하나 이상의 진공 챔버들에 통신 가능하게 커플링된 제 2 단자로서, 상기 하나 이상의 진공 챔버들 각각은 플라즈마를 사용하여 기판을 프로세싱하기 위한 프로세싱 존을 포함하는, 상기 제 2 단자;
    하나 이상의 자기장 소스들에 통신 가능하게 커플링된 제 3 단자로서, 상기 하나 이상의 자기장 소스들 각각은 상기 하나 이상의 진공 챔버들 중 적어도 하나의 진공 챔버의 상기 프로세싱 존을 통해 하나 이상의 활성 자기장들을 생성하도록 구성되는, 상기 제 3 단자;
    상기 하나 이상의 활성 자기장들을 나타내는 신호를 검출하도록 구성된 하나 이상의 자기장 센서들에 통신 가능하게 커플링된 제 4 단자; 및
    상기 제 1 단자, 제 2 단자, 제 3 단자 및 제 4 단자에 통신 가능하게 커플링된 프로세싱 회로망을 포함하고, 상기 하나 이상의 자기장 소스들의 조정을 수행하기 위해, 상기 프로세싱 회로망은,
    상기 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하고;
    상기 하나 이상의 자기장 소스들을 통한 초기 전류를 설정하고―상기 초기 전류는 상기 타깃 값에 대응함―; 그리고
    상기 하나 이상의 활성 자기장들을 나타내는 검출된 신호에 기초하여 상기 하나 이상의 자기장 소스들을 통한 후속 전류를 조정하도록 구성되는, 자기장 제어기.
  14. 제 13 항에 있어서,
    상기 하나 이상의 자기장 센서들은 상기 하나 이상의 활성 자기장들을 나타내는 상기 신호를 주기적으로 검출하도록 구성되고, 그리고 상기 프로세싱 회로망은,
    상기 하나 이상의 활성 자기장들을 나타내는 상기 신호에 기초하여 상기 하나 이상의 자기장 소스들을 통한 상기 후속 전류를 주기적으로 조정하도록 더 구성되는, 자기장 제어기.
  15. 제 13 항에 있어서,
    상기 적어도 하나의 특성은,
    하나 이상의 코일들과 연관된 코일 전류로서, 상기 하나 이상의 코일들은 상기 하나 이상의 자기장 소스들로서 구성되는, 상기 코일 전류;
    상기 하나 이상의 활성 자기장들의 자기장 크기; 및
    상기 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함하는, 자기장 제어기.
  16. 제 15 항에 있어서,
    상기 프로세싱 회로망은,
    상기 코일 전류와 상기 타깃 값에 대응하는 상기 초기 전류 사이의 차에 기초하여 상기 하나 이상의 자기장 소스들을 통한 상기 후속 전류를 조정하고;
    상기 차에 기초하여 상기 타깃 값을 업데이트하고; 그리고
    상기 업데이트된 타깃 값에 기초하여 상기 하나 이상의 자기장 소스들의 상기 조정을 반복하도록 더 구성되는, 자기장 제어기.
  17. 제 15 항에 있어서,
    상기 검출된 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 크기를 나타내고, 그리고 상기 프로세싱 회로망은,
    상기 하나 이상의 활성 자기장들의 상기 자기장 크기와 상기 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 상기 하나 이상의 자기장 소스들을 통한 상기 후속 전류를 조정하도록 더 구성되는, 자기장 제어기.
  18. 제 15 항에 있어서,
    상기 검출된 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 극성을 나타내고, 그리고 상기 프로세싱 회로망은,
    상기 하나 이상의 활성 자기장들의 상기 자기장 극성 및 상기 타깃 값에 대응하는 자기장 극성에 기초하여 상기 하나 이상의 자기장 소스들을 통한 상기 후속 전류를 조정하도록 더 구성되는, 자기장 제어기.
  19. 진공 챔버에서 자기장을 제어하는 방법에 있어서,
    상기 진공 챔버의 프로세싱 존을 통해 하나 이상의 활성 자기장들의 적어도 하나의 특성에 대응하는 타깃 값을 결정하는 단계;
    상기 하나 이상의 활성 자기장들을 생성하도록 적어도 하나의 자기장 소스를 통한 초기 전류를 설정하는 단계로서, 상기 초기 전류는 상기 타깃 값에 대응하는, 상기 초기 전류를 설정하는 단계; 및
    상기 하나 이상의 활성 자기장들을 나타내는 신호에 기초하여 상기 적어도 하나의 자기장 소스를 통한 후속 전류를 조정하는 단계를 포함하고, 상기 신호는 상기 적어도 하나의 자기장 소스와 연관된 자기장 센서를 통해 검출되는, 진공 챔버에서 자기장을 제어하는 방법.
  20. 제 19 항에 있어서,
    상기 적어도 하나의 특성은,
    적어도 하나의 코일과 연관된 코일 전류로서, 상기 적어도 하나의 코일은 상기 적어도 하나의 자기장 소스로서 구성되는, 상기 코일 전류;
    상기 하나 이상의 활성 자기장들의 자기장 크기; 및
    상기 하나 이상의 활성 자기장들의 자기장 극성 중 하나 이상을 포함하는, 진공 챔버에서 자기장을 제어하는 방법.
  21. 제 20 항에 있어서,
    상기 코일 전류와 상기 타깃 값에 대응하는 상기 초기 전류 사이의 차에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하는 단계를 더 포함하는, 진공 챔버에서 자기장을 제어하는 방법.
  22. 제 20 항에 있어서,
    상기 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 크기를 나타내고, 그리고 상기 방법은,
    상기 하나 이상의 활성 자기장들의 자기장 크기와 상기 타깃 값에 대응하는 자기장 크기 사이의 차에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하는 단계를 더 포함하는, 진공 챔버에서 자기장을 제어하는 방법.
  23. 제 20 항에 있어서,
    상기 신호는 상기 하나 이상의 활성 자기장들의 상기 자기장 극성을 나타내고, 그리고 상기 방법은,
    상기 하나 이상의 활성 자기장들의 상기 자기장 극성 및 상기 타깃 값에 대응하는 자기장 극성에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 조정하는 단계를 더 포함하는, 진공 챔버에서 자기장을 제어하는 방법.
  24. 제 19 항에 있어서,
    상기 하나 이상의 활성 자기장들을 나타내는 상기 신호를 주기적으로 검출하는 단계; 및
    상기 하나 이상의 활성 자기장들을 나타내는 상기 신호에 기초하여 상기 적어도 하나의 자기장 소스를 통한 상기 후속 전류를 주기적으로 조정하는 단계를 더 포함하는, 진공 챔버에서 자기장을 제어하는 방법.
KR1020227044946A 2021-05-20 2022-05-17 자기장 제어 시스템 KR20240008774A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163201965P 2021-05-20 2021-05-20
US63/201,965 2021-05-20
PCT/US2022/029634 WO2022245824A1 (en) 2021-05-20 2022-05-17 Magnetic field control system

Publications (1)

Publication Number Publication Date
KR20240008774A true KR20240008774A (ko) 2024-01-19

Family

ID=84140961

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227044946A KR20240008774A (ko) 2021-05-20 2022-05-17 자기장 제어 시스템

Country Status (3)

Country Link
US (1) US20230282455A1 (ko)
KR (1) KR20240008774A (ko)
WO (1) WO2022245824A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
KR20100006483A (ko) * 2008-07-09 2010-01-19 삼성모바일디스플레이주식회사 자장 형성 제어 유니트 및 이를 갖는 마그네트론 스퍼터링장치, 자장 형성 제어 유니트를 사용한 마그네트론스퍼터링 방법
US9257265B2 (en) * 2013-03-15 2016-02-09 Applied Materials, Inc. Methods for reducing etch nonuniformity in the presence of a weak magnetic field in an inductively coupled plasma reactor
KR101629214B1 (ko) * 2013-11-29 2016-06-13 서울대학교산학협력단 자장 제어를 통한 플라즈마 쉐이핑이 가능한 플라즈마 처리 장치
US9613783B2 (en) * 2014-07-24 2017-04-04 Applied Materials, Inc. Method and apparatus for controlling a magnetic field in a plasma chamber

Also Published As

Publication number Publication date
US20230282455A1 (en) 2023-09-07
WO2022245824A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
US11264208B2 (en) Plasma processing apparatus and method for controlling radio-frequency power supply of plasma processing apparatus
KR102153141B1 (ko) 플라즈마 균일성 튜닝을 위한 멀티-무선주파수 임피던스 제어
JP5808697B2 (ja) ドライエッチング装置及びドライエッチング方法
US8193097B2 (en) Plasma processing apparatus and impedance adjustment method
KR101809150B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN107801289B (zh) 等离子体处理装置
US10410889B2 (en) Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
KR20040028985A (ko) 플라즈마 반응기 코일자석시스템
KR20120096905A (ko) 제어 장치, 플라즈마 처리 장치 및 제어 장치를 제어하는 방법
KR20160124670A (ko) 유기막을 에칭하는 방법
US10755944B2 (en) Etching method and plasma processing apparatus
US20230282455A1 (en) Magnetic field control system
KR102207755B1 (ko) 플라스마 처리 장치
JP2003224112A (ja) プラズマ処理装置及びプラズマ処理方法
US20230260768A1 (en) Plasma discharge uniformity control using magnetic fields
US20230071249A1 (en) Etching and plasma uniformity control using magnetics
US20230274911A1 (en) Adjustable geometry trim coil
US20240105432A1 (en) Profile twisting control in dielectric etch
KR102467966B1 (ko) 하이브리드 플라즈마 발생 장치 및 하이브리드 플라즈마 발생 장치의 제어방법
JPH0963792A (ja) 磁気中性線放電プラズマ源
WO2023003945A1 (en) Monitoring and control of plasma-based processes