KR20230156172A - 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정 - Google Patents

동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정 Download PDF

Info

Publication number
KR20230156172A
KR20230156172A KR1020237037778A KR20237037778A KR20230156172A KR 20230156172 A KR20230156172 A KR 20230156172A KR 1020237037778 A KR1020237037778 A KR 1020237037778A KR 20237037778 A KR20237037778 A KR 20237037778A KR 20230156172 A KR20230156172 A KR 20230156172A
Authority
KR
South Korea
Prior art keywords
processing chamber
gas
rps
plasma
controller
Prior art date
Application number
KR1020237037778A
Other languages
English (en)
Inventor
키이스 폭스
조나단 처치
제임스 이
매튜 무드로우
케빈 거버
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230156172A publication Critical patent/KR20230156172A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템의 프로세싱 챔버를 세정하는 방법은 RPS로 NF3 가스를 공급하는 단계; RPS를 사용하여 RPS 플라즈마를 생성하는 단계; 프로세싱 챔버로 RPS 플라즈마를 공급하는 단계; 바이패스 가스로서 NF3 가스를 프로세싱 챔버로 공급하는 단계; RPS 플라즈마가 공급되는 동안 프로세싱 챔버 내에서 인시츄 플라즈마를 스트라이킹하는 단계; 및 RPS 플라즈마와 인시츄 플라즈마 모두를 사용하여 세정 기간 동안 프로세싱 챔버를 세정하는 단계를 포함한다.

Description

동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정{RAPID CHAMBER CLEAN USING CONCURRENT IN-SITU AND REMOTE PLASMA SOURCES}
본 개시는 기판 프로세싱 시스템들에 관한 것이며, 보다 구체적으로 기판 프로세싱 시스템들의 프로세싱 챔버들을 세정하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하는 목적을 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들의 에칭, 증착, 및/또는 다른 처리를 수행하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은 CVD (Chemical Vapor Deposition), PECVD (Plasma Enhanced Chemical Vapor Deposition), ALD (Atomic Layer Deposition), PEALD (Plasma Enhanced Atomic Layer Deposition) 및/또는 다른 에칭, 증착, 및 세정 프로세스들을 포함하지만, 이에 한정되지는 않는다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내 페데스탈, ESC (Electrostatic Chuck), 등과 같은 기판 지지체 상에 배치될 수도 있다. 예를 들어, 프로세싱 동안, 가스 혼합물이 프로세싱 챔버 내로 도입되고 플라즈마가 프로세싱 챔버 내에서 화학 반응들을 향상시키기 위해 스트라이킹 (struck) 될 수도 있다.
다수의 기판들이 프로세싱 챔버 내부에서 프로세싱된 이후, 막 및/또는 다른 반응물질들은 프로세싱 챔버의 측벽들, 프로세싱 챔버 내부에 위치된 기판 지지체 및 다른 컴포넌트들 상에 축적될 수도 있다. 챔버 세정 프로세스는 막 및/또는 다른 반응물질들의 축적을 제거하도록 주기적으로 수행된다. 챔버 세정 프로세스 동안 기판들이 프로세싱될 수 없기 때문에, 챔버 세정 프로세스를 수행하는데 소요되는 시간을 최소화하는 것이 중요하다.
기판 프로세싱 시스템의 프로세싱 챔버 세정 방법은 RPS (Remote Plasma Source) 로 NF3 (삼불화질소) 가스를 공급하는 단계; RPS를 사용하여 RPS 플라즈마를 생성하는 단계; 프로세싱 챔버로 RPS 플라즈마를 공급하는 단계; 바이패스 가스로서 NF3 가스를 프로세싱 챔버로 공급하는 단계; RPS 플라즈마가 공급되는 동안 프로세싱 챔버 내에서 인시츄 플라즈마를 스트라이킹하는 단계; 및 RPS 플라즈마와 인시츄 플라즈마 모두를 사용하여 세정 기간 동안 프로세싱 챔버를 세정하는 단계를 포함한다.
다른 특징들에서, 방법은 세정 기간 이후 인시츄 플라즈마를 소화시키는 단계 및 RPS 플라즈마를 프로세싱 챔버로 공급하지 않는 단계를 포함한다. 기판 프로세싱 시스템은 CVD를 수행한다. 기판 프로세싱 시스템은 산화물 전구체 가스를 사용하여 기판 상에 SiO2 (이산화실리콘) 를 증착시킨다. 산화물 전구체 가스는 TEOS (테트라에틸 오르토실리케이트) 가스를 포함한다. 기판 프로세싱 시스템은 ALD를 수행한다.
다른 특징들에서, 기판 프로세싱 시스템은 산화물 전구체 가스를 사용하여 SiO2를 증착시킨다. 산화물 전구체 가스는 TEOS 가스를 포함한다. 인시츄 플라즈마는 500 W 내지 3000 W 범위의 RF 전력을 사용하여 생성된다. 인시츄 플라즈마는 1000 W 내지 2000 W 범위의 RF 전력을 사용하여 생성된다. 인시츄 플라즈마는 1400 W 내지 1600 W 범위의 RF 전력을 사용하여 생성된다.
다른 특징들에서, RPS로의 가스 플로우 레이트는 방법 동안 사용된 챔버 동작 파라미터들에 대해 가장 효율적인 플로우 레이트의 90 % 내지 110 % 범위 내에 있다. RPS로의 가스 플로우 레이트는 방법 동안 사용된 챔버 동작 파라미터들에 대해 가장 효율적인 플로우 레이트의 95 % 내지 105 % 범위 내에 있다.
다른 특징들에서, RPS로의 NF3 가스의 가스 플로우 레이트는 10 내지 12 slm의 범위 내에 있다. 프로세싱 챔버로의 NF3 가스의 가스 플로우 레이트는 3 내지 5 slm의 범위 내에 있다.
다른 특징들에서, 방법은 제 1 기간의 제 1 부분 동안 프로세싱 챔버 내에서 제 1 압력을 유지하는 단계; 및 제 1 기간의 제 2 부분 동안 프로세싱 챔버 내에서 제 1 압력과 상이한 제 2 압력을 유지하는 단계를 포함한다.
다른 특징들에서, 제 1 압력은 2 내지 4 Torr의 범위 내에 있고 제 2 압력은 0.5 내지 2 Torr의 범위 내에 있다. 방법은 제 1 기간 동안 350 ℃ 내지 650 ℃의 범위 내로 기판 지지체의 온도를 유지하는 단계를 포함한다. 방법은 제 1 기간 동안 445 ℃ 내지 550 ℃의 범위 내로 기판 지지체의 온도를 유지하는 단계를 포함한다.
본 개시의 적용가능성의 추가 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 예시의 목적으로만 의도되었으며 개시의 범위를 제한하기 위해 의도된 것이 아니다.
본 개시는 상세한 기술 및 첨부한 도면들로부터 보다 충분히 이해될 것이다.
도 1은 본 개시에 따른 챔버 세정 프로세스가 수행될 수도 있고 기판들을 처리하기 위한 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 본 개시에 따른 챔버 세정 프로세스를 수행하기 위한 방법의 예를 예시하는 플로우차트이다.
도 3은 세정 기간 동안 RPS 플라즈마, 인시츄 플라즈마, RPS 및 바이패스 NF3 가스의 공급, 및 챔버 압력에 대한 타이밍 도들의 예들이다.
도 4는 종래 기술 및 본 개시에 따른 세정 프로세스들 동안 프로세싱 챔버 내에 위치된 쿠폰 상에서 측정된 에칭 레이트 개선의 예를 예시하는 그래프이다.
도 5는 종래 기술 및 본 개시에 따른 세정 프로세스들 동안 프로세싱 챔버 내에 위치된 쿠폰 상에서 측정된 에칭 레이트 백분율 증가의 예를 예시하는 그래프이다.
도 6은 종래 기술 및 본 개시에 따른 챔버 세정 프로세스들에 대해 감소된 세정 기간의 예를 예시하는 그래프이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
본 개시에 따른 시스템들 및 방법들은 챔버 세정 사이클 시간을 감소시키기 위해 상승된 에칭 레이트에서 기판 프로세싱 챔버의 내부 표면들 상의 막 및 다른 반응물질들을 세정하도록 사용된다. 일부 예들에서, 챔버 세정은 약 1.4 μm/분의 에칭 레이트로부터 보다 큰 5 μm/분으로 개선될 수 있다. RPS는 NF3 가스 (또는 NF3 및 불활성 가스의 혼합물) 가 공급되고 RPS 플라즈마는 프로세싱 챔버로 공급된다. RPS 플라즈마가 공급되는 동안, 인시츄 플라즈마는 아래에 더 기술될 바와 같이 바이패스 NF3 가스를 사용하여 스트라이킹된다. RPS로의 NF3 및 프로세싱 챔버로의 바이패스 가스의 듀얼 경로 전달은 보다 높은 에칭 레이트를 달성하기 위해 RPS를 통한 최대 및 가장 효율적인 NF3 플로우 레이트 이상의 프로세싱 챔버 내로 추가적인 NF3 플로우를 허용한다.
이제 도 1을 참조하면, RF 플라즈마를 사용하는 에칭 또는 증착과 같은 기판 처리를 수행하기 위한 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 시스템 (100) 은 상부 전극 (104) 및 ESC와 같은 기판 지지체 (106) 를 포함한다. 동작 동안, 기판 (108) 은 기판 지지체 (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스 및 세정 가스를 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 (stem) 부분을 포함할 수도 있다. 베이스 부분은 프로세싱 챔버의 상단 표면으로부터 이격된 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외측으로 연장하고 일반적으로 원통형이다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 전도 플레이트를 포함할 수도 있고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
베이스플레이트 (110) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는 가열 플레이트 (112) 를 지지한다. 기판 지지체 (106) 는 하부 전극으로서 작용하는 베이스플레이트 (110) 를 포함한다. 내열 층 (114) 은 가열 플레이트 (112) 와 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위해 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 은 RF 전력을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지체 (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 및 하부 전극 중 다른 하나는 DC 접지, AC 접지 또는 플로팅될 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 생성될 수도 있다. 일부 예들에서, RF 생성 시스템 (120) 은 500 W 내지 3000 W의 범위의 RF 전력을 공급한다. 일부 예들에서, RF 생성 시스템 (120) 은 1000 W 내지 2000 W의 범위의 RF 전력을 공급한다. 일부 예들에서, RF 생성 시스템 (120) 은 1400 W 내지 1600 W의 범위의 RF 전력을 공급한다. 예를 들어, RF 생성 시스템 (120) 은 RF 전력 레벨들이 사용될 수도 있지만 1500 W의 RF 전력을 공급할 수도 있다. 일부 예들에서, RF 생성 시스템 (120) 은 다른 주파수들이 사용될 수도 있지만 13.26 ㎒에서 작동할 수도 있다.
제 1 가스 전달 시스템 (130) 은 NF3 가스 및/또는 하나 이상의 불활성 가스들을 각각 공급하는 하나 이상의 가스 소스들 (132, 133) 을 포함한다. 가스 소스들 (132, 133) 은 하나 이상의 밸브들 (134-1, 134-2, 134-3) 에 의해 MFC (Mass Flow Controllers) (136-1, 136-2, 136-3) 에 연결된다. MFC들 (136-1 및 136-2) 의 출력들은 RPS (138) 와 유체로 연통하는 선택가능한 믹싱 매니폴드 (manifold) (137) 에 피딩된다. 일부 예들에서, RPS (138) 는 마이크로파-기반 RPS, 플라즈마 튜브 또는 다른 RPS를 포함한다.
RPS (138) 는 선택적으로 프로세싱 챔버 (102) 에 공급되는 리모트 플라즈마를 생성한다. 일부 예들에서, NF3는 사용되는 프로세스 파라미터들에서 분리를 위해 가장 효율적인 플로우 레이트로 RPS (138) 로 공급된다. 일부 예들에서, NF3는 분리를 위해 가장 효율적인 플로우 레이트로 90 % 내지 110 % 범위 내의 레이트로 RPS (138) 로 공급된다. 전형적으로 RPS (138) 는 사용되는 압력, 온도 및/또는 세정 가스 종과 같은 챔버 파라미터들에 기초한 효율성을 명시한다. 일부 실험이 특정한 레시피를 위해 필요할 수도 있다. 일부 예들에서, NF3는 분리를 위해 가장 효율적인 플로우 레이트의 95 % 내지 105 % 내의 레이트로 RPS (138) 로 공급된다.
가스 소스 (132) 는 밸브 (134-3) 및 MFC (136-3) 에 의해 프로세싱 챔버 (102) 에 연결된다. 대안적으로, 별도의 가스 소스가 NF3 바이패스 가스를 위해 사용될 수도 있다. 즉, MFC (136-3) 는 RPS (138) 로부터 출력 도관으로 또는 프로세싱 챔버 (102) 로 바로 바이패스 NF3 가스를 공급한다. 일부 예들에서, RPS 플라즈마가 시작되고, 인시츄 플라즈마가 스트라이킹되고, RPS 플라즈마 및 인시츄 플라즈마 모두가 세정 기간 동안 유지되고, 인시츄 플라즈마가 소화되고 그리고 RPS 플라즈마가 중지된다. 다른 예들에서, RPS 플라즈마 및 인시츄 플라즈마는 거의 동시에 시작되고, 거의 같은 세정 기간 동안 유지되고 그리고 거의 동시에 중지되고/소화된다. 일부 예들에서, RPS 플라즈마는 인시츄 플라즈마가 각각 스트라이킹되거나 소화되기 전 또는 이후 미리 결정된 기간들 동안 유지될 수도 있다.
제 2 가스 전달 시스템 (141) 은 챔버 세정 전에 기판 처리 동안 사용하기 위해 그리고/또는 프로세싱 챔버를 퍼징 (purging) 하기 위해 캐리어 가스들, 가스 전구체들 및/또는 퍼지 가스들과 같은 다른 가스들 또는 가스 혼합물들을 전달하기 위한 하나 이상의 밸브들, MFC들, 및 매니폴드 (미도시) 를 포함할 수도 있다. 예를 들어, 가스 전달 시스템 (141) 은 Si (실리콘) 또는 SiO2 (이산화실리콘) 전구체 가스를 포함하는 전구체를 공급하도록 사용될 수도 있다. 일부 예들에서, 전구체 가스는 TEOS 가스를 포함하고 SiO2 막은 증착된다.
온도 제어기 (142) 는 가열 플레이트 (112) 에 배치된 복수의 TCEs (Thermal Control Elements) (144) 에 연결될 수도 있다. 예를 들어, TCEs (144) 는 멀티-존 가열 플레이트 (미도시) 의 복수의 존들에 걸쳐 배치된 마이크로 TCEs의 어레이 및/또는 멀티-존 가열 플레이트의 존들 각각에 대응하는 각각의 매크로 TCEs를 포함할 수도 있지만, 이에 한정되지는 않는다. 온도 제어기 (142) 는 기판 지지체 (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 TCEs (144) 를 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 냉각제 채널들 (116) 을 통한 냉각제 플로우를 제어하기 위해 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지체 (106) 의 온도를 제어하기 위해 냉각제 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 작동시킨다.
밸브 (150) 및 펌프 (152) 는 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 별도의 제어기들로서 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내부에 구현될 수도 있다. 온도 제어기 (142) 는 본 개시의 원리들에 따른 기판 지지체 (106) 의 온도들을 추정하기 위해 하나 이상의 모델들을 구현하도록 더 구성될 수도 있다.
이제 도 2를 참조하면, 본 개시에 따른 챔버 세정 프로세스를 수행하기 위한 방법 (250) 이 도시된다. (252) 에서, 방법은 챔버 세정이 수행될지 여부를 결정한다. (252) 가 참일 때, 방법은 필요하다면 프로세싱 챔버로부터 기판을 제거하고 미리 결정된 압력 범위로 챔버 압력을 설정한다.
일부 예들에서, 미리 결정된 챔버 압력은 0.25 Torr 내지 6 Torr의 범위 내에 있다. 일부 예들에서, 세정 프로세스 동안 챔버 압력은 두 개 이상의 별개의 압력 값들 사이에서 조정된다. 일부 예들에서, 제 1 단계는 세정 기간의 제 1 부분 동안 2 Torr 내지 4 Torr 범위의 제 1 압력에서 수행되고 제 2 단계는 제 1 부분에 이어지는 세정 기간의 제 2 부분 동안 0.5 Torr 내지 2 Torr 압력에서 수행된다. 다른 예들에서, 보다 높은 압력 단계는 보다 낮은 압력 단계 이전에 발생한다. 또 다른 예들에서, 압력은 세정 프로세스 동안 단조롭게 감소하거나 증가한다.
일부 예들에서, 기판 지지체의 온도는 세정 기간 동안 350 ℃ 내지 650 ℃의 범위에서 미리 결정된 온도로 제어된다. 일부 예들에서, 기판 지지체의 온도는 세정 기간 동안 445 ℃ 내지 550 ℃의 범위에서 미리 결정된 온도로 제어된다.
(258) 에서, NF3 가스는 RPS로 공급되고 RPS 플라즈마가 생성된다. (262) 에서, RPS 플라즈마는 프로세싱 챔버로 공급된다. RPS 플라즈마를 프로세싱 챔버로 공급하는 동안, 바이패스 NF3 가스는 RPS 출력부 도관으로 또는 프로세싱 챔버로 바로 공급되고 인시츄 플라즈마는 RF 전력을 공급함으로써 생성된다. (272) 에서, 방법은 세정 기간이 종료되었는지 여부를 결정한다. (272) 가 거짓이면, 방법은 (258) 에서 계속된다. 그렇지 않으면, 인시츄 플라즈마는 (276) 에서 소화되고, RPS 플라즈마는 중지되고 그리고 방법은 복귀한다.
일부 예들에서, RPS 플라즈마 및 인시츄 플라즈마는 각각 순서대로 또는 거의 동시에 공급되고 스트라이킹되며, 인시츄 플라즈마 및 RPS 플라즈마는 각각 순서대로 또는 거의 동시에 소화되고/중지된다. 다른 예들에서, RPS 플라즈마는 제 1 시간에 공급되고, 바이패스 가스가 공급되고 그리고 인시츄 플라즈마는 제 1 시간 이후 미리 결정된 기간에서 발생하는 제 2 시간에서 스트라이킹된다. RPS 플라즈마 및 인시츄 플라즈마 모두는 세정 기간 동안 동시에 공급된다. 세정 기간 이후, 인시츄 플라즈마 및 RPS 플라즈마는 거의 동시에 중지되고/소화되거나, 인시츄 플라즈마는 소화되고 RPS 플라즈마는 끼어드는 기간들을 갖고 순서대로 또는 끼어드는 기간들 없이 중지된다.
다른 예들에서, 불활성 가스 플로우는 RPS에 대해 시작되고 RPS 플라즈마가 생성된다. 이어서, RPS는 NF3 및 하나 이상의 불활성 가스들로 전환된다. 이어서, NF3 바이패스 가스는 인시츄 플라즈마를 위해 공급되고 인시츄 플라즈마는 스트라이킹된다. 일부 예들에서, NF3 가스 플로우 및 불활성 가스 플로우는 최종 또는 정상 상태 설정점 플로우들로 조정될 수 있다. 인시츄 RF 전력은 최종 또는 정상 상태 RF 전력 레벨들로 조정될 수 있다. 챔버의 RPS 및 인시츄 세정은 세정 기간 동안 수행된다. 세정 기간이 끝나면, 인시츄 RF 및 바이패스 가스 플로우들이 턴오프된다. RPS NF3 가스 플로우 및 RPS 플라즈마가 턴오프된다.
이제 도 3을 참조하면, 예시적인 세정 프로세스가 도시된다. NF3 가스는 RPS로 공급되고 바이패스 NF3 가스는 프로세싱 챔버로 공급된다. RPS 플라즈마 및 인시츄 플라즈마가 세정 기간 동안 개시되고 유지된다. 일부 예들에서, 챔버 압력은 상기 기술된 바와 같이 가변한다.
이제 도 4를 참조하면, 그래프는 종래 기술 및 본 개시에 따른 세정 프로세스들 동안 프로세싱 챔버 내에 위치된 쿠폰 상에서 측정된 에칭 레이트 개선의 예를 예시한다. (300) 에서, 프로세싱 챔버는 인시츄 플라즈마 없이 RPS 플라즈마를 사용하여 세정된다. 예를 들어, NF3 가스는 약 11 slm의 레이트로 RPS로 공급된다. (310) 에서, 프로세싱 챔버는 인시츄 플라즈마 없이 보다 높은 NF3 가스 플로우 레이트로 RPS를 사용하여 세정된다. 예를 들어, RPS로 공급되는 NF3 가스는 약 15 slm의 레이트로 증가한다. 알 수 있는 바와 같이, 에칭 레이트에서 약간의 개선이 있다. (320) 에서, 프로세싱 챔버는 바이패스 NF3 가스 없이 RPS 플라즈마 및 인시츄 플라즈마를 사용하여 세정된다. NF3 가스는 약 11 slm의 레이트로 RPS로 공급된다. 알 수 있는 바와 같이, (310) 과 비교하여 에칭 레이트에서 약간의 개선이 있다.
(330) 에서, 프로세싱 챔버는 바이패스 NF3 가스 없이 인시츄 플라즈마와 RPS 플라즈마를 사용하여 세정된다. NF3 가스는 약 15 slm의 보다 높은 가스 플로우 레이트로 RPS로 공급된다. 알 수 있는 바와 같이, (320) 과 비교하여 에칭 레이트에서 약간의 개선이 있다.
(330) 에서, 프로세싱 챔버는 바이패스 NF3 가스와 인시츄 플라즈마 및 RPS 플라즈마를 사용하여 세정된다. NF3 가스는 약 11 slm의 레이트로 RPS로 공급되고 바이패스 NF3 가스는 약 4 slm의 레이트로 가스 플로우가 공급된다. 알 수 있는 바와 같이, (300, 310, 320, 및 330) 과 비교하여 에칭 레이트에서 상당한 개선이 있다. 에칭 레이트는 (300) 에서 기준과 비교하여 (330) 에서 약 80 %부터 (340) 에서 약 140 %까지 증가했다.
이제 도 5를 참조하면, 프로세싱 챔버 내에 위치된 쿠폰 상에서 측정된 에칭 레이트 백분율 상승이 종래 기술 및 본 개시에 따른 세정 프로세스들 (300 내지 340) 동안 도시된다. 바이패스 NF3 가스와 함께 인시츄 플라즈마 및 RPS 플라즈마를 사용할 때 에칭 레이트 백분율에서 상당한 개선들을 알 수 있다.
이제 도 6을 참조하면, 본 명세서에 기재된 챔버 세정 방법은 동등한 에칭 성능을 위해 챔버 세정 프로세스들에 대한 세정 기간을 감소시킨다. 도 6에서, 바이패스 NF3 가스와 함께 인시츄 플라즈마 및 RPS 플라즈마를 사용하는 프로세스는 기준 세정 프로세스 (300) 와 비교된다. 이 예에서, 세정 프로세스 기간은 기준 세정 프로세스 (300) 와 비교하여 40 % 이상 감소한다.
일례에서, 약 90 초의 세정 기간은 챔버 막 축적의 7 μm를 제거하도록 사용되었다. 다른 예들에서, 세정 기간은 막 축적의 양에 따라 다양하다. 일부 예들에서, 세정 기간은 20 초 내지 10 분의 범위 내일 수도 있다. 다른 예들에서, 세정 기간은 1 분 내지 5 분의 범위 내일 수도 있다.
전술한 기술은 본질적으로 단지 예시이고 본 개시, 그것의 적용, 또는 사용들을 어떠한 방식으로도 제한할 의도는 없다. 본 개시의 넓은 가르침들은 다양한 형태들로 구현될 수 있다. 따라서, 이 개시가 특정한 예들을 포함하지만, 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 다음의 청구항들의 연구에 따라 분명해질 것이기 때문에 그렇게 제한되어서는 안 된다. 방법 내 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 가지는 것으로 앞서 기술되었지만, 개시의 임의의 실시예에 관하여 기술된 이들 피처들 중 임의의 하나 이상 임의의 다른 실시예들의 피처들과 조합되거나 그리고/또는 구현될 수 있으며, 그 조합이 명시적으로 기술되지 않았다고 해도 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않으며, 또 다른 실시예들과 하나 이상의 실시예들의 치환들은 이 개시의 범위 내에 남는다.
엘리먼트들 사이 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 사이) 공간적 그리고 기능적인 관계들은 “연결된”, “인게이지된 (engaged)”, “커플링된”, “인접한”, “옆에”, “상단에”, “위에”, “아래에”, 및 “배치된” 을 포함하는 다양한 용어들을 사용하여 기술된다. 상기 개시에 제 1 엘리먼트와 제 2 엘리먼트 사이의 관계가 기술될 때 “직접적인” 으로 명시적으로 기술되지 않는 한, 그 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중재 엘리먼트들이 존재하지 않는 직접적인 관계가 될 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이 하나 이상의 중재 엘리먼트들이 존재하는 (공간적으로 또는 기능적으로) 간접적인 관계가 될 수 있다. 본 명세서에 사용된 것처럼, A, B, 및 C 중 적어도 하나의 구문은 비배타적 논리 OR를 사용하여 논리 (A 또는 B 또는 C) 를 의미하는 것으로 이해되어야 하고, “적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C”를 의미하는 것으로 이해되어서는 안 된다.
일부 구현예들에서, 제어기는 상기 기술된 예시들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전, 동안, 그리고 이후 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기” 로서 일컬어진다. 제어기는 프로세싱 요구사항 및/또는 시스템의 유형에 따라, 프로세싱 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, 무선 주파수 (RF) 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 위치 및 동작 설정, 특정 시스템과 연결되거나 인터페이스된 툴 및 다른 이송 툴들 및/또는 로드 록들 내부 및 외부로 웨이퍼 이송을 포함하는 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션 (instruction) 을 수신하고, 인스트럭션을 발행하고, 동작을 제어하고, 세정 동작을 가능하게 하고, 엔드포인트 측정을 가능하게 하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 가지는 전자 장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, DSPs (Digital Signal Processors), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로컨트롤러들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 또는 시스템에 대한 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는 다양한 개별적인 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 통신되는 인스트럭션들일 수도 있다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들 (dies) 의 제작 동안 하나 이상의 프로세싱 단계들을 달성하기 위해 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템과 통합된, 시스템에 커플링된, 그렇지 않으면 시스템에 네트워킹된, 또는 이것들의 조합인 컴퓨터의 일부 또는 컴퓨터에 커플링될 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 “클라우드” 내에 있을 수도 있고 또는 fab 호스트 컴퓨터 시스템의 전부 또는 일부일 수도 있다. 컴퓨터는 제작 동작들의 현재 진행을 모니터하고, 과거 제작 동작들의 이력을 검토하고, 복수의 제조 동작들에서 경향 또는 성능 메트릭을 검토하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱을 따를 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다. 원격 컴퓨터는 나중에 원격 컴퓨터로부터 시스템으로 통신되는 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작 동안 수행되는 프로세싱 단계들 각각에 대한 파라미터들을 명시하는 데이터의 형태로 인스트럭션들을 수신한다. 파라미터들이 수행될 프로세스의 유형 및 제어기가 인터페이스하도록 또는 제어하도록 구성되는 툴의 유형에 특정할 수도 있는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 본 명세서에 기술된 프로세스들과 제어들처럼 공동의 목적을 향해 함께 네트워킹되고 작업하는 하나 이상 별개의 제어기들을 포함하는 것과 같이 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하기 위해 결합하는 원격으로 위치한 (플랫폼 레벨에서 또는 원격 컴퓨터에서의 일부와 같은) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
제한 없이, 반도체 웨이퍼들의 제작 및/또는 제조에 연관되거나 사용될 수도 있는 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (PVD : Physical Vapor Deposition) 챔버 또는 모듈, 화학적 기상 증착 (CVD : Chemical Vapor Deposition) 챔버 또는 모듈, 원자 층 증착 (ALD : Atomic Layer Deposition) 챔버 또는 모듈, 원자 층 에칭 (ALE : Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들, 중 하나 이상과 통신할 수도 있다.

Claims (19)

  1. 기판 프로세싱 시스템의 프로세싱 챔버를 세정하기 위한 세정 시스템에 있어서,
    리모트 플라즈마를 생성하도록 구성된 리모트 플라즈마 소스 (remote plasma source; RPS);
    상기 RPS로부터 RPS 플라즈마를 수용하도록 구성된 프로세싱 챔버; 및
    제어기를 포함하고, 상기 제어기는,
    가스 소스로부터 상기 RPS로 NF3 (삼불화질소) 가스를 공급하고;
    상기 가스 소스로부터 상기 프로세싱 챔버로 상기 NF3 가스를 바이패스 가스로서 공급하고;
    상기 RPS 플라즈마가 상기 프로세싱 챔버로 공급되는 동안, 무선 주파수 (radio frequency; RF) 전력을 공급함으로써 상기 프로세싱 챔버 내의 상기 바이패스 가스로부터 인시츄 (in-situ) 플라즈마를 스트라이킹하고 (strike); 그리고
    상기 RPS 플라즈마 및 상기 인시츄 플라즈마 모두를 사용하여 세정 기간 동안 상기 프로세싱 챔버를 세정하도록 구성되는, 프로세싱 챔버 세정 시스템.
  2. 제 1 항에 있어서,
    상기 제어기는 상기 세정 기간 후에 상기 인시츄 플라즈마를 소화시키고 그리고 상기 RPS 플라즈마를 상기 프로세싱 챔버로 공급하지 않도록 더 구성되는, 프로세싱 챔버 세정 시스템.
  3. 제 1 항에 있어서,
    상기 프로세싱 챔버는 상기 프로세싱 챔버 내에 화학적 기상 증착 (chemical vapor deposition; CVD) 프로세싱을 수용하도록 구성되는, 프로세싱 챔버 세정 시스템.
  4. 제 3 항에 있어서,
    상기 프로세싱 챔버는 산화물 전구체 가스를 사용하여 상기 프로세싱 챔버 내 기판 상에 SiO2 (이산화실리콘) 증착을 수용하도록 구성되는, 프로세싱 챔버 세정 시스템.
  5. 제 4 항에 있어서,
    상기 산화물 전구체 가스는 TEOS (테트라에틸 오르토실리케이트) 가스를 포함하는, 프로세싱 챔버 세정 시스템.
  6. 제 1 항에 있어서,
    상기 프로세싱 챔버는 상기 프로세싱 챔버 내에 원자 층 증착 (atomic layer deposition; ALD) 프로세싱을 수용하도록 구성되는, 프로세싱 챔버 세정 시스템.
  7. 제 6 항에 있어서,
    상기 프로세싱 챔버는 산화물 전구체 가스를 사용하여 상기 프로세싱 챔버 내에 SiO2 증착을 수용하도록 구성되는, 프로세싱 챔버 세정 시스템.
  8. 제 7 항에 있어서,
    상기 산화물 전구체 가스는 TEOS (tetraethyl orthosilicate) 가스를 포함하는, 프로세싱 챔버 세정 시스템.
  9. 제 1 항에 있어서,
    상기 제어기는 500 W (watts) 내지 3000 W 범위 내의 무선 주파수 (RF) 전력을 사용하여 상기 인시츄 플라즈마를 생성하도록 구성되는, 프로세싱 챔버 세정 시스템.
  10. 제 1 항에 있어서,
    상기 제어기는 1000 W 내지 2000 W 범위 내의 무선 주파수 (RF) 전력을 사용하여 상기 인시츄 플라즈마를 생성하도록 구성되는, 프로세싱 챔버 세정 시스템.
  11. 제 1 항에 있어서,
    상기 제어기는 1400 W 내지 1600 W 범위 내의 무선 주파수 (RF) 전력을 사용하여 상기 인시츄 플라즈마를 생성하도록 구성되는, 프로세싱 챔버 세정 시스템.
  12. 제 1 항에 있어서,
    상기 제어기는 챔버 동작 파라미터들에 대해 미리 결정된 플로우 레이트의 90 % 내지 110 % 범위 내인, 상기 RPS로의 가스 플로우 레이트를 제공하도록 구성되는, 프로세싱 챔버 세정 시스템.
  13. 제 1 항에 있어서,
    상기 제어기는 챔버 동작 파라미터들에 대해 미리 결정된 플로우 레이트의 95 % 내지 105 % 범위 내인, 상기 RPS로의 가스 플로우 레이트를 제공하도록 구성되는, 프로세싱 챔버 세정 시스템.
  14. 제 1 항에 있어서,
    상기 제어기는 10 내지 12 slm 범위 내의 상기 RPS로의 NF3 가스의 가스 플로우 레이트를 제공하도록 구성되는, 프로세싱 챔버 세정 시스템.
  15. 제 1 항에 있어서,
    상기 제어기는 3 내지 5 slm 범위 내의 상기 프로세싱 챔버로의 NF3 가스의 가스 플로우 레이트를 제공하도록 구성되는, 프로세싱 챔버 세정 시스템.
  16. 제 1 항에 있어서,
    상기 제어기는,
    상기 세정 기간의 제 1 부분 동안 상기 프로세싱 챔버 내에서 제 1 압력을 유지하고; 그리고
    상기 세정 기간의 제 2 부분 동안 상기 프로세싱 챔버 내에서 상기 제 1 압력과 상이한 제 2 압력을 유지하도록 더 구성되는, 프로세싱 챔버 세정 시스템.
  17. 제 16 항에 있어서,
    상기 제 1 압력은 2 내지 4 Torr 범위 내이고 그리고 상기 제 2 압력은 0.5 내지 2 Torr 범위 내인, 프로세싱 챔버 세정 시스템.
  18. 제 1 항에 있어서,
    상기 제어기는 상기 세정 기간 동안 350 ℃ 내지 650 ℃ 범위 내로 기판 지지체의 온도를 유지하도록 더 구성되는, 프로세싱 챔버 세정 시스템.
  19. 제 1 항에 있어서,
    상기 제어기는 상기 세정 기간 동안 445 ℃ 내지 550 ℃ 범위 내로 기판 지지체의 온도를 유지하도록 더 구성되는, 프로세싱 챔버 세정 시스템.
KR1020237037778A 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정 KR20230156172A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/493,972 2017-04-21
US15/493,972 US10161034B2 (en) 2017-04-21 2017-04-21 Rapid chamber clean using concurrent in-situ and remote plasma sources
PCT/US2018/028858 WO2018195532A1 (en) 2017-04-21 2018-04-23 Rapid chamber clean using concurrent in-situ and remote plasma sources
KR1020197034318A KR102598863B1 (ko) 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197034318A Division KR102598863B1 (ko) 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정

Publications (1)

Publication Number Publication Date
KR20230156172A true KR20230156172A (ko) 2023-11-13

Family

ID=63852724

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197034318A KR102598863B1 (ko) 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR1020237037778A KR20230156172A (ko) 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197034318A KR102598863B1 (ko) 2017-04-21 2018-04-23 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정

Country Status (5)

Country Link
US (1) US10161034B2 (ko)
JP (1) JP2020519008A (ko)
KR (2) KR102598863B1 (ko)
CN (2) CN116936327A (ko)
WO (1) WO2018195532A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US20200255940A1 (en) * 2019-02-11 2020-08-13 Applied Materials, Inc. Method for cleaning process chamber
JP7306195B2 (ja) * 2019-09-27 2023-07-11 東京エレクトロン株式会社 基板を処理する装置及びステージをクリーニングする方法
CN112458435B (zh) * 2020-11-23 2022-12-09 北京北方华创微电子装备有限公司 原子层沉积设备及清洗方法
CN115491657B (zh) * 2022-09-21 2023-09-19 拓荆科技股份有限公司 清洁方法、存储介质、驱动电路及半导体加工设备
WO2024081516A1 (en) * 2022-10-13 2024-04-18 Lam Research Corporation Cleaning a chemical vapor deposition chamber

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
CN1214444C (zh) 2000-07-18 2005-08-10 昭和电工株式会社 用于半导体生产设备的净化气
US7588036B2 (en) 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040045577A1 (en) 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
US20050161060A1 (en) 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US20050260354A1 (en) 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR100672772B1 (ko) * 2005-03-31 2007-01-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
EP2007923B1 (en) 2006-04-10 2017-07-19 Solvay Fluor GmbH Etching process
JP2010503977A (ja) 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US7875125B2 (en) 2007-09-21 2011-01-25 Semequip, Inc. Method for extending equipment uptime in ion implantation
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
JP5179219B2 (ja) 2008-02-20 2013-04-10 東京エレクトロン株式会社 付着物除去方法及び基板処理方法
KR20100126408A (ko) 2008-02-21 2010-12-01 린데 노쓰 아메리카 인코포레이티드 챔버 클리닝을 위한 리모트 플라즈마에의 불소 소스 가스의 신속 공급 방법
JP5178342B2 (ja) 2008-06-23 2013-04-10 キヤノン株式会社 堆積物除去方法及び堆積膜形成方法
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2011031858A1 (en) 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US9627180B2 (en) 2009-10-01 2017-04-18 Praxair Technology, Inc. Method for ion source component cleaning
US20110108058A1 (en) 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US20140166618A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101836417B1 (ko) * 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US10879049B2 (en) * 2015-07-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition tool and operating method thereof
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置

Also Published As

Publication number Publication date
KR20190133282A (ko) 2019-12-02
CN110537241B (zh) 2023-06-20
WO2018195532A1 (en) 2018-10-25
CN116936327A (zh) 2023-10-24
KR102598863B1 (ko) 2023-11-03
JP2020519008A (ja) 2020-06-25
US20180305814A1 (en) 2018-10-25
US10161034B2 (en) 2018-12-25
CN110537241A (zh) 2019-12-03

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US20170110335A1 (en) Systems and methods for ultrahigh selective nitride etch
US20220259725A1 (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
US11008655B2 (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US9870932B1 (en) Pressure purge etch method for etching complex 3-D structures
US11293098B2 (en) Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US20230207274A1 (en) Photoelectron assisted plasma ignition
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20220181128A1 (en) Apparatus for cleaning plasma chambers
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
US20190249295A1 (en) Ammonia pre-treatment to promote amorphous silicon adhesion to aluminum nitride
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
A107 Divisional application of patent