KR20230126673A - 스페이서 및 관련 구조체를 형성하는 방법 - Google Patents

스페이서 및 관련 구조체를 형성하는 방법 Download PDF

Info

Publication number
KR20230126673A
KR20230126673A KR1020230024652A KR20230024652A KR20230126673A KR 20230126673 A KR20230126673 A KR 20230126673A KR 1020230024652 A KR1020230024652 A KR 1020230024652A KR 20230024652 A KR20230024652 A KR 20230024652A KR 20230126673 A KR20230126673 A KR 20230126673A
Authority
KR
South Korea
Prior art keywords
liner
metal
resist
substrate
forming
Prior art date
Application number
KR1020230024652A
Other languages
English (en)
Inventor
요안 톰자크
키샨 아슈크바이 파텔
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230126673A publication Critical patent/KR20230126673A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

구조체를 패터닝하고 형성하는 방법뿐만 아니라 관련 구조체 및 시스템이 개시된다. 본 방법은 패터닝된 레지스트의 측벽 상에 라이너를 형성하는 단계를 포함한다. 패터닝된 레지스트는 제1 금속을 포함하고, 라이너는 제2 금속을 포함한다.

Description

스페이서 형성 방법 및 관련 구조 {Methods for forming spaces and related structures}
본 개시는 일반적으로 리소그래피 분야에 관한 것으로, 특히 극자외선 리소그래피 분야에 관한 것이다.
반도체 소자의 일정한 스케일링, 및 그 구성 구조체의 임계 치수(CD)의 연관된 감소로, 종래의 극자외선(EUV) 리소그래피 스캐너는 그들의 해상도 한계에 도달하고 있으며, 특정 유형의 격리된 구조체 패턴(특히, 비아용 컨택 및 홀)은 20 nm 미만의 목표 임계 치수로 인쇄될 수 없다. 따라서, EUV 리소그래피 노출 후의 실제 임계 치수는 목표 임계 치수(CD)보다 더 높은 약 20 nm이다.
EUV 특징부 크기의 스케일링 축소로 신규 레지스트 유형이 최근에 도입되었다. 특히, 금속 유기 레지스트(MOR)는 호응을 얻고 있는데, 그 이유는 더 높은 에칭 저항성을 나타내고, 이는 더 얇은 포토레지스트 층을 허용하고 따라서 더 적은 결함(특히 가장 작은 CD 및 피치에서)으로 더 쉬운 패턴 전사를 허용하기 때문이다. 그러나, 이들 레지스트는 여전히 그의 화학적으로 증폭된 레지스트(CAR) 상대(C 기반 포토레지스트)와 동일한 EUV 해상도 제한(예, 단리된 컨택/홀 구조체에 대한 최소 인쇄 CD 크기 ~20 nm)을 겪는다.
현재 개시된 방법 및 구조체는 전술한 도전 과제 중 적어도 일부에 대한 해결책을 제공한다.
본 개시의 다양한 구현예는 구조체를 형성하기 위한 방법에 관한 것이다. 방법은 기판을 반응 챔버에 제공하는 단계를 포함한다. 기판은 패터닝된 레지스트를 포함한다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 복수의 리세스는 측벽 및 바닥부를 포함한다. 방법은 측벽 상에 라이너를 형성하는 단계를 추가로 포함한다. 라이너는 제2 금속을 포함한다.
기판 상에 패턴을 형성하기 위한 방법이 본원에서 추가로 설명된다. 방법은 기판 상에 레지스트를 형성하는 단계를 포함한다. 레지스트는 제1 금속을 포함한다. 방법은 마스크를 통해 기판을 복사선에 부분적으로 노출시키는 단계를 추가로 포함한다. 따라서, 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분이 형성된다. 방법은 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분 중 하나를 선택적으로 제거하는 단계를 추가로 포함한다. 따라서, 패터닝된 레지스트가 형성된다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 복수의 리세스는 측벽 및 바닥부를 포함한다. 방법은 기판을 반응 챔버에 제공하는 단계를 추가로 포함한다. 방법은 측벽 상에 라이너를 형성하는 단계를 추가로 포함한다. 라이너는 제2 금속을 포함한다.
일부 구현예에서, 레지스트는 EUV 레지스트를 포함하고, 복사선은 EUV 복사선을 포함한다.
일부 구현예에서, 제1 금속 및 제2 금속은 동일하다.
일부 구현예에서, 라이너는 리세스의 바닥부 및 패터닝된 특징부 상에 추가로 형성된다.
일부 구현예에서, 라이너를 형성하는 단계 다음은 라이너를 에칭하는 단계이다. 따라서, 라이너는 패터닝된 특징부 및 리세스의 바닥부로부터 제거되고, 측벽 상에 스페이서를 형성한다.
일부 구현예에서, 제1 금속 및 제2 금속 중 적어도 하나는 Sn, In, Sb, Ti, Al, Zn, Hf, 및 Zr로부터 선택된다.
일부 구현예에서, 라이너 및 레지스트는 실질적으로 동일한 조성물을 갖는다.
일부 구현예에서, 라이너 및 레지스트는 실질적으로 동일한 에칭 속도를 갖는다.
일부 구현예에서, 레지스트 및 라이너 중 적어도 하나는, 닉토겐, 칼코겐, 및 할로겐 중 하나 이상을 포함한다.
일부 구현예에서, 레지스트 및 라이너는 동일한 금속 산화물을 포함한다.
일부 구현예에서, 라이너를 형성하는 단계는, 반응 챔버에 전구체 및 반응물을 제공하는 단계를 포함한다.
일부 구현예에서, 라이너를 형성하는 단계는 플라즈마를 형성하는 단계를 포함한다.
일부 구현예에서, 전구체 및 반응물은 동시에 반응기 챔버에 제공된다.
일부 구현예에서, 라이너를 형성하는 단계는 주기적 공정을 실행하는 단계를 포함한다. 주기적 공정은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 반응물 펄스는 기판을 반응물에 노출시키는 단계를 포함한다.
일부 구현예에서, 전구체는 금속 알킬아민, 금속 알킬, 및 금속 할라이드 중 적어도 하나를 포함한다.
일부 구현예에서, 반응물은 산소를 포함한다.
하나 이상의 전구체 공급원, 하나 이상의 전구체 공급원과 작동 가능하게 결합되는 반응 챔버, 및 제어기를 포함한 시스템이 본원에 추가로 설명된다. 제어기는, 시스템이 본원에 설명된 바와 같은 방법을 수행하도록 배열된다.
기판, 패터닝된 레지스트, 및 라이너를 포함한 구조체가 본원에서 추가로 설명된다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 복수의 리세스는 측벽 및 바닥부를 포함한다. 라이너는 측벽 상에 위치한다. 라이너는 제2 금속을 포함한다.
본원에서 설명되는 바와 같은 방법 수단에 의해 형성된 구조체가 본원에 추가로 설명된다.
본 발명은 반드시 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은, 본 개시에 따른 방법의 적어도 구현예가 실행될 수 있는 반응기를 나타낸다.
도 2는, 본 개시의 예시적 추가 구현예에 따른 시스템(200)을 나타낸다.
도 3은 라이너 및 스페이서를 포함한 기판을 나타낸다.
도 4는 본 개시의 특정 구현예에 따른 예시적인 패터닝 공정의 흐름도를 나타낸다.
도 5-8은 본 개시의 특정 구현예에 따라 라이너를 형성하기 위한 공정의 흐름도를 나타낸다.
도 9 및 도 10은 본 개시의 특정 구현예에 따른 방법에 사용하기 위한 예시적인 펄스화 체계를 나타낸다.
도 11-13은 본 개시의 특정 구현예에 따른 방법에 사용하기 위한 예시적인 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 본 발명의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며; 다음의 설명은 본원에 개시된 발명의 범주를 한정하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다.
본원에서 사용되는 바와 같이, 용어 기판은 하나 이상의 층이 그 위에 증착될 수 있거나 이를 포함한 임의의 하부 재료(들)를 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 예를 들어, 기판은 벌크 재료 위에 놓인 여러 층의 패터닝 적층체를 포함할 수 있다. 패터닝 적층체는 응용예에 따라 달라질 수 있고, 예를 들어 금속 하드마스크, 산화물 하드마스크, 질화물 하드마스크, 탄화물 하드마스크, 또는 비정질 탄소 하드마스크와 같은 하드마스크를 포함할 수 있다. 또한, 기판은, 추가적으로 또는 대안적으로 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 리세스, 라인 등을 포함할 수 있다.
본 개시에서, 가스는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 귀 가스와 같은 밀폐 가스를 포함할 수 있다.
일부 경우에서, 예컨대 재료의 증착 맥락에서, 용어 전구체는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물(들)을 지칭할 수 있고, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭할 수 있는 반면, 용어 반응물은 일부 경우에서 전구체 이외의 화합물을 지칭할 수 있데, 이는 전구체와 반응하거나, 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉매화시키고, 반응물은 원소를 막에 제공할 수 있고, 막의 일부가 될 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다.
용어 주기적 증착 공정 또는 순환 증착 공정은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다. 다른 경우에, 처리 기술은 플라즈마 강화 CVD(PECVD) 또는 플라즈마 강화 ALD(PEALD)와 같은 플라즈마 공정을 포함할 수 있으며, 이는 더 낮은 온도에서의 작동을 허용하기 때문에 일부 구현예에서 바람직할 수 있다.
용어 원자층 증착은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 의미한다.
일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 일부 경우에서, 반응물을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물은 전구체와 더 반응할 수 있다. 퍼지 단계는 하나 이상의 사이클 동안, 예를 들어 각각의 사이클의 각 단계 동안에 사용될 수 있어, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
본원에서 사용되는 바와 같이, 용어 퍼지 또는 퍼징은 가스 흐름이 정지되는 절차 또는 캐리어 가스의 지속적인 공급과 관련된 절차를 지칭할 수 있는 반면, 전구체 흐름은 간헐적으로 정지된다. 예를 들어, 퍼지는 전구체 펄스와 반응물 펄스 사이에 제공될 수 있어서, 전구체와 반응물 사이의 기상 상호 작용을 피하거나 적어도 감소시킬 수 있다. 퍼지는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어 시간적 퍼지의 경우, 퍼지 단계는, 예를 들어 반응기 챔버에 전구체를 제공하는 단계, 반응기 챔버에 퍼지 가스를 제공하는 단계, 및 반응기 챔버에 반응물을 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 층이 증착되는 기판은 이동하지 않는다. 공간적 퍼지의 경우, 퍼지 단계는, 전구체가 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 반응물이 공급되는 제2 위치로 기판을 이동시키는 형태를 취할 수 있다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 포함한, 의해 구성되는, 및 갖는은 일부 구현예에서 통상적으로 또는 대략적으로 포함하는, 포함하는, 본질적으로 이루어지는, 또는 이루어지는을 독립적으로 지칭할 수 있다. 또한, 상기 용어는 다음으로 이루어지거나 필수적으로 구성될 수 있다. 본 개시의 양태에 따라, 임의의 정의된 용어의 의미는 용어의 통상적이고 관습적인 의미를 반드시 배제하지 않는다.
구조체를 형성하기 위한 방법이 본원에 설명된다. 방법은 기판을 반응 챔버에 제공하는 단계를 포함한다. 기판은 패터닝된 레지스트를 포함한다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 리세스는 측벽 및 바닥부를 포함한다. 방법은 측벽 상에 라이너를 형성하는 단계를 추가로 포함한다. 라이너는 제2 금속을 포함한다.
웨이퍼와 같은 기판 상에 패턴을 형성하기 위한 방법이 본원에서 추가로 설명된다. 방법은 기판 상에 레지스트를 형성하는 단계를 포함한다. 예시적인 레지스트는 EUV 레지스트, 즉 극자외선에 민감한 레지스트를 포함한다. 레지스트는 제1 금속을 포함한다. 방법은 마스크를 통해 기판을 복사선에 부분적으로 노출시키는 단계를 추가로 포함한다. 기판이 부분적으로 노출될 경우, 기판의 특정 부분은 조사하는 반면에 다른 부분은 조사하지 않는다. 따라서, 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분이 형성된다. 방법은 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분 중 하나를 선택적으로 제거하는 단계를 추가로 포함한다. 포지 레지스트와 네가 레지스트 둘 모두가 적절히 사용될 수 있음을 이해해야 한다. 따라서, 패터닝된 레지스트가 형성된다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 복수의 리세스는 측벽 및 바닥부를 포함한다. 방법은 기판을 반응 챔버에 제공하는 단계를 추가로 포함한다. 그 다음, 라이너가 측벽 상에 형성된다. 라이너는 제2 금속을 포함한다.
따라서, 스페이서를 형성하는 방법, 및 기판 상에 패턴을 형성하는 방법이 본원에 설명된다. 관련 구조체 및 시스템이 본원에 추가로 설명된다. 스페이서는, 예를 들어 극자외선(EUV) 광을 사용하여 반도체 기판 패터닝의 맥락에서 유리하게 사용될 수 있다. 특히, 스페이서는 유리하게는, 패터닝될 수 있는 특징부의 임계 치수를 감소시킬 수 있다. 따라서, 20 nm 미만의 임계 치수를 갖는 패턴이 효율적으로 형성될 수 있어서, 현재의 EUV 해상도 한계를 회피할 수 있다.
또한, 스페이서 형성이 리소그래피 직후에 적절히 수행될 수 있기 때문에, 후속 에칭 단계는 "직선형" 이방성 에칭, 즉 포리머화 가스를 제한적으로 사용하고 테이퍼링을 하지 않는 기판 평면에 수직인 이방성 에칭을 사용할 수 있어서, 특징부 균일성 및 배치 및 한계 결함을 잠재적으로 개선할 수 있다. 현재 개시된 방법은 추가적으로 낮은 거칠기, 비용 감소, 및 처리량 증가를 갖는 패턴을 초래할 수 있다.
또한, 널리 다양한 재료, 예를 들어 금속 중심 및 공동 반응물을 사용할 수 있어, 라이너 조성물이 특정적일 수 있도록 한다.
본원에 설명된 방법은 임의의 적절한 레지스트를 사용할 수 있다. 일부 구현예에서, 레지스트는 극자외선에 민감한 레지스트(EUV 레지스트)이다. 적절하게는, 복사선이, 마스크를 통해 복사선에 부분적으로 기판을 노출시키기 위해 사용된다. EUV 포토레지스트 층은 분자, 금속 산화물, 또는 화학적으로 증폭된 포토레지스트와 같은 임의의 적절한 포토레지스트를 포함할 수 있다. 포토레지스트는, 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 원자층 증착(ALD), 및 플라즈마 강화 원자층 증착(PEALD)을 포함하는, 임의의 적절한 증착 기술을 사용하여 형성될 수 있음을 이해할 것이다.
일부 구현예에서, 레지스트는 금속유기 레지스트(MOR)를 포함한다. 적절한 금속 유기 레지스트는 주석 옥시카바이드 및 인듐 옥시카바이드 레지스트를 포함한다. 이러한 레지스트는 스핀 코팅, 화학 기상 증착, 플라즈마 강화 화학 기상 증착, 원자층 증착, 분자층 증착, 및 플라즈마 강화 원자층 증착을 포함하는 다양한 기술을 사용하여 형성될 수 있다.
일부 구현예에서, 레지스트는 금속 산화물 레지스트를 포함한다. 적절한 금속 산화물 레지스트는 주석 산화물 레지스트 및 인듐 산화물 레지스트를 포함한다. 이러한 레지스트는 스핀 코팅, 화학 기상 증착, 플라즈마 강화 화학 기상 증착, 원자층 증착, 분자층 증착, 및 플라즈마 강화 원자층 증착을 포함하는 다양한 기술을 사용하여 형성될 수 있다. 일부 구현예에서, 레지스트는 주석, 인듐 및 안티몬 중 적어도 하나의 산화물을 포함할 수 있다.
적절한 레지스트는 하나 이상의 종류의 전자기 복사선, 예를 들어 EUV 복사선에 노출시 물리적 또는 화학적 변화, 예를 들어 용해의 변화를 겪는 재료를 포함한다.
널리 다양한 재료(금속 중심 및 공동 반응물)는 라이너 층 조성물의 특정 맞춤이 특정 레지스트 조성물 및 후속하는 에칭 화학물질에 적합하게 할 수 있다. 따라서, 일부 구현예에서, 제1 금속 및 제2 금속은 동일하다. 따라서, 레지스트와 라이너는 동일한 금속을 포함할 수 있다. 다른 구현예에서, 제1 및 제2 금속은 상이하며, 즉 레지스트 및 라이너는 상이한 금속을 포함하지만, 레지스트 및 라이너는 예를 들어, 기상 에칭에서 유사하거나 동일한 에칭 속도를 나타낸다.
일부 구현예에서, 제1 금속 및 제2 금속은 동일하다. 이는, 예를 들어 형성되는 패터닝된 레지스트와 유사하거나 동일한 에칭 속도를 갖는 라이너가 형성될 경우에 유리할 수 있다.
일부 구현예에서, 라이너는 리세스의 바닥부 및 패터닝된 특징부 상에 추가로 형성된다. 적절하게, 그리고 일부 구현예에서, 이어서, 본원에 설명된 바와 같은 방법은, 라이너를 이방성 에칭하는 단계, 따라서 패터닝된 특징부 및 바닥부로부터 라이너를 제거하는 단계, 및 측벽 상에 스페이서를 형성하는 단계를 포함한다.
라이너의 밀도 및 에칭 저항성은 증착 파라미터(예, 증착 온도, 압력, 플라즈마 조성 등), 전구체 선택, 반응물 조성 등을 조정함으로써 맞춤될 수 있음을 이해할 것이다.
일부 구현예에서, 제1 금속 및 제2 금속 중 적어도 하나는 Sb, In, 및 Sn으로부터 선택된다.
일부 구현예에서, 레지스트 및 라이너 중 적어도 하나는, 닉토겐, 칼코겐, 및 할로겐 중 하나 이상을 포함한다. 일부 구현예에서, 레지스트 및 라이너 중 적어도 하나는 금속 닉토겐, 금속 칼코겐, 또는 금속 할라이드를 포함한다. 적절한 금속성 닉토겐은 금속 질화물을 포함한다. 적절한 금속 칼코겐은 금속 산화물, 금속 황화물, 금속 셀렌화물, 및 금속 텔루라이드를 포함한다.
적절한 전구체는 주석 전구체, 안티몬 전구체, 및 인듐 전구체와 같은 금속 전구체를 포함한다. 일부 구현예에서, 전구체는 Sn, In, Sb, Ti, Al, Zn, Hf, 및 Zr로 이루어진 목록으로부터 선택된 원소를 포함한다. 금속 전구체는, 예를 들어 알킬아민, 알킬, 또는 할라이드를 포함할 수 있다. 일부 구현예에서, 전구체는 테트라키스(디메틸아미도)주석과 같은 주석 알킬아민을 포함한다. 일부 구현예에서, 전구체는 트리메틸인듐과 같은 인듐 알킬을 포함한다. 일부 구현예에서, 제2 전구체는 SnI4와 같은 주석 할라이드를 포함한다. 일부 구현예에서, 전구체는 SbCl5와 같은 안티몬 할라이드를 포함한다.
적절한 반응물은 전구체와 반응하거나 달리 상호 작용할 수 있는 기체 화합물 및 원소 가스를 포함한다. 반응물과 전구체 사이의 반응은 열적일 수 있거나, 플라즈마, 핫 와이어, 또는 UV 광과 같은 일부 활성화 수단을 통해 활성화될 수 있다.
일부 구현예에서, 반응물은 산소를 포함한다. 따라서, 일부 구현예에서, 반응물은 산소 반응물을 포함한다. 적절한 산소 반응물은 O2, O3, 및 H2O를 포함한다.
일부 구현예에서, 반응물은 F, Cl, Br 및 I 중 하나 이상과 같은 할라이드를 포함한다. 일부 구현예에서, 반응물은 HF, HCl, HBr 또는 HI와 같은 수소 할라이드를 포함한다. 일부 구현예에서, 반응물은 F2, Cl2, Br2, 또는 I2와 같은 원소 할로겐을 포함한다.
일부 구현예에서, 반응물은 질소 반응물을 포함한다. 적절한 질소 반응물은 N2, NH3, N2H2, 및 포밍 가스를 포함한다.
일부 구현예에서, 반응물은 탄소 반응물을 포함한다. 적절한 탄소 반응물은 CH4와 같은 알킬을 포함한다.
일부 구현예에서, 반응물은 환원 반응물을 포함한다. 적절한 환원 반응물은 H2를 포함한다.
일부 구현예에서, 반응물은 지방족 또는 방향족 디올과 같은 디올을 포함한다. 적절한 디올은 에틸렌 글리콜 및 하이드로퀴논을 포함한다.
일부 구현예에서, 반응물은 이온 또는 라디칼 중 적어도 하나를 포함한다. 이온 및 라디칼은 플라즈마에서, 예를 들어 반응 챔버 내의 플라즈마에서 또는 반응 챔버로부터 특정 거리에서 원격식 플라즈마에서 생성될 수 있다. 플라즈마가 반응 챔버에서 생성될 경우, 플라즈마는 직접식 또는 간접식 플라즈마일 수 있다. 직접식 플라즈마는 기판과 직접 접촉한다. 간접식 플라즈마는 메시 플레이트 또는 천공된 플레이트와 같은 개구를 포함하는 분리기에 의해 기판으로부터 분리된다. 일부 구현예에서, 플라즈마는 귀가스, 또는 귀가스 및 산소 함유 가스를 포함하는 플라즈마 가스, 또는 Ar 및 O2를 포함하는 플라즈마 가스, 또는 O2를 포함하는 플라즈마 가스, 또는 He 및 O2를 포함하는 플라즈마 가스, 또는 Ar을 포함하는 플라즈마 가스를 포함한다.
일부 구현예에서, 라이너를 형성하는 단계는 원자층 증착 공정을 실행하는 단계를 포함한다. 이러한 구현예에서, 라이너는 기판을 전구체 및 반응물에 순차적으로 노출시킴으로써 형성된다. 기판 및 반응물 노출은 퍼지에 의해 분리될 수 있다. 전구체는 알킬아민, 예컨대 테트라키스(디메틸아미도)주석, 알킬, 예컨대 트리메틸인듐, 또는 할라이드, 예컨대 SnI4 또는 SbCl5를 포함할 수 있다. 반응물은 물 및 산소, 예컨대 H2O 또는 H2O2를 포함하는 반응물을 포함할 수 있다. 이러한 원자층 증착 공정은, 예를 들어 플라즈마 강화 화학 기상 증착 공정을 사용하여 증착되었던 금속유기 레지스트 상에 라이너를 형성하는 데 유용하다.
일부 구현예에서, 라이너를 형성하는 단계는 분자층 증착 공정을 실행하는 단계를 포함한다. 이러한 구현예에서, 라이너는 기판을 전구체 및 반응물에 순차적으로 노출시킴으로써 형성된다. 기판 및 반응물 노출은 퍼지에 의해 분리될 수 있다. 전구체는 알킬아민, 예컨대 테트라키스(디메틸아미도)주석, 알킬, 예컨대 트리메틸인듐, 또는 할라이드, 예컨대 요오드화물, 브로마이드, 또는 클로라이드를 포함할 수 있다. 적절한 요오드화물은 SnI4를 포함한다. 적절한 염화물은 SbCl5를 포함한다. 반응물은 디올, 예를 들어 에틸렌 글리콜과 같은 지방족 디올 또는 하이드로퀴논과 같은 방향족 디올을 포함할 수 있다. 이러한 분자층 증착 공정은, 예를 들어 스핀 코팅을 사용하여 형성되었던 금속 유기 레지스트 상에 라이너를 형성하는 데 유용하다.
일부 구현예에서, 라이너를 형성하는 단계는 플라즈마 강화 원자층 증착 공정을 실행하는 단계를 포함한다. 이러한 구현예에서, 라이너는 기판을 전구체 및 반응물에 순차적으로 노출시킴으로써 형성된다. 이러한 구현예에서, 반응물은 플라즈마를 사용하여 생성되었던 이온 및 라디칼과 같은 활성 종을 포함한다. 기판 및 반응물 노출은 퍼지에 의해 분리될 수 있다. 전구체는 알킬아민, 예컨대 테트라키스(디메틸아미도)주석, 알킬, 예컨대 트리메틸인듐, 또는 할라이드, 예컨대 요오드화물, 브로마이드, 또는 클로라이드를 포함할 수 있다. 적절한 요오드화물은 SnI4를 포함한다. 적절한 염화물은 SbCl5를 포함한다. 언급한 바와 같이, 이온 및 라디칼은 플라즈마에서, 예를 들어 반응 챔버 내의 플라즈마에서 또는 반응 챔버로부터 특정 거리에서 원격식 플라즈마에서 생성될 수 있다. 플라즈마가 반응 챔버에서 생성될 경우, 플라즈마는 직접식 또는 간접식 플라즈마일 수 있다. 일부 구현예에서, 플라즈마는 귀가스, 또는 귀가스 및 산소 함유 가스를 포함하는 플라즈마 가스, 또는 Ar 및 O2를 포함하는 플라즈마 가스, 또는 O2를 포함하는 플라즈마 가스, 또는 He 및 O2를 포함하는 플라즈마 가스, 또는 Ar을 포함하는 플라즈마 가스를 포함한다. 이러한 플라즈마 강화 원자층 증착 공정은, 예를 들어 플라즈마 강화 화학 기상 증착 공정을 사용하여 증착되었던 금속유기 레지스트 상에 라이너를 형성하는 데 유용하다.
일부 구현예에서, 라이너를 형성하는 단계는, 반응 챔버에 전구체 및 반응물을 제공하는 단계를 포함한다.
예시적인 증착 방법은, ALD 및 펄스형 CVD 방법과 같은 주기적 증착 방법일 수 있거나 이를 포함할 수 있고, 일부 유용한 구현예에서, 간접식, 직접식 및 원격식 플라즈마 방법을 포함할 수 있으며, 이는 서브 사이클이 튜닝을 향상시키기 위해 (예를 들어, 흡수체 또는 언더레이어 등에서 원하는 양 또는 농도의 원하는 원소를 달성하기 위해) 선택적으로 반복될 수 있는 슈퍼 사이클 공정을 포함할 수 있다. 본원에 설명된 바와 같은 라이너는 열 화학 기상 증착(CVD), 펄스형 CVD, 열 원자층 증착(ALD), 플라즈마 강화 CVD(PECVD), 또는 플라즈마 강화 ALD(PEALD)를 사용하여 형성될 수 있다. 이들 접근법 모두, 균일하고 얇은(≤ 5 nm) 라이너의 증착을 위해 제공될 수 있다.
일부 구현예에서, 라이너는, 금속 전구체와 탄소 반응물의 교대 펄스를 사용하는 분자층 증착 공정과 같은 주기적 공정에 의해 증착된다. 적절하게는, 금속 전구체는 테트라키스(디메틸아미도)주석과 같은 금속 알킬아민을 포함할 수 있고, 탄소 반응물은 에틸렌 글리콜과 같은 지방족 디올 또는 하이드로퀴논과 같은 방향족 디올을 포함할 수 있다. 이러한 라이너는, 예를 들어 주석 옥시카바이드 레지스트 상에 적절히 사용될 수 있다.
일부 구현예에서, 라이너는, 금속 전구체와 탄소 반응물의 교번 펄스를 포함하는 원자층 증착 공정 또는 분자층 증착 공정과 같은 주기적 공정에 의해 증착된다. 적절하게는, 금속 전구체는 트리메틸인듐과 같은 금속 알킬을 포함할 수 있고, 탄소 반응물은 에틸렌 글리콜과 같은 지방족 디올 또는 하이드로퀴논과 같은 방향족 디올을 포함할 수 있다. 이러한 라이너는, 예를 들어 인듐 옥시카바이드 레지스트 상에 적절히 사용될 수 있다.
일부 구현예에서, 라이너를 형성하는 단계는 플라즈마를 형성하는 단계를 포함한다. 다양한 플라즈마, 예컨대 직접식 플라즈마, 간접식 플라즈마, 및 원격식 플라즈마가 사용될 수 있다. 플라즈마는 연속적으로 또는 간헐적으로 생성될 수 있다.
일부 구현예에서, 전구체 및 반응물은 동시에 반응기 챔버에 제공된다. 일부 구현예에서, 라이너를 형성하는 동안 플라즈마가 생성되지 않는다. 일부 구현예에서, 기판은 전구체 및 반응물에 연속적으로 노출될 수 있다. 일부 구현예에서, 기판은 전구체 및 반응물에 교대로 노출될 수 있다.
일부 구현예에서, 라이너를 형성하는 단계는 주기적 공정을 포함한다. 주기적 공정은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 반응물 펄스는 기판을 반응물에 노출시키는 단계를 포함한다.
일부 구현예에서, 라이너를 형성하는 단계는, 열 원자층 증착 공정과 같은 열 주기적 증착 공정을 포함한다. 주기적 공정은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 반응물 펄스는 기판을 반응물에 노출시키는 단계를 포함한다. 열 주기적 공정 동안, 기판은 플라즈마 생성 이온 또는 라디칼과 같은 플라즈마 생성 활성 종에 노출되지 않는다.
열 주기적 공정에서, 적절한 전구체는 금속 알킬아민, 예컨대 테트라키스(디메틸아미도)주석, 금속 알킬, 예컨대 트리메틸인듐, 및 주석 테트라요오드 및 안티몬 펜타클로라이드와 같은 금속 할라이드를 포함한다.
열 주기적 공정에서, 적절한 반응물은 산소 및 수소 함유 가스 또는 증기, 예컨대 H2O 및 H2O2를 포함한다. 다른 적절한 반응물은 산소 함유 가스, 예컨대 O2 및 O3를 포함한다.
적절하게는, 금속 전구체 및 수소를 포함한 반응물을 사용하는 열 주기적 공정은, 금속 산화물 함유 레지스트와 유사한 에칭 저항을 갖는 라이너를 생성한다. 예를 들어, 이러한 라이너는, 플라즈마 강화 화학 기상 증착을 사용하여 증착되는 패터닝된 금속 산화물 레지스트 상에 적절히 형성될 수 있다.
일부 구현예에서, 라이너를 형성하는 단계는, 분자층 증착 공정을 포함한다. 분자층 증착 공정은 특정한 주기적 증착 공정이다. 분자층 증착 공정은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 반응물 펄스는 기판을 반응물에 노출시키는 단계를 포함한다. 분자층 증착 공정 동안, 기판은 플라즈마 생성 이온 또는 라디칼과 같은 플라즈마 생성 활성 종에 노출되지 않는다.
분자층 증착 공정에서, 적절한 전구체는 금속 알킬아민, 예컨대 테트라키스(디메틸아미도)주석, 금속 알킬, 예컨대 트리메틸인듐, 및 주석 테트라요오드 및 안티몬 펜타클로라이드와 같은 금속 할라이드를 포함한다.
분자층 증착 공정에서, 적절한 반응물은 에틸렌 글리콜, 2-부텐-1,4-디올, 및 말레산과 같은 알킬 디올을 포함한 디올; 숙시닐 클로라이드, 푸마릴 클로라이드와 같은 아실 할라이드; 및 하이드로퀴논 및 벤젠-1,3,5-트리올과 같은 방향족 디올 또는 트리올을 포함한다.
적절하게는, 본원에 설명된 바와 같은 분자층 증착 공정은, 금속 산화물 레지스트와 유기 레지스트의 에칭 저항 사이에 있는 에칭 저항을 갖는 라이너를 생성할 수 있다. 예를 들어, 이러한 라이너는, 금속, 산소, 및 선택적으로 탄소를 포함하는 패터닝된 레지스트, 예컨대 스핀-코팅 기술을 사용하여 증착되는 레지스트 또는 금속 이온 및 배위된 유기 리간드를 포함한 금속 유기 프레임워크 레지스트 상에 적절히 형성될 수 있다.
일부 구현예에서, 라이너를 형성하는 단계는, 플라즈마 강화 원자층 증착 공정과 같은 플라즈마 기반 주기적 공정을 포함한다. 플라즈마 강화 원자층 증착 공정은 전구체 펄스 및 플라즈마 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 플라즈마 펄스는 플라즈마를 생성하는 단계 및 이온 또는 라디칼과 같은 플라즈마 생성 활성 종에 기판을 노출시키는 단계를 포함한다.
플라즈마 강화 원자층 증착 공정과 같은 플라즈마 기반 주기적 공정에서, 적절한 전구체는 테트라키스(디메틸아미도)주석과 같은 금속 알킬아민, 트리메틸인듐과 같은 금속 알킬, 및 주석 테트라요오드 및 안티몬 펜타클로라이드와 같은 금속 할라이드를 포함한다.
플라즈마 펄스 동안, 직접식 플라즈마 또는 간접식 플라즈마가 사용될 수 있다. 적절한 플라즈마는 He 및 Ar 플라즈마와 같은 귀가스 플라즈마를 포함한다. 다른 적절한 플라즈마는 O2 플라즈마, 및 플라즈마 가스가 O2 및 He 또는 Ar과 같은 귀가스의 혼합물을 포함한 플라즈마를 포함한다.
적절하게는, 본원에 설명된 바와 같은 플라즈마 강화 주기적 증착 공정은, 금속 산화물 레지스트와 유기 레지스트의 에칭 저항 사이에 있는 에칭 저항을 갖는 라이너를 생성할 수 있다. 예를 들어, 이러한 라이너는, 금속, 산소, 및 선택적으로 탄소를 포함하고 플라즈마 강화 화학 기상 증착과 같은 증착 플라즈마 강화 증착 기술인, 패터닝된 레지스트 상에 적절히 형성될 수 있다.
일부 구현예에서, 라이너를 형성하는 단계 다음은 추가로 라이너를 에칭하는 단계이다. 선택적으로, 라이너를 형성하는 단계는, 레지스트를 부분적으로 에칭하는 단계를 포함한다. 적절하게는, 라이너를 형성하는 단계는 이방성 에칭, 즉 기판 표면에 평행한 방향에 비해 기판 표면에 수직인 방향으로 더 높은 에칭 속도를 갖는 에칭을 사용한다. 따라서, 라이너는 패터닝된 특징부 및 리세스의 바닥부로부터 제거되고, 스페이서는 측벽 상에 형성된다.
일부 구현예에서, 라이너 및 레지스트는 실질적으로 동일한 조성물을 갖는다. 라이너 및 레지스트는 추가적으로 유사한 미세구조를 가질 수 있다. 예를 들어, 레지스트와 라이너는 모두 비정질일 수 있거나, 둘 다 미세결정질 구조를 가질 수 있거나, 다결정질 구조를 가질 수 있다. 유리하게는, 미세구조 및 조성물이 유사하거나 실질적으로 동일한 경우, 레지스트 및 라이너는 사용된 에천트에 관계없이 유사한 에칭 속도를 가질 수 있다.
일부 구현예에서, 라이너 및 레지스트는, 예를 들어 NF3, BCl3, CF4, CHF3, SF6, HBr, Cl2, 및 이들의 혼합물과 같은 에천트 처리를 받을 경우, 실질적으로 동일한 에칭 속도를 갖는다. 이러한 에천트는 용량성 결합 플라즈마(CCP), 유도성 결합 플라즈마(ICP), 또는 원격식 플라즈마 챔버 내부의 RIE(반응성 이온 에칭)를 사용하여 사용될 수 있다. 예를 들어, 희석된 HF 또는 테트라메틸암모늄 수산화물(TMAH)을 사용하는 습식 에칭에서 동일한 에칭 속도가 발생할 수도 있다.
일부 구현예에서, 라이너 및 레지스트는 상이한 조성을 갖지만, 동일한 에칭 속도를 갖는다.
일부 구현예에서, 전구체는 금속 알킬아민, 금속 알킬, 및 금속 할라이드 중 적어도 하나를 포함한다.
라이너를 형성하는 동안, 반응 챔버는, 예를 들어 적어도 20℃ 내지 최대 200℃, 또는 적어도 50℃ 내지 최대 300℃의 온도로 유지될 수 있다. 라이너를 형성하는 동안, 반응 챔버는, 예를 들어 적어도 140 Pa 내지 최대 1300 Pa의 압력으로 유지될 수 있다. 전구체 및 반응물 중 적어도 하나는, 예를 들어 적어도 200 내지 최대 2000 sccm의 유량으로 제공될 수 있다. 일부 구현예에서, 전구체 펄스는 적어도 0.1초 내지 최대 15초의 지속 시간을 갖는다.
적절하게는, 반응 챔버의 온도 및 압력 중 적어도 하나는, 예를 들어 최대 10%의 오차 범위 내에서, 본원에 설명된 바와 같은 방법 전체에 걸쳐 일정한 값으로 유지될 수 있다.
하나 이상의 전구체 공급원, 하나 이상의 전구체 공급원과 작동 가능하게 결합된 반응 챔버, 및 제어기를 포함한 시스템이 본원에 추가로 설명된다. 제어기는, 시스템이 본원에 설명된 바와 같은 방법을 수행하도록 배열된다.
구조체가 추가로 본원에 설명된다. 구조체는 기판, 패터닝된 레지스트, 및 라이너를 포함한다. 패터닝된 레지스트는 제1 금속을 포함한다. 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함한다. 복수의 리세스는 측벽 및 바닥부를 포함한다. 라이너는 측벽 상에 위치한다. 라이너는 제2 금속을 포함한다. 유리하게는, 반드시 그런 것은 아니지만, 제1 금속 및 제2 금속은 동일하다. 일부 구현예에서, 제1 금속 및 제2 금속은 Sn이다. 일부 구현예에서, 제1 금속 및 제2 금속은 Sb이다. 일부 구현예에서, 제1 금속 및 제2 금속은 In이다. 일부 구현예에서, 구조체는 본원에 설명된 방법에 의해 형성된다.
현재 제공된 방법은 도 1에 나타낸 반응기에 포함된 임의의 적절한 장치에서 실행될 수 있다. 유사하게, 현재 제공된 구조체는 도 1에 나타낸 반응기를 포함하여 임의의 적절한 장치에 제조될 수 있다. 도 1은, 본 발명의 일부 구현예에 이용될 수 있고 바람직하게는 아래에 설명되는 순서를 수행하기 위해 프로그램된 제어와 협력하는 플라즈마 강화 원자층 증착(PEALD) 장치의 개략도이다. 이 도면에서, 서로 마주하며 평행한 한 쌍의 전기 전도성 평판 전극(2,4)을 반응 챔버(3)의 내부 (반응 구역)(11)에 제공하고, RF 전력(예, 13.56 MHz 및/또는 27 MHz)을 전력 공급원(25)으로부터 일측에 인가하고 타측(12)을 전기적으로 접지시킴으로써, 플라즈마가 전극들 사이에서 여기된다. 온도 조절기는 하부 스테이지(2), 즉 하부 전극에 제공될 수 있다. 기판(1)이 그 위에 배치되고, 이의 온도는 주어진 온도에서 일정하게 유지된다. 상부 전극(4)은 샤워 플레이트로서의 역할도 수행할 수 있고, 만약에 존재하면 반응물 가스 및/또는 희석 가스뿐만 아니라 전구체 가스가 각각의 제1 가스 라인(21) 및 제2 가스 라인(22)을 통해서 그리고 샤워 플레이트(4)를 통해서 반응 챔버(3)로 유입될 수 있다. 추가적으로, 반응 챔버(3)에는 배기 라인(17)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기된다. 추가적으로, 이송 챔버(5)는 반응 챔버(3) 아래에 배치되고, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 밀봉 가스를 유입하기 위한 밀봉 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다. 웨이퍼가 이송 챔버(5) 내로 또는 이송 챔버로부터 이송될 수 있는 게이트 밸브는 이 도면에서 생략됨을 유의하기 바란다. 이송 챔버에는 배기 라인(6)이 또한 구비된다.
도 2는, 본 개시의 예시적 추가 구현예에 따른 시스템(200)을 나타낸다. 시스템(200)은, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체를 형성하기 위해 사용될 수 있다.
나타낸 예시에서, 시스템(200)은 하나 이상의 반응 챔버(202), 전구체 가스 공급원(204), 반응물 가스 공급원(205), 및 선택적인 추가 가스 공급원(206,208)을 포함한다. 물론, 시스템(200)은 선택적으로 더 많은 가스 공급원(미도시)을 포함할 수 있다. 시스템은 배기(210) 및 제어기(212)를 추가로 포함한다.
반응 챔버(202)는 임의의 적절한 반응 챔버, 예컨대 ALD 또는 CVD 반응 챔버를 포함할 수 있다.
전구체 가스 공급원(204-208) 중 어느 하나는 본원에 설명된 바와 같은 용기 및 하나 이상의 전구체, 반응물, 또는 다른 가스를 포함할 수 있다. 가스 공급원(204-208)은 전구체를 하나 이상의 캐리어 가스(예, 귀가스)와 혼합하기 위한 혼합 유닛을 선택적으로 포함할 수 있다. 퍼지 가스 공급원(미도시)은, 예를들어 본원에 설명된 바와 같이 하나 이상의 귀 가스를 포함할 수 있다. 네 개의 가스 공급원(204-208)으로 나타냈지만, 시스템(200)은 적절한 임의 개수의 가스 공급원을 포함할 수 있다. 가스 공급원(204-208)은 라인(214-218)을 통해 반응 챔버(202)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다.
배기(210)는 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(212)는 밸브, 매니폴드, 히터, 펌프 및 시스템(200)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 반응물, 퍼지 가스를 각각의 공급원(204-208)으로부터 도입하기 위해 작동한다. 제어기(212)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버의 온도, 반응 챔버의 압력, 및 시스템(200)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다.
제어기(212)는, 반응 챔버(202) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(212)는, 소프트웨어 또는 하드웨어 구성 요소, 예를 들어 특정 작업을 수행하는 FPGA 또는 ASIC과 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 유리하게 구성될 수 있다.
상이한 수 및 종류의 전구체 및 반응물 공급원 및 하나 이상의 퍼지 가스 공급원을 포함하는 시스템(200)의 다른 구성이 가능하다. 또한, 가스를 반응 챔버(202) 내로 선택적으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 퍼지 가스 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 시스템을 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
반응기 시스템(200)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 핸들링 시스템에서 반응 챔버(202)로 이송된다. 일단 기판(들)이 반응 챔버(202)로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같이, 가스 공급원(204-208)으로부터 하나 이상의 가스가 반응 챔버(202) 내로 유입된다.
일부 경우에, O2, N2, H2, He, 및 Ar과 같은 일부 가스는 매우 흔하며, 제작 전반에 걸쳐 사용된다는 것을 이해할 것이다. 따라서, 이들은 반드시 툴 내부의 용기에 저장되는 것은 아니지만, 대신에, 본원에 설명된 바와 같은 시스템으로 가스 라인을 통해 중앙 저장 유닛(미도시되고, 가압된 용기일 수 있음)으로부터 제공될 수 있다.
도 3은 두 개의 패널, 패널 a) 및 패널 b)를 나타낸다. 도 3의 패널 a) 및 b)는 본원에 개시된 방법의 구현예에 의해 형성될 수 있는 구조체를 나타낸다. 패널 a)의 구조는 하드 마스크(310)가 형성되는 기판(300)을 포함한다. 적절한 기판은 하나 이상의 패터닝되거나 패터닝되지 않은 층 및 구조체가 형성된 실리콘 웨이퍼를 포함한다. 하드 마스크(310)는, 예를 들어 금속, 금속 합금, 반도체, 여러 반도체의 합금, 비정질 탄소, 질소 및 탄소 함유 재료, 금속 질화물, 금속 탄화물, 금속 산화물, 또는 다른 적절한 재료를 포함할 수 있다. 적절하게, 그리고 일부 구현예에서, 하드 마스크는 적어도 1.0 nm 내지 최대 10.0 nm의 두께를 가질 수 있다. 언더레이어(320)가 하드 마스크(310) 상에 위치한다. 언더레이어(320)는, 예를 들어 산소 및 탄소 이외에 Sn, Sb 또는 In과 같은 금속을 포함할 수 있다. 따라서, 언더레이어(320)는 금속 옥시카바이드를 포함할 수 있다. 추가적으로 또는 대안적으로, 언더레이어(320)는 실리콘 옥시카바이드를 포함할 수 있다. 언더레이어는 10 nm 미만 또는 약 5 nm 미만(예컨대 2 내지 3 nm 이상)의 두께를 가질 수 있다. 언더레이어(320) 위에 패터닝된 레지스트(330)가 놓여 있다. 적절하게는, 패터닝된 레지스트는 본원에 설명된 EUV 레지스트를 포함할 수 있다. 패터닝된 레지스트(330)는 복수의 패터닝된 특징부(331) 및 복수의 리세스(332)를 포함하고, 복수의 리세스는 측벽(333) 및 바닥부(334)를 포함한다.
도 3의 패널 b)의 구조체는 전술한 바와 같이 기판(300), 하드 마스크(310), 언더레이어(320), 및 패터닝된 레지스트(330)를 또한 포함한다는 점에서 도 3의 패널 a)의 구조체와 유사하다. 차이점은, 도 3의 패널 b)의 구조체를 형성하는 동안, 라이너가 이방성 에칭되어 복수의 스페이서(345)를 형성한다는 사실에 있다. 에칭은, 바람직하게는 기판에 수직인 방향으로 재료를 에칭하는, 본원의 다른 곳에서 설명된 바와 같은 이방성 에칭이었다. 라이너가 패터닝된 레지스트의 측벽에서 더 두껍기 때문에, 웨이퍼에 수직인 방향으로 보았을 경우, 이방성 에칭은 전술한 스페이서 구조체의 형성을 초래하고, 전술한 리세스의 폭에 연관된 감소를 초래한다. 따라서, 패터닝된 구조체의 임계 치수가 유리하게 감소될 수 있다.
도 4는 본 개시의 특정 구현예에 따른 예시적인 패터닝 방법의 흐름도를 나타낸다. 특히, 방법은 기판을 제공하는 단계(410)를 포함한다. 그 다음, 방법은 하드 마스크를 형성하는 단계(420)를 포함한다. 그 다음, 방법은 언더레이어를 형성하는 단계(430)를 포함한다. 그 다음, 방법은 패터닝된 레지스트를 형성하는 단계(440)를 포함한다. 그 다음, 방법은 라이너를 형성하는 단계(450)를 포함한다. 그 다음, 방법은 스페이서를 형성하는 단계(460)를 포함한다.
도 5는 본 개시의 특정 구현예에 따라 라이너를 형성하기 위한 방법의 일 구현예의 흐름도를 나타낸다. 본 구현예는, 원자층 증착 공정과 같이, 라이너를 형성하는 열 주기적 공정을 예시한다. 방법은, 기판이 반응 챔버에 도입되는 단계로 시작(511)된다. 그 다음, 방법은 반응 챔버 내에 전구체를 도입하는 단계를 포함한 전구체 펄스(512)를 포함한다. 선택적으로, 반응 챔버는 그 다음 귀가스와 같은 퍼지 가스 또는 전구체 또는 반응물과 실질적으로 반응하지 않는 다른 가스를 사용하여 퍼지된다(513). 그 다음, 방법은 반응 챔버에 반응물을 제공하는 단계를 포함한 반응물 펄스(514)를 포함한다. 선택적으로, 반응 챔버는 그 다음 퍼지 가스를 사용하여 퍼지된다(515). 전구체 펄스(512), 반응물 펄스(514), 및 선택적 퍼지 단계(513,515)는 선택적으로 한 번 더 반복될 수 있어서(516), 하나 이상의 증착 사이클을 실행할 수 있다. 소정의 수의 증착 사이클이 실행된 후, 방법은 종료된다(517).
본원에 설명된 바와 같이 라이너를 형성하는 원자층 증착 공정의 예시적인 구현예에서, 금속 전구체는 테트라키스(디메틸아미도)주석을 포함하고, 반응물은 H2O를 포함하고, 기판은 125℃의 온도로 유지되고, 반응 챔버는 5 토르의 압력으로 유지된다.
본원에 설명된 바와 같이 라이너를 형성하는 원자층 증착 공정의 다른 예시적인 구현예에서, 금속 전구체는 트리메틸인듐을 포함하고, 반응물은 H2O를 포함하고, 기판은 125℃의 온도로 유지되고, 반응 챔버는 5 토르의 압력으로 유지된다.
도 6은 본 개시의 특정 구현예에 따라 라이너를 형성하기 위한 방법의 일 구현예의 다른 흐름도를 나타낸다. 본 구현예는 라이너를 형성하는 열 화학 기상 증착 공정을 예시한다. 방법은, 기판이 반응 챔버에 도입되는 단계로 시작(611)된다. 그 다음, 방법은 전구체를 도입하는 단계(612) 및 선택적으로 반응 챔버 내에 반응물을 도입하는 단계를 포함한다. 따라서, 라이너가 기판 상에 형성된다. 기판이 전구체 및 선택적으로 반응물에 소정의 시간 동안 노출된 후, 방법은 종료된다(613).
도 7은 본 개시의 특정 구현예에 따라 라이너를 형성하기 위한 방법의 다른 구현예의 흐름도를 나타낸다. 이 구현예는 라이너를 형성하는 주기적 공정을 예시한다. 본 구현예에서, 주기적 공정은 원격식 플라즈마, 간접식 플라즈마, 또는 직접식 플라즈마와 같은 플라즈마를 생성하는 단계를 포함한다. 적절한 플라즈마 강화 공정은 플라즈마 강화 및 라디칼 강화 원자층 증착 공정을 포함한다. 방법은, 기판이 반응 챔버에 도입되는 단계로 시작(711)된다. 그 다음, 방법은 반응 챔버 내에 전구체를 도입하는 단계를 포함한 전구체 펄스(712)를 포함한다. 선택적으로, 반응 챔버는 그 다음 귀가스와 같은 퍼지 가스 또는 전구체와 실질적으로 반응하지 않는 다른 가스를 사용하여 퍼지된다(713). 그 다음, 방법은 플라즈마를 생성하는 단계를 포함한 플라즈마 펄스(714)를 포함한다. 플라즈마는 플라즈마와 기판 사이의 임의의 분리기 없이 반응 챔버 자체에서 생성될 수 있으며, 이를 직접식 플라즈마 구성이라고 한다. 대안적으로, 플라즈마는 플라즈마와 기판 사이의 분리기로 반응 챔버 자체에서 생성될 수 있으며, 이는 간접식 플라즈마 챔버로 지칭된다. 또 다른 대안으로서, 플라즈마는 반응 챔버로부터, 예를 들어 적어도 0.2 m의 소정의 거리에 위치하는 별도의 플라즈마 챔버에서 생성될 수 있으며; 이는 원격식 플라즈마 구성이라고 한다. 특정 구성에 상관없이, 플라즈마 펄스(714)는 이온 또는 라디칼과 같은 플라즈마 생성 종에 기판을 노출시키는 단계를 포함한다. 선택적으로, 반응 챔버는 그 다음 퍼지 가스를 사용하여 퍼지된다(715). 전구체 펄스(712), 플라즈마 펄스(714), 및 선택적 퍼지 단계(713,715)는 선택적으로 한 번 더 반복될 수 있어서(716), 하나 이상의 증착 사이클을 실행할 수 있다. 소정의 수의 증착 사이클이 실행된 후, 방법은 종료된다(717).
도 8은 본 개시의 특정 구현예에 따라 라이너를 형성하기 위한 방법의 일 구현예의 또 다른 흐름도를 나타낸다. 본 구현예는 라이너를 형성하는 플라즈마 강화 화학 기상 증착 공정을 예시한다. 방법은, 기판이 반응 챔버에 도입되는 단계로 시작(811)된다. 그 다음, 방법은 플라즈마를 생성하면서 반응 챔버 내에 전구체를 도입하는 단계(812)를 포함한다. 본원에 설명된 직접식, 간접식 및 원격식 플라즈마 구성과 같은 다양한 플라즈마 구성이 가능하다. 따라서, 라이너가 기판 상에 형성된다. 전구체 노출 및 플라즈마 생성이 소정의 시간 동안 발생한 후, 방법은 종료된다(813).
도 9는 본원에 설명된 방법의 하나 이상의 구현예에서 라이너를 형성하기 위해 사용될 수 있는 예시적인 펄스화 체계를 나타낸다. 이들 구현예 각각에서, 플라즈마가 선택적으로 생성될 수 있고, 예를 들어 직접식, 간접식 또는 원격식 구성에서 사용될 수 있다. 플라즈마는 연속적으로 또는 펄스 방식으로 작동될 수 있다. 특히, 도 9는 세 개의 패널, 패널 a), b)와 c)를 포함한다. 도 9의 패널 a)는 전구체 및 반응물이 반응 챔버에 연속적으로 제공되는, 즉 전구체 또는 반응물 흐름의 펄스화가 없는 흐름 체계를 나타낸다. 열적 및 플라즈마 강화 화학 기상 증착 방법 모두는 이러한 연속적인 전구체 또는 반응물 제공물을 사용할 수 있다. 도 9의 패널 b)는 전구체 흐름이 펄스화되고 반응물 흐름이 연속적인 흐름 체계를 나타낸다. 도 9의 패널 c)는 전구체 흐름이 연속적이고 반응물 흐름이 펄스화된 펄스화 체계를 나타낸다. 도 9의 패널 b) 및 c)의 흐름 체계는 라이너를 형성하는 펄스형 열 증착 접근법 또는 플라즈마 강화 화학 기상 증착 접근법에 사용될 수 있다.
도 10은 본원에 설명된 방법의 하나 이상의 구현예에서 라이너를 형성하기 위해 사용될 수 있는 예시적인 펄스화 체계를 추가로 나타낸다. 도 10의 패널 a) 및 b)의 구현예 모두에서, 기판은 각각 중첩되지 않는 전구체 펄스 및 반응물 펄스에서 전구체 및 반응물에 노출된다. 선택적으로, 전구체 펄스 및 반응물 펄스는 퍼지에 의해 분리된다. 일부 구현예(미도시)에서, 전구체 및 반응물 펄스는 부분적으로 중첩된다. 도 10의 패널 a)의 구현예에서, 플라즈마가 생성되고, 예를 들어, 직접식, 간접식 또는 원격식 구성으로 사용될 수 있다. 플라즈마 펄스 동안, 기판은 이온 또는 라디칼과 같은 플라즈마 생성 활성 종에 노출된다. 일부 구현예에서, 플라즈마 펄스는 전구체 펄스와 플라즈마 펄스 중 적어도 하나와 적어도 부분적으로 중첩된다. 나타낸 구현예에서, 플라즈마 펄스는 반응물 펄스와 중첩되며, 즉, 플라즈마는 반응물이 제공되는 동시에 생성된다. 도 10의 패널 b)의 구현예는, 라이너를 형성하기 위해, 플라즈마가 사용되지 않는 열 공정을 나타낸다.
도 11은 본원에 설명된 제작 공정 또는 방법을 수행하도록 작동 가능하거나 제어 가능한 직접식 플라즈마 시스템(1100)의 다른 구현예의 개략도를 나타낸다. 시스템(1100)은, 플라즈마(1110)가 생성되는 반응 챔버(1120)를 포함한다. 특히, 플라즈마(1120)는 샤워헤드 인젝터(1130)와, 기판 또는 웨이퍼(1141)을 지지하는 기판 지지체(1140) 사이에서 생성된다.
나타낸 구성에서, 시스템(1100)은 두 개의 교류(AC) 전원을 포함한다: 고주파 전원(1121) 및 저주파 전원(1122). 나타낸 구성에서, 고주파 전원(1121)은 무선 주파수(RF) 전력을 샤워헤드 인젝터에 공급하고, 저주파 전원(1122)은 교류 신호를 기판 지지체(1140)에 공급한다. 무선 주파수 전력은, 예를 들어 13.56 MHz 이상의 주파수로 제공될 수 있다. 저주파 교류 신호는, 예를 들어 2 MHz 이하의 주파수로 제공될 수 있다.
전구체 및/또는 반응물을 포함한 공정 가스는, 가스 라인(1160)을 통해 원뿔형 가스 분배기(1150)에 제공된다. 그 다음, 공정 가스는 샤워헤드 인젝터(1130) 내의 관통 구멍(1131)을 통해 반응 챔버(1110)로 통과한다. 고주파 전원(1121)은 샤워헤드 인젝터에 전기적으로 연결되는 것으로 나타나 있는 반면에, 저주파 전원(1122)은 기판 지지체(1140)에 전기적으로 연결되는 것으로 나타나 있고, 다른 구성도 가능하다. 예를 들어, 일부 구현예(미도시)에서, 고주파 전원과 저주파 전원 둘 다는 샤워헤드 인젝터에 전기적으로 연결될 수 있거나, 고주파 전원과 저주파 전원 둘 다는 기판 지지체에 전기적으로 연결될 수 있거나; 고주파 전원은 기판 지지체에 전기적으로 연결될 수 있고, 저주파 전원은 샤워헤드 인젝터에 전기적으로 연결될 수 있다.
도 12는 본원에 설명된 방법을 수행하도록 작동 가능하거나 제어 가능한 간접식 플라즈마 시스템(1200)의 다른 구현예의 개략도를 나타낸다. 시스템(1200)은, 플라즈마(1220)가 생성되는 플라즈마 생성 공간(1225)으로부터 분리된 반응 챔버(1210)를 포함한다. 특히, 반응 챔버(1210)는, 샤워헤드 인젝터(1230)에 의해 플라즈마 생성 공간(1225)으로부터 분리되고, 플라즈마(1220)는, 샤워헤드 인젝터(1230)와 플라즈마 생성 공간 천장(1226) 사이에서 생성된다.
나타낸 구성에서, 시스템(1200)은 세 개의 교류(AC) 전원: 고주파 전원(1221) 및 두 개의 저주파 전원(1222), (1223)(즉, 제1 저주파 전원(1222) 및 제2 저주파 전원(1223))을 포함한다. 나타낸 구성에서, 고주파 전원(1221)은 무선 주파수(RF) 전력을 플라즈마 생성 공간 천장에 공급하고, 제1 저주파 전원(1222)은 교류 신호를 샤워헤드 인젝터(1230)에 공급하고, 제2 저주파 전원(1223)은 교류 신호를 기판 지지체(1240)에 공급한다. 기판(1241)이 기판 지지체(1240) 상에 제공된다. 무선 주파수 전력은, 예를 들어 13.56 MHz 이상의 주파수로 제공될 수 있다. 제1 및 제2 저주파 전원(1222), (1223)의 저주파 교류 신호는, 예를 들어 2 MHz 이하의 주파수로 제공될 수 있다.
전구체 및/또는 반응물을 포함한 공정 가스는, 플라즈마 생성 공간 천장(1226)을 통과하는 가스 라인(1260)을 통해, 플라즈마 생성 공간(1225)으로 제공된다. 공정 가스로부터 생성된 플라즈마(1220)에 의해 생성된 이온 및 라디칼과 같은 활성 종은, 샤워헤드 인젝터(1230) 내의 구멍(1231)을 통해 반응 챔버(1210)로 통과한다.
도 13은 본원에 설명된 제작 방법 또는 공정을 수행하도록 작동 가능하거나 제어 가능한 원격식 플라즈마 시스템(1300)의 다른 구현예의 개략도를 나타낸다. 시스템(1300)은, 플라즈마(1320)가 생성되는 원격식 플라즈마 공급원(1325)에 작동 가능하게 연결된 반응 챔버(1310)를 포함한다. 임의 종류의 플라즈마 공급원은 원격식 플라즈마 공급원(1325)으로서, 예를 들어 유도성 결합 플라즈마, 용량성 결합 플라즈마, 또는 마이크로파 플라즈마로서 사용될 수 있다. 특히, 활성 종은, 활성 종 덕트(1360)를 통해 플라즈마 공급원(1325)으로부터 반응 챔버(1310)로, 샤워 플레이트 인젝터(1330) 내의 관통 구멍(1331)을 통해 원뿔형 분배기(1350)로, 반응 챔버(1310)로 제공된다. 따라서, 활성 종은 균일한 방식으로 반응 챔버에 제공될 수 있다.
나타낸 구성에서, 시스템(1300)은 세 개의 교류(AC) 전원을 포함한다: 고주파 전원(1321) 및 두 개의 저주파 전원(1322), (1323)(예, 제1 저주파 전원(1322) 및 제2 저주파 전원(1323)). 나타낸 구성에서, 고주파 전원(1321)은 무선 주파수(RF) 전력을 플라즈마 생성 공간 천장에 공급하고, 제1 저주파 전원(1322)은 교류 신호를 샤워헤드 인젝터(1330)에 공급하고, 제2 저주파 전원(1323)은 교류 신호를 기판 지지체(1340)에 공급한다. 기판(1341)이 기판 지지체(1340) 상에 제공된다. 무선 주파수 전력은, 예를 들어 10 MHz 이상의 주파수로 제공될 수 있다. 제1 및 제2 저주파 전원(1322), (1323)의 저주파 교류 신호는, 예를 들어 2 MHz 이하의 주파수로 제공될 수 있다.
일부 구현예(미도시)에서, 추가적인 고주파 전원이 기판 지지체에 전기적으로 연결될 수 있다. 따라서, 직접식 플라즈마가 반응 챔버에서 생성될 수 있다. 전구체 및/또는 반응물을 포함한 공정 가스는, 가스 라인(1360)에 의해 플라즈마 공급원(1325)에 제공된다. 공정 가스로부터 플라즈마(1320)에 의해 생성된 이온 및 라디칼과 같은 활성 종은, 반응 챔버(1310)로 안내된다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 구현예 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (20)

  1. 구조체를 형성하기 위한 방법으로서, 상기 방법은,
    - 기판을 반응 챔버에 제공하되, 상기 기판은 패터닝된 레지스트를 포함하고, 상기 패터닝된 레지스트는 제1 금속을 포함하고, 상기 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함하고, 상기 복수의 리세스는 측벽 및 바닥부를 포함하는 단계; 및
    - 상기 측벽 상에 라이너를 형성하되, 상기 라이너는 제2 금속을 포함하는 단계를 포함하는, 방법.
  2. 기판 상에 패턴을 형성하는 방법으로서, 상기 방법은,
    - 기판 상에 레지스트를 형성하고 상기 레지스트는 제1 금속을 포함하는 단계;
    - 마스크를 통해 상기 기판을 복사선에 부분적으로 노출시키고, 이에 의해 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분을 형성하는 단계;
    - 노출된 레지스트 부분 및 노출되지 않은 레지스트 부분 중 하나를 선택적으로 제거하고, 이에 의해 패터닝된 레지스트를 형성하되, 상기 패터닝된 레지스트는 제1 금속을 포함하고, 상기 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함하고, 상기 복수의 리세스는 측벽 및 바닥부를 포함하는 단계;
    - 상기 기판을 반응 챔버에 제공하는 단계; 및
    - 상기 측벽 상에 라이너를 형성하되, 상기 라이너는 제2 금속을 포함하는 단계를 포함하는, 방법.
  3. 제1항 또는 제2항에 있어서, 상기 레지스트는 EUV 레지스트를 포함하고, 상기 복사선은 EUV 복사선을 포함하는, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 금속 및 상기 제2 금속은 동일한, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 라이너는 상기 패터닝된 특징부 및 상기 리세스의 바닥부 상에 추가로 형성되는, 방법.
  6. 제5항에 있어서, 상기 라이너를 형성하는 단계 다음은, 추가로 상기 라이너를 에칭하고, 이에 의해 상기 패터닝된 특징부 및 상기 리세스의 바닥부로부터 상기 라이너를 제거하는 단계, 및 상기 측벽 상에 스페이서를 형성하는 단계인, 방법.
  7. 제6항에 있어서, 상기 제1 금속 및 상기 제2 금속 중 적어도 하나는 Sn, In, Sb, Ti, Al, Zn, Hf, 및 Zr로부터 선택되는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 라이너 및 상기 레지스트는 실질적으로 동일한 조성물을 갖는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 라이너 및 상기 레지스트는 실질적으로 동일한 에칭 속도를 갖는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 레지스트 및 상기 라이너 중 적어도 하나는, 닉토겐(pnictogen), 칼코겐(chalcogen), 및 할로겐(halogen) 중 하나 이상을 포함하는, 방법.
  11. 제10항에 있어서, 상기 레지스트 및 상기 라이너는 동일한 금속 산화물을 포함하는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 라이너를 형성하는 단계는, 전구체 및 반응물을 상기 반응 챔버에 제공하는 단계를 포함하는, 방법.
  13. 제12항에 있어서, 상기 라이너를 형성하는 단계는 플라즈마를 형성하는 단계를 포함하는, 방법.
  14. 제12항 또는 제13항에 있어서, 상기 전구체 및 상기 반응물은 상기 반응 챔버에 동시에 제공되는, 방법.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서, 상기 라이너를 형성하는 단계는 주기적 공정을 포함하되, 상기 주기적 공정은 전구체 펄스 및 반응물 펄스를 포함하며, 상기 전구체 펄스는 상기 기판을 상기 전구체에 노출시키는 단계를 포함하고, 상기 반응물 펄스는 상기 기판을 상기 반응물에 노출시키는 단계를 포함하는, 방법.
  16. 제12항 내지 제15항 중 어느 한 항에 있어서, 상기 전구체는 금속 알킬아민, 금속 알킬, 및 금속 할라이드 중 적어도 하나를 포함하는, 방법.
  17. 제12항 내지 제16항 중 어느 한 항에 있어서, 상기 반응물은 산소를 포함하는, 방법.
  18. 하나 이상의 전구체 공급원, 상기 하나 이상의 전구체 공급원과 작동 가능하게 결합되는 반응 챔버, 및 제어기를 포함하는 시스템으로서, 상기 제어기는 상기 시스템으로 하여금 제1항 내지 제17항 중 어느 한 항에 따른 방법을 수행시키도록 구성되는, 시스템.
  19. 기판, 패터닝된 레지스트, 및 라이너를 포함하는 구조체로서,
    - 상기 패터닝된 레지스트는 제1 금속을 포함하고, 상기 패터닝된 레지스트는 복수의 패터닝된 특징부 및 복수의 리세스를 추가로 포함하고, 상기 복수의 리세스는 측벽 및 바닥부를 포함하고,
    - 상기 라이너는 상기 측벽 상에 위치하되, 상기 라이너는 제2 금속을 포함하는, 구조체.
  20. 제19항에 있어서, 제1항 내지 제17항 중 어느 한 항에 따른 방법에 의해 형성되는, 구조체.
KR1020230024652A 2022-02-23 2023-02-23 스페이서 및 관련 구조체를 형성하는 방법 KR20230126673A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263312915P 2022-02-23 2022-02-23
US63/312,915 2022-02-23

Publications (1)

Publication Number Publication Date
KR20230126673A true KR20230126673A (ko) 2023-08-30

Family

ID=87574424

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230024652A KR20230126673A (ko) 2022-02-23 2023-02-23 스페이서 및 관련 구조체를 형성하는 방법

Country Status (4)

Country Link
US (1) US20230268179A1 (ko)
JP (1) JP2023122630A (ko)
KR (1) KR20230126673A (ko)
CN (1) CN116643449A (ko)

Also Published As

Publication number Publication date
JP2023122630A (ja) 2023-09-04
CN116643449A (zh) 2023-08-25
US20230268179A1 (en) 2023-08-24

Similar Documents

Publication Publication Date Title
US10685836B2 (en) Etching substrates using ALE and selective deposition
US10998187B2 (en) Selective deposition with atomic layer etch reset
JP7453958B2 (ja) チャンバからSnO2膜を洗浄するための方法
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US20190131130A1 (en) Etching metal oxide substrates using ale and selective deposition
KR101425629B1 (ko) 실리콘 함유 필름들을 위한 평활 siconi 식각
JP2018186269A5 (ko)
KR20170093080A (ko) 3D 구조체들의 원자층 에칭: 수평 표면과 수직 표면 상의 Si 및 SiGe 및 Ge 평활도
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
KR20120048708A (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
TW202039906A (zh) 蝕刻腔室中的方向性沉積
US20230268179A1 (en) Methods for forming spacers and related structures
TW202409703A (zh) 包含基材的結構、其形成方法、其形成系統以及用於在基材上形成圖案之方法
US20240087893A1 (en) Methods for forming mandrels and spacers, related structures, and systems
US20220319858A1 (en) Method and system for forming patterned structures including silicon nitride
CN114203547A (zh) 用于在衬底表面上形成图案化特征的方法和系统