KR20230065900A - 박막 증착 방법 및 시스템 - Google Patents

박막 증착 방법 및 시스템 Download PDF

Info

Publication number
KR20230065900A
KR20230065900A KR1020220140146A KR20220140146A KR20230065900A KR 20230065900 A KR20230065900 A KR 20230065900A KR 1020220140146 A KR1020220140146 A KR 1020220140146A KR 20220140146 A KR20220140146 A KR 20220140146A KR 20230065900 A KR20230065900 A KR 20230065900A
Authority
KR
South Korea
Prior art keywords
plasma
gas
sih
forming
plasma power
Prior art date
Application number
KR1020220140146A
Other languages
English (en)
Inventor
신야 우에다
오석재
장현규
강희성
임완규
최현모
김영재
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230065900A publication Critical patent/KR20230065900A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 상에 막을 형성하기 위한 방법 및 시스템이 개시된다. 예시적인 방법은 제1 플라즈마 조건을 사용하여 양호한 막 두께 균일성을 갖는 증착 재료의 층을 형성하는 단계, 제2 플라즈마 조건을 사용하여 증착 재료를 처리하고 이에 의해 처리된 재료를 형성하는 단계, 및 제3 플라즈마 조건을 사용하여 표면 개질된 층, 예를 들어 처리된 재료 상에 반응성 부위를 형성하는 단계를 포함한다.

Description

박막 증착 방법 및 시스템{THIN-FILM DEPOSITION METHOD AND SYSTEM}
본 개시는, 일반적으로 소자의 형성에 적합한 방법 및 시스템에 관한 것이다. 보다 구체적으로, 본 개시는 기판의 표면 상에 재료를 증착하기 위한 방법, 재료를 증착하기 위한 시스템, 및 증착된 재료를 포함한 구조에 관한 것이다.
반도체 소자와 같은 소자의 형성 중에 기판 표면 상에 패터닝된 특징부를 형성하는 것이 자주 바람직하다. 또한, 패터닝된 특징부 위에 놓이는 재료를 등각성 및 균일하게 증착하는 것이 바람직할 수 있다.
다양한 재료 층을 증착하는 기술은 비교적 높은 온도(예, 600℃ 초과의 온도)를 사용할 수 있다. 많은 응용예에서, 보다 낮은 온도에서 재료를 증착하는 것이 바람직할 수 있다.
플라즈마 강화 원자층 증착 등과 같은 플라즈마 강화 증착 기술이 보다 낮은 기판 온도에서 재료를 증착하는 데 사용될 수 있다. 그러나, 재료를 증착하기 위한 플라즈마의 사용은 다른 도전 과제, 예컨대 증착된 재료의 불균일성 증가, 및 증착된 재료 내의 블리스터 및/또는 공극 형성을 생성할 수 있다. 예를 들어, 플라즈마 증착 재료의 두께 및/또는 조성 불균일성은, 플라즈마 또는 다른 여기 공급원의 도움 없이 더 높은 온도에서 증착된 재료에 비하면, 상대적으로 높을 수 있다. 추가적으로 또는 대안적으로, 비-플라즈마 보조 공정을 사용하여 증착된 재료에 비하면, 플라즈마 증착 재료의 (예를 들어, 두께 또는 조성) 등각성은 상대적으로 낮을 수 있다.
플라즈마 증착 재료의 비교적 높은 불균일성의 이유 중 하나는, 플라즈마를 형성하기 위해 사용된 RF 전력에 기인할 수 있다. RF 전력이 너무 높거나 너무 낮으면, 막 균일성이 감소할 수 있다. 예를 들어, RF 전력이 너무 낮으면 기판 표면 상에서 불완전한 반응이 발생할 수 있고, RF 전력이 너무 높으면 기판의 에지에서 더 높은 전기장을 야기할 수 있다. 두 경우 모두에서, 가스와 기판 사이의 불균일한 화학 반응이, 특히 기판의 에지 영역에서 발생할 수 있다.
또한, 도 1에 나타낸 바와 같이, 플라즈마를 형성하기 위해 사용되는 전극, 예를 들어 기판이 로딩되는 서셉터 및 샤워헤드 사이의 갭이 더 좁아질 경우, 웨이퍼의 에지에서 전기장이 일반적으로 더 높아진다. 즉, 비교적 좁은 갭은 일반적으로 전극 사이의 더 높은 전기장을 생성하며, 이는, 전극에 인가된 RF 전력이 증가함에 따라 점점 더 문제가 된다. 도 1은 6 mm(102), 7.5 mm(104), 9 mm(106), 및 12 mm(108)의 갭 거리에 대해, 기판의 중심으로부터 측정된 기판 상의 반경 방향 위치와 관련된 전기장을 나타낸다.
높은 전기장은 증착된 재료 층에 블리스터 및 다른 결함을 야기할 수 있다. 도 2는, 재료 층의 플라즈마 강화 증착 동안 생성될 수 있는 블리스터(204) 및 공극(206)을 포함한 증착 재료 막(202)을 나타낸다. 블리스터(204) 및/또는 공극(206)은 수소 가스 또는 증착된 재료를 형성하기 위해 사용되는 전구체 및/또는 반응물로부터 유래된 다른 잔류 반응물 및/또는 부산물 가스에 의해 야기될 수 있다. 블리스터는 공극을 야기할 수 있고/있거나 PRAM(위상 변화 무작위 액세스 메모리), VNAND(수직 NAND(예, 플래시 메모리)) 소자 등과 같은 소자의 결함 부분이 될 수 있다.
따라서, 개선된 플라즈마 보조 증착 방법 및 시스템이 요구된다. 또한, 비교적 낮은 불균일도를 갖는 증착된 재료를 형성하기 위한 방법 및 시스템이 요구된다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 개시의 다양한 구현예는 플라즈마 보조 공정을 사용하여 막을 증착하기 위한 방법에 관한 것이다. 본 개시의 예시는 막을 등각성 및/또는 균일하게 증착하기 위한 방법 및 시스템에 추가로 관한 것이다. 본 개시의 다양한 구현예가 종래의 방법 및 시스템의 단점을 해결하는 방법은 아래에서 보다 상세히 논의되지만, 일반적으로, 본 개시의 구현예는, 막 내에서 불균일성을 개선(즉, 감소)시키고/시키거나 블리스터 및 공극을 감소시키고/감소시키거나 증착된 재료의 등각성을 증가시키기 위한 복수의 플라즈마 조건을 포함하는 개선된 방법을 제공한다.
본 개시의 구현예에 따라, 기판의 표면 상에 막을 형성하는 방법이 제공된다. 상기 방법은 기판의 표면 상의 갭 위에 놓이는 등각성 재료 및/또는 기판의 표면 위에 놓이는 균일한 재료를 형성하는 데 사용될 수 있다. 다양한 예시에 따르면, 상기 방법은, 반응기의 반응 챔버 내에 기판을 로딩하는 단계, 제1 가스 펄스 기간 동안 상기 반응 챔버 내에 제1 가스를 제공하는 단계, 상기 반응 챔버 내에 제2 가스를 제공하는 단계, 제1 플라즈마 조건을 사용하여 상기 제2 가스를 사용한 제1 활성화 종을 형성함으로써 증착된 재료의 층을 형성하는 단계, 제2 플라즈마 조건을 사용하여 상기 제2 가스를 사용한 제2 활성화 종을 형성함으로써 처리된 층을 형성하는 단계, 제3 플라즈마 조건을 사용하여 제3 가스를 사용한 활성화 종을 형성함으로써 표면 개질 층을 형성하는 단계를 포함한다. 이들 구현예의 다양한 예시에 따라, 제1 플라즈마 조건 및 제2 플라즈마 조건은 상이하다. 추가 예시에 따라, 제1 플라즈마 조건은 제1 플라즈마 전력에서 수행되고, 제2 플라즈마 조건은 제2 플라즈마 전력에서 수행되고, 제2 플라즈마 전력은 제1 플라즈마 전력보다 크다. 추가 예시에 따라, 제3 플라즈마 조건은 제3 플라즈마 전력에서 수행되고, 제3 플라즈마 전력은 제2 플라즈마 전력보다 작고/작거나 제3 플라즈마 전력은 제1 플라즈마 전력보다 크다. 추가의 예시에 따라, 제2 플라즈마 조건은 증착된 재료 층의 경도를 증가시키고/증가시키거나 습식 식각 속도를 감소시킨다. 즉, 제2 플라즈마는 증착된 재료를 치밀화할 수 있다. 제3 플라즈마 조건은, 예를 들어 상기 방법의 후속 사이클 및/또는 후속 재료 층의 증착을 위해, 처리된 층 상에 막 형성 촉진 부위를 생성할 수 있다. 또 다른 예시에 따라, 제1 가스는 실리콘 함유 전구체, 예를 들어 실란, 아민, 및/또는 할로겐을 포함한 실리콘 함유 전구체를 포함한다. 추가 예시에 따라, 제2 가스는 질소 함유 반응물, 예를 들어 N2, NH3, N2O 및 NO2 중 하나 이상을 임의의 조합으로 포함한다. 추가 예시에 따라, 제3 가스는, 예를 들어 H2 등과 같은 수소 함유 반응물을 포함한다. 상기 방법은, 예를 들어 실리콘 질화물을 포함한 층 또는 막을 형성하는 데 사용될 수 있다. 제1 플라즈마 조건을 사용하는 단계, 제2 플라즈마 조건을 사용하는 단계, 및 제3 플라즈마 조건을 사용하는 단계는, 막을 형성하기 위해 반복될 수 있다.
본 개시의 예시적인 추가 구현예에 따라, 소자는 본원에 설명된 바와 같은 막과 기판을 포함한다.
본 개시의 추가 예시에 따라, 시스템이 제공된다. 시스템은, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체를 형성하도록 구성될 수 있다. 시스템은, 반응 챔버와 전극을 포함한 반응기, 및 증착 단계 동안 전극에 제1 플라즈마 전력을 제공하고 처리 단계 동안 제2 플라즈마 전력을 제공하고 표면 개질 단계 동안 제3 플라즈마 전력을 제공하도록 구성된 제어기를 포함할 수 있다.
본 발명은 반드시 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 갭 거리, 반경 방향 위치, 및 전기장 사이의 관계를 나타낸다.
도 2는 블리스터 및 공극을 포함한 막을 나타낸다.
도 3은 본 개시의 예시에 따른 방법을 나타낸다.
도 4는 본 개시의 예시에 따른 시간 순서를 나타낸다.
도 5는 두께 불균일성과 플라즈마 전력 사이의 관계를 나타낸다.
도 6은 다양한 단계 동안 플라즈마 전력과 두께 불균일성 사이의 관계를 나타낸다. 도 6은 가능한 반응 모델을 추가로 나타낸다.
도 7은 본 개시의 예시에 따라 막 성장 속도 및 막의 스텝 커버리지에 대한 수소 함유 가스의 효과를 나타낸다.
도 8은 도 3에 나타낸 방법을 사용하여 증착된 막의 등각성 습식 식각을 나타낸다.
도 9는 본 개시의 적어도 일 구현예에 따른 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로, 기판 상에 막을 형성하는 방법, 및 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하고/수행하거나 상기 막을 형성하기 위한 시스템에 관한 것이다. 이하에서 더욱 상세히 설명되는 바와 같이, 본원에 설명된 방법은, 비교적 낮은 불균일도를 가지며, 비교적 등각성이고, 심지어 갭의 측벽 상에서조차 비교적 균일한 습식 식각 속도를 나타내는 막을 형성하기 위해 사용될 수 있다. 예시적인 방법은 전자 소자, 예컨대 PRAM, VNAND, 및/또는 다른 구조체 및/또는 소자를 형성하기에 적합한 막 및 구조체를 형성하기 위해 사용될 수 있다. 예를 들어, 본원에 설명된 방법은 DRAM 또는 VNAND 소자를 위한 갭 충진 공정, TSV(실리콘 비아를 통함) 응용을 위한 라이너 공정, 및 PRAM 소자용 캡슐화 층 공정에 사용될 수 있다. 달리 언급되지 않는 한, 본 개시의 예시는 반드시 이러한 응용에 한정되지는 않는다.
본 개시에서, 가스는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 예를 들어 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스 또는 다른 불활성 가스와 같은 밀폐 가스를 포함할 수 있다. 용어 불활성 가스는 상당한 정도까지 화학 반응에 참여하지 않는 가스, 플라즈마 전력이 인가될 경우에 전구체를 여기시킬 수 있는 가스, 재료를 처리(예, 치밀화)하기 위해 사용될 수 있는 가스, 및/또는 원하는 표면 종단을 제공할 수 있는 가스를 지칭한다. 전구체를 여기시키기 위해 사용될 경우, 불활성 가스는 반응물로 간주될 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다.
본원에서 사용되는 바와 같이, 용어 기판은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 구조체, 예컨대 오목부, 비아, 라인 등을 포함할 수 있다.
본 개시에서, 인접하는 돌출 구조체 사이의 오목부 및 임의의 다른 오목부 패턴은 "갭"으로 지칭될 수 있다. 즉, 갭은 홀/비아, 라인 사이의 영역 등을 포함한 임의의 오목부 패턴을 지칭할 수 있다. 일부 구현예에서, 갭은 약 20 nm 내지 약 100 nm, 및 통상적으로 약 30 nm 내지 약 50 nm의 폭을 가질 수 있다. 트렌치가 그의 폭과 실질적으로 동일한 길이를 갖는 경우, 이는 홀 또는 비아로서 지칭될 수 있다. 홀 또는 비아는 일반적으로 약 20 nm 내지 약 100 nm의 폭을 갖는다. 일부 구현예에서, 트렌치는 약 30 nm 내지 약 100 nm, 통상적으로 약 40 nm 내지 약 60 nm의 깊이를 갖는다. 일부 구현예에서, 갭은 약 2 내지 약 10, 그리고 통상적으로 약 2 내지 약 5의 종횡비를 갖는다. 갭의 치수는 공정 조건, 막 조성물, 의도된 용도 등에 따라 달라질 수 있다.
본원에서 사용되는 바와 같이, 용어 주기적 또는 순환적 증착은 반응 챔버 내로 전구체/반응물을 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(예, 플라즈마 강화 원자층 증착) 등과 같은 처리 기술을 포함한다.
본원에서 사용되는 바와 같이, 용어 원자층 증착(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물을 후속해서 또는 동시에 공정 챔버에 도입시킬 수 있다. 반응물은 전구체와 더 반응할 수 있다(예, 플라즈마를 사용하여 활성화되는 경우). 또한, 사이클 동안 및/또는 사이클 사이에 퍼지 단계도 사용할 수 있어, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다. 본원에서 사용된 용어 원자층 증착은 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성) 가스(들)의 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
일부 구현예에서, 막은 두께 방향에 수직인 방향으로 연장되어 전체 타켓 또는 관심 표면을 커버하는 층, 또는 단순히 타켓 또는 관심 표면을 커버하는 층을 지칭한다. 일부 구현예에서, 층은 표면에 형성된 특정 두께를 갖는 구조체를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어를 지칭한다. 층은 연속적이거나 비연속적일 수 있다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다. 용어 막과 층은 상호 교환적으로 사용될 수 있다.
본 개시에서, 연속적으로는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 재료의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서는 두 개의 구조체 또는 층 사이에 별개의 물리적 또는 화학적 구조체가 개입하지 않는 것 중 하나 이상을 지칭할 수 있다. 예를 들어, 반응물 및/또는 불활성 또는 귀가스는 방법의 두 개 이상의 단계 및/또는 사이클 동안에 연속적으로 공급될 수 있다.
본원에서 사용되는 바와 같이, 용어 퍼지는 다른 (예를 들어, 반응물 또는 전구체) 가스의 펄스 사이에서 불활성 또는 실질적으로 불활성인 가스(즉, 화학 반응에 상당한 정도로 참여하지 않는 가스)가 반응 챔버에 제공되는 절차를 지칭할 수 있다. 예를 들어, 전구체를 반응 챔버에 제공하는 단계와 플라즈마 전력을 제공하는 단계 사이에 퍼지가 제공될 수 있다. 예를 들어 시간적 퍼지의 경우, 퍼지 단계는, 예를 들어 반응 챔버에 전구체를 제공하는 단계, 전구체의 흐름을 중지시키는 단계, 및 반응 챔버에 퍼지 가스를 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 층이 증착되는 기판은 이동하지 않을 수 있다. 공간적 퍼지의 경우, 퍼지 단계는, 제1 전구체가 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 처리 가스가 공급되는 제2 위치로 기판을 이동시키는 형태를 취할 수 있다.
본원에서 사용되는 바와 같이, 실리콘 질화물은 실리콘 및 질소를 포함하는 재료를 지칭한다. 실리콘 질화물은 조성식 Si3N4로 나타낼 수 있다. 일부 경우에, 실리콘 질화물은 화학양론적 실리콘 질화물을 포함하지 않을 수 있다. 일부 경우에, 실리콘 질화물은 탄소, 수소 등과 같은 다른 원소를 포함할 수 있다.
일부 경우에, 등각성 층은, 약 2 초과, 약 5 초과, 약 10 초과, 약 25 초과, 약 50 초과, 약 100 초과, 또는 약 10 내지 100, 또는 약 2 내지 10, 또는 약 5 내지 25의 종횡비(높이/폭)를 갖는 구조체 내/구조체 상에서 50% 이상, 또는 80% 이상, 또는 90% 이상, 또는 100% 이상, 또는 110% 이상, 또는 약 150% 이상, 또는 50% 내지 150%, 또는 80% 내지 100%의 스텝 커버리지를 나타낸다. 용어 "스텝 커버리지"는 오목부의 원위 표면 상의 층의 두께를 오목부의 근위 표면 상의 해당 층의 성장 속도로 나누고 백분율로 표현한 것을 의미한다. 갭 특징부의 원위부는 기판의 표면으로부터 비교적 멀리 제거되는 갭 특징부의 일부를 지칭하며, 갭 특징부의 근위부는 갭 특징부의 원위 / 하부 / 더 깊은 부분에 비해 기판의 표면에 더 가까운 갭 특징부의 부분을 지칭하는 것으로 또한 이해될 것이다.
본원에서 사용되는 바와 같이, 용어 중첩은 시간에 대해 그리고 반응 챔버 내에서 일치함을 의미할 수 있다. 예를 들어, 전구체 펄스 기간 및 반응물을 제공하는 단계와 같은 가스 펄스 기간 또는 단계에 관해, 각각의 펄스 기간 또는 단계로부터의 가스가 반응 챔버 내에 있거나 일정 기간 동안 반응 챔버에 제공되는 경우에, 두 개 이상의 가스 펄스 기간이 중첩될 수 있다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭할 수 있다. 본 개시의 양태에 따라, 임의의 정의된 용어의 의미는 용어의 통상적이고 관습적인 의미를 반드시 배제하지 않는다.
도면을 다시 참조하면, 도 3은 본 개시의 예시에 따라 기판 상에 막을 형성하는 방법(300)을 나타낸다. 방법(300)은 기판의 표면 상의 갭 위에 놓이는 등각성 막을 형성하기 위해, 및/또는 기판의 표면에 걸쳐 비교적 낮은 불균일성을 갖는 막을 형성하기 위해 사용될 수 있다.
나타낸 바와 같이, 방법(300)은 반응기의 반응 챔버 내에 기판을 로딩하는 단계(302), 반응 챔버 내에 제1 가스를 제공하는 단계(304), 반응 챔버 내에 제2 가스를 제공하는 단계(306), 제1 플라즈마 조건을 사용하여 제2 가스를 사용한 제1 활성화 종을 형성하는 단계(308), 제2 플라즈마 조건을 사용하여 제2 가스를 사용한 제2 활성화 종을 형성하는 단계(310), 및 표면 개질 층을 형성하는 단계(312)를 포함한다. 도 4는 방법(300)으로 사용하기에 적합한 시간 순서(400)를 나타낸다.
단계(302) 동안에, 갭을 포함한 기판은 반응기 시스템의 반응기의 반응 챔버 내에 제공된다. 본 개시의 예시에 따라, 반응 챔버는 주기적 화학 기상 증착 반응기, 및 특히 플라즈마 강화 CVD 반응기와 같은 주기적 증착 반응기의 일부를 형성할 수 있다. 방법(300)의 다양한 단계는 단일 반응 챔버 내에 수행될 수 있거나 클러스터 툴 또는 공정 모듈의 반응 챔버와 같은 다수의 반응 챔버 내에서 수행될 수 있다. 보다 상세하게, 기판은 반응기 내의 서셉터 상에 로딩될 수 있다. 서셉터는 열 에너지를 기판에 공급하기 위해 가열 블록 상에 장착될 수 있다. 리프트 핀은, 기판을 수용하도록 가열 블록 및 서셉터에 형성된 관통 구멍을 통해 제공될 수 있다. 기판은 그 위에 형성된 복합 구조를 포함할 수 있다. 예를 들어, 기판은 VNAND 소자 응용을 위한 갭 구조 또는 3D 구조를 포함할 수 있다. 예시적인 기판 및 구조는 도 7과 연관하여 이하에서 더욱 상세히 설명된다.
단계(302) 중에, 기판은 단계(304-312)의 원하는 온도 및 압력으로 놓아질 수 있다. 예시로서, 반응 챔버 내에서 (예를 들어, 기판 또는 기판 지지체의) 온도는 550℃ 미만, 500℃ 미만, 450℃ 미만, 및/또는 약 50℃ 내지 약 550℃ 또는 약 100℃ 내지 약 500℃일 수 있다. 반응 챔버 내 압력은 약 2 내지 약 40 토르 또는 약 10 내지 약 30 토르일 수 있다.
단계(304) 중에, 제1 가스가 제1 가스 펄스 기간 동안 반응 챔버 내에 제공된다. 제1 가스는 기판 상에 화학 흡착된 층을 형성할 수 있다. 본 개시의 예시에 따라, 제1 가스는 실리콘 함유 가스와 같은 전구체이거나 이를 포함한다. 이 경우, 실리콘 함유 층이 기판 상에 형성될 수 있다.
단계(304) 동안 사용하기에 적합한 예시적인 실리콘 함유 가스 또는 전구체는, 실란, 실리콘 및 할로겐을 포함한 할로겐 화합물(예, 할로겐화됨(예, F, Cl, Br, 또는 I 중 하나 이상을 포함함)), 및 실리콘 및 아민 작용기를 포함하는 아민을 포함한다. 방법(300)과 함께 사용하기에 적합한 실리콘 함유 전구체의 특정 예는TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; TEOS, Si(OEt)4; SiCl4; HCD, Si2Cl6; DCS, SiH2Cl2; 3DMAS, SiH(N(Me)2)3; BEMAS, SiH2[N(Et)(Me)]2; AHEAD, Si2(NHEt)6; TEAS, Si(NHEt)4; Si3H8; SiHI3; 및 SiH2I2 또는 이들의 임의의 조합 중 적어도 하나를 포함하되, Me는 메틸기를 나타내고, Et는 에틸기를 나타내며, iPr은 이소프로필기를 나타내고, tBu는 터트-부틸기를 나타낸다.
전구체의 캐리어 가스의 유량은 약 500 내지 약 2000 sccm 또는 약 1000 내지 약 1500 sccm일 수 있다. 전구체 증기는, 캐리어 가스에 의해 반응기의 반응 공간 상에 위치한 기판으로 운반될 수 있다. 예를 들어, 전구체는, 캐리어 가스가 공급원 용기에 제공되고 그 위에 운반된 전구체 증기를 갖는 반응 공간에 공급원 용기로부터 캐리어 가스가 제공되는, 유입구 튜브 및 유출구 튜브를 갖는 버블링 유형 공급원 용기에 저장될 수 있다. 단계(304)의 지속 시간은, 예를 들어 약 0.5초 내지 약 3초, 또는 약 0.5초 내지 약 1.2초일 수 있다. 제1 가스는 (예를 들어, 실리콘 함유) 전구체 및 선택적으로 질소(N2), 아르곤(Ar) 등과 같은 캐리어 가스를 포함할 수 있다. 캐리어는 퍼지 가스로서 사용될 수도 있다. 도 4에 나타낸 바와 같이, 일부 경우에, 제1 가스는 전구체, 캐리어 및/또는 퍼지 가스, 및 반응물을 포함한다.
단계(306) 동안에, 제2 가스가 반응 챔버 내에 제공된다. 제2 가스는 캐리어, 퍼지, 또는 불활성 가스 및 반응물 중 하나 이상을 포함할 수 있다. 예시로서, 제2 가스는 단계(304) 동안 사용되는 캐리어 가스 및 (예를 들어, 질소 함유) 반응물을 포함할 수 있다.
예시적인 질소 함유 반응물은 N2, NH3, N2O 및 NO2 중 하나 이상을 단독으로 또는 임의의 조합으로 포함한다. 일부 경우에, 질소 함유 가스는 캐리어, 퍼지 또는 불활성 가스와 동일한 화합물을 포함한다.
캐리어, 퍼지 또는 불활성 가스의 유량은 약 500 내지 약 2000 sccm 또는 약 1000 내지 약 1500 sccm일 수 있다. 반응물의 유량은 약 1000 내지 약 10000 또는 약 3000 내지 약 8000 sccm일 수 있다. 제2 가스는 사이클의 하나 이상(예, 각각)의 단계 및/또는 하나 이상의 증착 사이클을 통해 연속적으로 제공될 수 있다.
단계(308) 동안, 제1 플라즈마 조건을 사용하여, 제2 가스를 사용한 제1 활성화 종을 형성함으로써 증착된 재료의 층을 형성한다. 제1 활성화 종은 단계(304) 동안 형성된 화학 흡착된 층과 반응할 수 있다. 예를 들어, 제2 가스는 질소 함유 가스일 수 있고, 제1 활성화 종은, 실리콘을 포함한 화학 흡착 층과 반응하여, 증착된 실리콘 질화물의 층을 형성할 수 있다.
제1 플라즈마 조건은 기판의 에지 영역에서 비교적 낮은 전기장을 형성하도록 설정될 수 있어서, 종래의 기술에 비하면 기판 전체에 걸쳐 막 두께 균일성이 개선될 수 있다. 예를 들어, 제1 플라즈마 조건은, 제2 플라즈마 조건을 사용하여 에지에서 형성된 전기장에 비하면, 기판의 에지에서 감소된 전기장을 나타낼 수 있다.
단계(310) 동안, 제2 플라즈마 조건을 사용하여, 제2 가스를 사용한 제2 활성화 종을 형성함으로써 기판 상에 처리된 층을 형성한다. 제2 활성화 종은, 제1 활성화 종을 형성하는 데 사용된 동일한 (제2) 가스를 사용하여 형성된다. 그러나, 제1 플라즈마 조건 및 제2 플라즈마 조건은 상이하다. 예를 들어, 제1 플라즈마 전력 및/또는 전극 간격은 상이할 수 있다. 보다 상세하게, 제2 플라즈마의 세기는 제1 플라즈마의 세기보다 높을 수 있다.
단계(310)는 막 품질을 제어하기 위해 수행될 수 있다. 이러한 단계에서, 제2 플라즈마 조건은, 습식 식각 속도와 같은 막 품질을 개선하도록 설정될 수 있고, 원하는 막 품질에 따라 달라질 수 있다. 예시적인 플라즈마 전력 값 및 시간이 아래 표 3에 제공되어 있다.
단계(312)에서, 제3 플라즈마 조건을 사용하여, 제3 가스를 사용한 활성화 종을 형성함으로써 표면 개질 층을 형성한다. 이 단계 동안, 단계(310) 중에 형성된 막 상에 막 형성 촉진 부위를 형성하기 위해 제3 가스가 제공될 수 있다. 예를 들어, 제3 가스는 수소 함유 반응물을 포함할 수 있다. 보다 구체적으로, 제3 가스는 수소(H2)이거나 이를 포함할 수 있다. 일부 경우에, 제3 가스는, 수소 함유 반응물 이외에 전술한 바와 같은 캐리어, 퍼지, 또는 불활성 가스 및 질소 반응물을 포함한다.
제3 플라즈마 조건은 기판 위에 막 촉진 부위를 균일하게 형성하도록 설정될 수 있다. 이 단계에서 제3 플라즈마 조건(예, 전력)은 제1 플라즈마 조건(예, 전력) 및/또는 제2 플라즈마 조건(예, 전력)과 상이할 수 있다. 보다 상세하게, 제3 플라즈마의 세기는 제2 플라즈마보다 낮을 수 있고 제1 플라즈마보다 높을 수 있다. 막 형성 촉진 부위는, 다음 사이클 단계에서 및/또는 다른 층에서 층의 형성을 촉진하는 데 기여할 수 있다.
도 3에 나타낸 바와 같이, 단계(304-312)는 사이클을 나타낼 수 있고, 원하는 특성 및 두께를 갖는 막을 형성하기 위해 여러 번 반복될 수 있다.
도 4에 나타낸 시간 순서(400)는 방법(300)에 대한 예시적인 순서를 나타낸다. 순서(400)는 증착 단계(402), 처리 단계(404), 활성화 단계(406), 및 퍼지(408)를 포함한다.
증착 단계(402)는 기간 t1, t2 및 t3을 포함한다. 나타낸 예시에서, 기간 t1 동안, 제1 가스 및 제2 가스가 반응 챔버에 제공된다. 기간 t2 동안, 전구체의 흐름이 중단되고, 제2 가스는 계속 흐르게 되어, 반응 챔버가 퍼지될 수 있게 한다. 기간 t3 동안, 제2 가스가 계속 흐르는 동안, 플라즈마 전력이 하나 이상의 전극에 걸쳐 인가되어, 제2 가스를 사용한 제1 활성화 종을 형성함으로써 증착된 재료의 층을 형성한다.
전술한 바와 같이, 높은 플라즈마 전력은 기판의 에지 영역에서 전기장을 증가시킬 수 있고 막 균일성에 유해한 영향을 미칠 수 있다. 따라서, 이 단계에서 제공된 제1 플라즈마 전력의 세기는 기판의 에지 영역에서 전기장을 증가시키지 않고 기판에 걸쳐 균일한 화학 반응을 촉진하기에 충분하도록 설정될 수 있다. 예를 들어, RF 전력은 (예를 들어, 직경이 300 mm 크기의 기판의 경우) 800 W 미만이고 100 W 초과일 수 있다. 이 단계에서 제공된 제1 플라즈마 전력은, 도 4의 단위 공정 흐름이 주기적으로 반복될 경우, 단계 t5에서 제공된 제1 가스(예, 실리콘 전구체) 또는 수소 가스로부터의 잔류 수소에 의해 야기되는 블리스터의 형성을 방지하거나 완화시킬 수도 있다.
처리 단계(404)는 기간 t4를 포함한다. t4 동안, 제2 플라즈마 조건이 제공되어 제2 가스를 사용한 제2 활성화 종을 형성함으로써 처리된 층을 형성한다. 전술한 바와 같이, 제1 플라즈마 조건 및 제2 플라즈마 조건은 상이할 수 있다. 구체적으로, 나타낸 바와 같이, 제1 플라즈마 조건은 제1 플라즈마 전력에서 수행될 수 있고, 제2 플라즈마 조건은 제2 플라즈마 전력에서 수행될 수 있다.
이 단계에서, 제2 플라즈마 조건(예, 전력)은 막 품질, 예를 들어 막 습식 식각 속도를 제어할 수 있다. 예를 들어, 제2 플라즈마 전력은 제1 플라즈마 전력보다 더 클 수 있고, 막 습식 식각 속도는 낮아질 수 있다. 즉, 막은 경질화되거나 치밀화될 수 있다. 일 구현예에서, 제2 플라즈마 전력은 1,500 W보다 낮고 600 W보다 높을 수 있다. 이 단계에서 제2 플라즈마 전력이 비교적 높지만, 막 형성 단계, 예를 들어 실리콘 질화물 막 형성 단계는 이미 t3 단계에서 제1 플라즈마 전력에 의해 완료되고, 따라서 막 두께 균일성은 제2 플라즈마 전력에 의해 영향을 받지 않을 수 있다. 이러한 단계 t4에서, 반응물은 제2 플라즈마 전력에 의해 연속적으로 제공되고 활성화될 수 있다. 그러나, 단계 t4에서 공급된 활성화 반응물은, 막 형성이 단계 t3에서 이미 수행되기 때문에, 막 형성에 기여하지 않을 수 있고, 표면 반응은 단계 t4에서 더 이상 계속할 수 없다. 일 구현예에서, 반응물로서 질소(N2)는 단계 t4에서 연속적으로 제공되고, 활성화 질소는 실리콘 질화물 막의 조밀화, Si-N 결합의 증가, 및 (실리콘 전구체가 함유할 수 있는) 수소를 막으로부터 제거하는 것에 기여한다. 보다 상세하게는, 제2 플라즈마 전력에 의해 활성화된 N+ 및 N2+와 같은 질소 이온은 Si-Si 결합을 파괴하고, 다수의 Si-N 결합을 증가시키고, 실리콘 질화물 막 조밀화를 초래할 수 있다. N+ 및 N2+와 같은 질소 라디칼 및 이온은 또한, 표면에 형성된 N-H 결합 및 Si-H 결합과 반응하여, 결합 구조로부터 수소(-H)를 제거하고, Si-N 결합 구조를 형성하여, Si-N 결합 구조를 증가시킨다. 그러나, 다른 구현예에서, 이 단계에서 공급된 활성화 반응물은 질소 원소를 함유할 수 있는 막의 추가 형성에 기여할 수 있다.
활성화 단계(406)는 기간 t5를 포함한다. 기간 t5 동안, 수소 함유 가스가 제공되고 제3 플라즈마 전력에 의해 활성화될 수 있다. 해리된 수소 가스는 막 상에 수소 말단 부위를 형성할 수 있고, 다음 사이클에서 제공되고 기판 상의 비활성 부위를 감소시키는 전구체(예, 실리콘 함유)에 대한 막 형성 촉진 부위 또는 결합 부위로서 작용할 수 있다. 따라서, 이 단계는 기판 상에 형성된 갭 또는 3D 구조(예, 본원에서 언급된 바와 같은 종횡비를 가짐)와 같은 복잡한 구조 상에서의 막 성장 속도 및 스텝 커버리지를 개선하는 데 기여할 수 있다. 이 단계에서, 퍼지 가스가 제공되고 제3 가스와 함께 활성화될 수 있다. 그러나, 활성화 퍼지 가스는 수소 종결 부위를 파괴할 수 있으므로, 이 단계에서 제공된 플라즈마 전력의 세기는 낮게 설정될 수 있지만, 수소 종결 부위를 형성하고 유지하기에 충분하다. 보다 구체적으로, 플라즈마 전력의 세기는 제2 플라즈마 전력보다 낮을 수 있지만, (및/또는) 제1 플라즈마 전력보다 높을 수 있다. 수소 함유 가스는 H2, H 원자, 및 NH3 또는 이들의 조합 중 적어도 하나일 수 있다. 제2 가스는 기간 t5 동안 연속적으로 제공될 수 있고, 공정 동안 공정 압력의 안정화에 기여할 수 있다.
퍼지(408)는 기간 t6을 포함한다. 기간 t6 동안, 잔류 가스는 Ar 또는 N2와 같은 퍼지 가스(예, 제2 가스)에 의해 반응 챔버로부터 퍼지될 수 있다. 기간 t1 내지 t6은 원하는 막 두께가 달성될 때까지 반복(m 사이클)될 수 있다.
도 5는 도 4의 기간 t3 및 기간 t4에서의 플라즈마 전력의 세기에 따른 막 두께 균일도를 나타낸다. 구체적으로, 도 5에서, 제1 플라즈마 전력이 비교적 낮고 제2 플라즈마 전력이 다른 플라즈마 전력 레벨에 비해 비교적 높을 경우, 실리콘 질화막 두께 균일성은 더 양호하다(비-균일도 백분율은 더 낮음).
도 6은 도 4의 기간 t3 동안 인가된 플라즈마 전력, 및 기간 t5 동안 인가된 플라즈마 전력의 효과를 나타낸다. 플라즈마 전력은 RF 전력으로서 나타내고 있지만, 본원에서 언급된 바와 같은 다른 주파수일 수 있다. 도 6에 나타낸 바와 같이, 제1 플라즈마 전력이 비교적 낮은 경우, 막(예, 실리콘 질화물)은 질소 이온 충돌에 의해 덜 손상되고, 따라서 기간 t5 동안 막 내로 침투하는 것으로 여겨지는 수소 원소가 더 적으므로, 블리스터가 생성되지 않고, 더 많은 수소 종결 부위가 형성되고 보존될 수 있다. 그러나, 플라즈마 전력이 비교적 높을 경우, 실리콘 질화물 막은 질소 이온 충돌에 의해 점점 손상되고, 더 많은 수소 원소가 t5 기간 동안 막 내로 침투하여 블리스터가 생성되고, 더 적은 수소 종결 부위가 형성될 수 있는 것으로 여겨진다. 따라서, 낮은 세기의 제1 플라즈마 전력은 방법(300) 동안 블리스터가 생성되는 것을 방지하는 효과를 가질 수 있고, 더 많은 수소 종결 부위를 형성하고 보존하는 데 기여한다.
도 7은 구조체(702 및 704)를 나타내고, 막 성장 속도 및 스텝 커버리지에 대한 수소 함유 가스의 효과를 나타낸다. 구조체(702, 704)는 각각 기판(706), 특징부(708, 710), 및 그 사이에 형성된 갭(712)을 포함한다. 구조체(702)는 특징부(708, 710) 위에 놓이고 등각성으로 형성된 실리콘 질화물 층(714), 및 갭(712)을 포함한다. 구조체(704)는 층(716)을 포함하며, 본원에서 설명된 바와 같은 수소 함유 가스를 사용하여 처리하였다.
도 7에 나타낸 바와 같이, 수소 가스(H2)가 제공될 경우, 막 성장 속도는 0.24 Å/분에서 0.43 Å/분으로 약 2배 개선되고, 또한 패터닝된 구조(702, 704) 상에서 스텝 커버리지는 각각 93% 내지 113% 개선된다. 따라서, 도 7은, 수소 함유 가스가 실리콘 질화물 막의 표면에 막 형성 촉진 부위를 제공하고, 막 성장 속도의 증가 및 패터닝된 구조 상의 스텝 커버리지 특성의 개선에 기여함을 입증한다.
도 8은 구조체(802, 804)를 나타내고 습식 식각 속도 균일성을 나타낸다. 구조체(802, 804)는 각각 기판(806), 특징부(808, 810), 및 그 사이에 형성된 갭(812)을 포함한다. 구조체(802)는 특징부(808, 810) 위에 놓이고 등각성으로 형성된 실리콘 질화물 층(814), 및 갭(812)을 포함한다. 구조체(804)는 층(816)을 포함하는데, 이 층은 희석된 불산(200:1 물:HF)을 사용하여 30초 동안 식각하였다.
도 8에 나타낸 바와 같이, 실리콘 질화물 막(814)은 갭(812)의 상단으로부터 하단까지 패터닝된 구조 상에 등각성 및 균일하게 형성된다. 측면 상단에서 측면 하단까지의 실리콘 질화물 막의 습식 식각 속도 또한 균일하고, 예를 들어 9.05 Å/분 대 11.65 Å/분이다. 측면 하단부에서 실리콘 질화물 막의 습식 식각 속도는, 실리콘 질화물 막이 단일 RF 전력 단계에 의해서만 형성되는 종래 공정의 92.0 Å/분으로부터 11.65 Å/분으로 특히 개선된다.
아래 표 1은 일 구현예에 따른 도 4의 각 플라즈마 단계의 RF 전력 및 RF 주파수 조건을 나타낸다.
RF 전력 및 RF 주파수 조건
제1 플라즈마 조건 (t3) 제2 플라즈마 조건
(t4)
제3 플라즈마 조건
(t5)
RF 전력 낮음 높음 중간
RF 주파수 높음 높음 높음
표 1의 제1 플라즈마 상태에서, 높은 RF 주파수를 갖는 낮은 플라즈마 전력이, 기판에 걸쳐 균일한 막 두께를 위해 기판의 에지 영역에서 전기장을 감소시키도록 반응 챔버에 제공될 수 있다.
제2 플라즈마 상태에서, 높은 RF 주파수를 갖는 높은 플라즈마 전력이 제공될 수 있다. 높은 RF 주파수를 갖는 높은 플라즈마 전력은, 이온 밀도의 밀도를 증가시킬 수 있고, 다수의 Si-N 결합을 증가시킬 수 있고, 막 품질을 개선할 수 있는데, 예를 들어 더 낮거나 더 균일한 습식 식각 속도를 가질 수 있다. 다른 구현예에서, 패터닝된 구조체의 하단부에 더 많은 이온을 제공하기 위해, 고주파수 및 저주파수의 이중(예, RF) 주파수를 갖는 플라즈마 전력이 제공될 수 있으며, 따라서 균일한 막 품질은 복잡한 패터닝 구조체에서도 달성될 수 있다. 다른 구현예에서, 플라즈마 전력은 패터닝된 구조체의 하단부에 더 많은 이온을 제공하기 위해 펄스로(예, 약 10% 내지 약 90%의 듀티 비율로) 제공될 수 있다.
제3 플라즈마 상태에서, 높은 RF 주파수 및 활성화 수소를 갖는 중간 플라즈마 전력이 제공되어, 예를 들어 패터닝된 구조의 상단부로부터 하단부까지 증착된 실리콘 질화물 막 상에 균일하게 수소 종결 부위를 형성할 수 있다. 높은 플라즈마 전력은 이온 밀도를 증가시킬 수 있지만, 막 및 수소 종결 부위를 손상시킬 수 있다. 따라서, 중간 수준의 플라즈마 전력이 제공되어 제3 플라즈마 단계에서 수소 종결 부위를 보존할 수 있다. 표 1에서, 플라즈마 전력 주파수는 13.56 MHz일 수 있거나, 27.12 MHz일 수 있거나, 60 MHz일 수 있다.
표 2는 본 개시에 따른 막 특성과 종래 방법의 비교를 제공한다.
본 개시 및 종래 방법에 따른 막 특성 비교
방법 막 두께 균일성 (%) 스텝 커버리지 측벽 상의 습식 식각 속도
본 개시(세 개의 RF 단계) 우수 우수 우수
종래 방법(단일 RF 단계) 저 RF 전력 플라즈마 우수 불량 불량
고 RF 전력 플라즈마 불량 불량 우수
N2/H2 플라즈마 우수 우수 불량
표 2에서, 본 개시에 따른 공정은, 동시에 패터닝된 구조체의 측벽 상의 막 두께 균일성, 스텝 커버리지 및 습식 식각 속도를 포함하여 양호한 막 특성을 갖는 막을 생성한다. 대조적으로, 단일 RF 전력 단계를 사용하는 종래의 방법은, 동시에 패터닝된 구조의 측벽 상에서 막 두께 균일성, 스텝 커버리지 및 습식 식각 속도에서 양호한 막 특성을 달성하지 못한다. 따라서, 본원에 설명된 방법은 패터닝된 구조체의 측벽 상에서 막 두께 균일성, 스텝 커버리지 및 습식 식각 속도를 동시에 양호하게 달성하는 기술적 이점을 포함한다.
표 3은 본 개시에 따른 구현예의 예시적인 공정 조건 및 범위를 제공하며, 예를 들어 도 3의 방법(300) 및/또는 도 4의 시간 순서(400)와 함께 사용하기에 적합하다. 표 3의 조건은 실리콘 질화물 막을 형성하는 데 사용될 수 있다.
본 개시에 따른 일 구현예의 예시적인 조건
온도 (℃) 50 내지 550℃(바람직하게는 100 내지 500℃)
공정 압력 (토르) 2 내지 40 토르 (바람직하게는 10 내지 30 토르)
전극 갭 (mm) 5 내지 20 mm(바람직하게는 7 내지 15 mm)
제1 가스 (실리콘 함유 전구체) 실란 또는 할로겐 원소 함유 실리콘 공급원 또는 다른 실리콘 함유 전구체
반응물 N2 또는 다른 질소 함유 반응물
막 형성 촉진 가스 H2 또는 수소 함유 반응물
가스 유량 (sccm) N2 (전구체 캐리어/퍼지 가스) 500 내지 2,000 W(바람직하게는 1,000 내지 1,500)
N2 (반응물) 1,000 내지 10,000 (바람직하게는 3,000 내지 8,000)
H2 1 내지 30 (바람직하게는 2 내지 20)
단계 당 공정 시간 (초) 전구체 공급 단계 0.05 내지 3.0 (바람직하게는 0.5 내지 1.2)
전구체 퍼지 단계 0.2 내지 5.0 (바람직하게는 0.5 내지 1.2)
제1 플라즈마 조건 (t3) 0.2 내지 5.0 (바람직하게는 0.5 내지 2.0)
제2 플라즈마 조건 (t4) 3.0 내지 20.0 (바람직하게는 8.0 내지 15.0)
제3 플라즈마 조건 (t5) 0.2 내지 5.0 (바람직하게는 0.5 내지 2.0)
플라즈마 퍼지 (t6) 0.0 내지 1.0 (바람직하게는 0.1 내지 0.8)
플라즈마 전력 및 주파수 제1 플라즈마 조건 (t3) RF 전력: 100 내지 800 W (바람직하게는 300 내지 600 W)
RF 주파수: 13.56 MHz
제2 플라즈마 조건 (t4) RF 전력: 600 내지 1,500 W (바람직하게는 800 내지 1,200 W)
RF 주파수: 13.56 MHz
제3 플라즈마 조건 (t5) RF 전력: 300 내지 1,000 W (바람직하게는 500 내지 800 W)RF 주파수: 13.56 MHz
도 9는 본 개시에 따른 공정을 구현하기 위한 기판 처리 장치 또는 시스템(900)의 개략도이다. 도 9에서, 기판(4)은 반응기(1) 내의 기판 지지체(3) 상에 배치될 수 있다. 가스 공급 유닛(2)은 가스를 기판(4)에 공급하도록 구성된다. 기판 지지체(3)는 열 에너지를 기판(4)에 공급하는 가열 블록을 포함할 수 있다. 가스 공급 유닛(2)은 샤워헤드일 수 있다.
공정 가스는 배기 펌프일 수 있는 배기 유닛(8)을 통해 배기된다. 가스 공급 유닛(2)은 플라즈마(예, RF) 전력 공급 유닛에 연결되는 전극일 수 있거나 이를 포함할 수 있다. RF 전력 공급 유닛은, 매칭 네트워크(5), 고주파수 RF 전력 발생기(6), 및/또는 저주파수 RF 전력 발생기(7)를 포함할 수 있다. RF 전력은 반응기에 제공될 수 있고, 본 개시에 따른 RF 전력의 세기는, 컴퓨터이거나 컴퓨터를 포함할 수 있는 제어기(10)와 같은 프로그래밍 가능한 제어 유닛에 의해, 단계적으로 제어될 수 있다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (23)

  1. 기판 상에서 박막을 형성하는 방법으로서, 상기 방법은,
    기판을 반응기의 반응 챔버 내에 로딩하는 단계;
    제1 가스를 반응 챔버 내에 제1 가스 펄스 기간 동안 제공하는 단계;
    제2 가스를 상기 반응 챔버 내에 제공하는 단계;
    제1 플라즈마 조건을 사용하여, 상기 제2 가스를 사용한 제1 활성화 종을 형성함으로써 증착된 재료의 층을 형성하는 단계;
    제2 플라즈마 조건을 사용하여, 상기 제2 가스를 사용한 제2 활성화 종을 형성함으로써 처리된 층을 형성하는 단계; 및
    제3 플라즈마 조건을 사용하여, 제3 가스를 사용한 활성화 종을 형성함으로써 표면 개질 층을 형성하는 단계를 포함하되,
    상기 제1 플라즈마 조건 및 상기 제2 플라즈마 조건은 상이한, 방법.
  2. 제1항에 있어서, 상기 제1 플라즈마 조건은 제1 플라즈마 전력에서 수행되고, 상기 제2 플라즈마 조건은 제2 플라즈마 전력에서 수행되며, 상기 제2 플라즈마 전력은 상기 제1 플라즈마 전력보다 큰, 방법.
  3. 제2항에 있어서, 상기 제3 플라즈마 조건은 제3 플라즈마 전력에서 수행되고, 상기 제3 플라즈마 전력은 상기 제2 플라즈마 전력보다 작은, 방법.
  4. 제3항에 있어서, 상기 제3 플라즈마 전력은 상기 제1 플라즈마 전력보다 큰, 방법.
  5. 제2항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 플라즈마 조건은, 제2 플라즈마 조건을 사용하여 에지에서 형성된 전기장에 비하면, 기판의 상기 에지에서 감소된 전기장을 나타내는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제2 플라즈마 조건은 상기 증착된 재료 층의 경도를 증가시키는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 제2 플라즈마 조건은 상기 증착된 재료 층의 습식 식각 속도 불균일성을 감소시키는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 제3 플라즈마 조건은 상기 처리된 층 상에 막 형성 촉진 부위를 생성하는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 가스는 실리콘 함유 전구체를 포함하는, 방법.
  10. 제9항에 있어서, 상기 실리콘 함유 전구체는 실란 전구체를 포함하는, 방법.
  11. 제9항 또는 제10항에 있어서, 상기 실리콘 함유 전구체는 할로겐을 포함하는, 방법.
  12. 제9항 내지 제11항 중 어느 한 항에 있어서, 상기 실리콘 함유 전구체는 아민을 포함하는, 방법.
  13. 제9항 내지 제12항 중 어느 한 항에 있어서, 상기 실리콘 함유 전구체는 TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; TEOS, Si(OEt)4; SiCl4; HCD, Si2Cl6; DCS, SiH2Cl2; 3DMAS, SiH(N(Me)2)3; BEMAS, SiH2[N(Et)(Me)]2; AHEAD, Si2(NHEt)6; TEAS, Si(NHEt)4; Si3H8; SiHI3; 또는 SiH2I2 또는 이들의 임의의 조합 중 적어도 하나를 포함하되, Me는 메틸기를 나타내고, Et는 에틸기를 나타내며, iPr은 이소프로필기를 나타내고, tBu는 터트-부틸기를 나타내는, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 제2 가스는 질소 함유 반응물을 포함하는, 방법.
  15. 제14항에 있어서, 상기 질소 함유 반응물은 N2, NH3, N2O 및 NO2 중 하나 이상을 단독으로 또는 임의의 조합으로 포함하는, 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 제3 가스는 수소 함유 반응물을 포함하는, 방법.
  17. 제2항 내지 제16항 중 어느 한 항에 있어서, 상기 제1 플라즈마 전력은 약 100 내지 약 800 W인, 방법.
  18. 제2항 내지 제17항 중 어느 한 항에 있어서, 상기 제2 플라즈마 전력은 약 600 내지 약 1,500 W인, 방법.
  19. 제3항 내지 제18항 중 어느 한 항에 있어서, 상기 제3 플라즈마 전력은 약 300 내지 약 1,000 W인, 방법.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서, 상기 증착된 재료 층은 실리콘 질화물을 포함하는, 방법.
  21. 제1항 내지 제20항 중 어느 한 항에 있어서,
    상기 제1 가스를 상기 반응 챔버 내에 제공하는 단계;
    상기 제1 플라즈마 조건을 사용하여, 상기 제2 가스를 사용한 제1 활성화 종을 형성함으로써 증착된 재료의 다른 층을 형성하는 단계;
    상기 제2 플라즈마 조건을 사용하여, 상기 제2 가스를 사용한 제2 활성화 종을 형성함으로써 다른 처리된 층을 형성하는 단계; 및
    상기 제3 플라즈마 조건을 사용하여, 제3 가스를 사용한 활성화 종을 형성함으로써 다른 표면 개질 층을 형성하는 단계를 반복하는 것을 추가로 포함하는, 방법.
  22. 제1항 내지 제21항 중 어느 한 항의 방법에 따라 형성된 구조체.
  23. 시스템으로서,
    반응 챔버 및 전극을 포함한 반응기; 및
    증착 단계 동안 상기 전극에 제1 플라즈마 전력을 제공하고 처리 단계 동안 제2 플라즈마 전력을 제공하고 표면 개질 단계 동안 제3 플라즈마 전력을 제공하도록 구성된 제어기를 포함하는 시스템.
KR1020220140146A 2021-11-05 2022-10-27 박막 증착 방법 및 시스템 KR20230065900A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163276335P 2021-11-05 2021-11-05
US63/276,335 2021-11-05

Publications (1)

Publication Number Publication Date
KR20230065900A true KR20230065900A (ko) 2023-05-12

Family

ID=86187555

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220140146A KR20230065900A (ko) 2021-11-05 2022-10-27 박막 증착 방법 및 시스템

Country Status (4)

Country Link
US (1) US20230142899A1 (ko)
KR (1) KR20230065900A (ko)
CN (1) CN116092933A (ko)
TW (1) TW202328486A (ko)

Also Published As

Publication number Publication date
TW202328486A (zh) 2023-07-16
US20230142899A1 (en) 2023-05-11
CN116092933A (zh) 2023-05-09

Similar Documents

Publication Publication Date Title
US11908684B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11261523B2 (en) Method of depositing silicon oxide films
TWI787492B (zh) 使用矽氫鹵化物前驅物沉積SiN的方法
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
KR102451694B1 (ko) 기판 상의 구조물 형성 방법
US7148155B1 (en) Sequential deposition/anneal film densification method
US7482247B1 (en) Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7109129B1 (en) Optimal operation of conformal silica deposition reactors
US7790633B1 (en) Sequential deposition/anneal film densification method
CN113493906A (zh) 形成薄膜的方法
US7202185B1 (en) Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7135418B1 (en) Optimal operation of conformal silica deposition reactors
US7129189B1 (en) Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
TW202208665A (zh) 用於填充半導體基板上之三維結構中的間隙之方法
US7223707B1 (en) Dynamic rapid vapor deposition process for conformal silica laminates
KR20230065900A (ko) 박막 증착 방법 및 시스템
KR20220068484A (ko) 박막 증착 방법
US20240145236A1 (en) Substrate processing method
US20230343551A1 (en) Substrate processing method
US20230084552A1 (en) Topology-selective nitride deposition method and structure formed using same
US20230096453A1 (en) Substrate processing method
CN110832109A (zh) 氮含量高的氮化硅膜
TW202229612A (zh) 在部件的側壁上形成氮化矽的方法及系統
KR20220041751A (ko) 반도체 처리 방법
KR20230093782A (ko) 반도체 소자의 갭필 방법