KR20230038448A - Rf 복귀 경로의 임피던스의 제어 - Google Patents

Rf 복귀 경로의 임피던스의 제어 Download PDF

Info

Publication number
KR20230038448A
KR20230038448A KR1020230030567A KR20230030567A KR20230038448A KR 20230038448 A KR20230038448 A KR 20230038448A KR 1020230030567 A KR1020230030567 A KR 1020230030567A KR 20230030567 A KR20230030567 A KR 20230030567A KR 20230038448 A KR20230038448 A KR 20230038448A
Authority
KR
South Korea
Prior art keywords
parametric
coupled
return path
switch
return
Prior art date
Application number
KR1020230030567A
Other languages
English (en)
Other versions
KR102624267B1 (ko
Inventor
알렉세이 마라크타노브
라진더 딘드사
켄 루체시
뤽 알바레데
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230038448A publication Critical patent/KR20230038448A/ko
Application granted granted Critical
Publication of KR102624267B1 publication Critical patent/KR102624267B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Networks Using Active Elements (AREA)
  • Burglar Alarm Systems (AREA)
  • Input Circuits Of Receivers And Coupling Of Receivers And Audio Equipment (AREA)

Abstract

무선 주파수 (RF) 복귀 경로의 임피던스를 제어하기 위한 시스템은 매칭 회로를 포함하는 매칭박스를 포함한다. 시스템은 RF 공급 경로의 제 1 부분을 통해서 매칭박스에 RF 공급 신호를 공급하도록 매칭박스에 커플링된 (coupled) 무선 주파수 (RF) 생성기를 더 포함한다. RF 생성기는 RF 복귀 경로의 제 1 부분을 통해서 RF 복귀 신호를 수신하도록 매칭박스에 커플링된다. 시스템은 스위칭 회로; 및 RF 복귀 경로의 제 2 부분을 통해서 스위칭 회로에 커플링된 플라즈마 반응기를 더 포함한다. 플라즈마 반응기는 RF 공급 경로의 제 2 부분을 통해서 매칭 회로에 커플링된다. 시스템은 스위칭 회로에 커플링된 제어기를 포함하며, 제어기는 RF 복귀 경로의 임피던스를 변화시키기 위해서 튜닝 레시피 (tune recipe) 에 기초하여서 스위칭 회로를 제어하도록 구성된다.

Description

RF 복귀 경로의 임피던스의 제어{CONTROL OF IMPEDANCE OF RF RETURN PATH}
본 실시예들은 무선 주파수 (RF) 복귀 경로의 임피던스를 제어하는 것에 관한 것이다.
플라즈마 기반 시스템들은 신호를 생성하는데 사용되는 공급 소스를 포함한다. 플라즈마 기반 시스템들은 플라즈마를 생성하기 위해서 신호들을 수신하는 챔버를 더 포함한다. 플라즈마는 웨이퍼를 세정하는 동작, 웨이퍼 상에 산화물들 및 박막들을 증착하는 동작들, 웨이퍼의 일부 또는 산화물들 및 박막들의 일부를 에칭하는 동작을 포함하는 다양한 동작들을 위해서 사용된다.
플라즈마 에칭 또는 증착의 균일성을 제어할 수 있기 위해서, 플라즈마 내의 스탠딩파 (standing wave) 등과 같은 플라즈마의 일부 특성들은 제어하기가 어렵다. 플라즈마 특성들을 제어하는 것의 어려움은 웨이퍼 상의 재료의 증착 또는 웨이퍼의 재료의 에칭에서의 불균일성을 낳는다. 예를 들어서, 웨이퍼는 그의 중앙으로부터 제 1 거리에서 이 중앙으로부터 떨어진 제 2 거리에서보다 많이 에칭된다. 제 2 거리는 제 1 거리보다 중앙으로부터 더 멀리 떨어져 있다. 다른 실례로서, 웨이퍼는 제 2 거리에서보다 제 1 거리에서 덜 에칭된다. 또 다른 실례에서, 웨이퍼 상에서 제 2 거리에서 증착되는 재료량보다 제 1 거리에서 보다 많은 양의 재료가 증착된다. 다른 실례로서, 웨이퍼 상에서 제 1 거리에서 증착되는 재료량보다 제 2 거리에서 보다 많은 양의 재료가 증착된다. 이러한 에칭 불균일성은 웨이퍼의 M-형상의 에칭 또는 W-형상의 에칭을 낳는다. 에칭 또는 증착에서의 불균일성은 감소된 웨이퍼 수율을 낳는다.
이러한 맥락에서, 본 개시에서 기술되는 실시예들이 나타난다.
무선 주파수 (RF) 복귀 경로의 임피던스를 제어하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 본 개시의 실시예들이 제공한다. 본 실시예들은 프로세서, 장치, 시스템, 하드웨어 피스, 컴퓨터 판독가능한 매체 상의 방법과 같은 다양한 방식들로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들이 이하에서 기술된다.
몇몇 실시예들에서, 균일성이 플라즈마 툴 내의 RF 복귀 경로의 임피던스를 제어함으로써 달성된다. 임피던스는 플라즈마 툴의 임피던스 매칭 회로와 플라즈마 툴의 플라즈마 반응기 간의 커패시턴스 및/또는 인덕턴스를 제어함으로써 제어된다. 임피던스가 제어되는 때에, 균일성이 달성된다.
다양한 실시예들에서, 무선 주파수 (RF) 복귀 경로의 임피던스를 제어하기 위한 시스템이 기술된다. 시스템은 매칭 회로를 포함하는 매칭박스를 포함한다. 시스템은 RF 공급 경로의 제 1 부분을 통해서 매칭박스에 RF 공급 신호를 공급하도록 매칭박스에 커플링된 (coupled) 무선 주파수 (RF) 생성기를 더 포함한다. RF 생성기는 RF 복귀 경로의 제 1 부분을 통해서 RF 복귀 신호를 수신하도록 매칭박스에 커플링된다. 시스템은 스위칭 회로; 및 RF 복귀 경로의 제 2 부분을 통해서 스위칭 회로에 커플링된 플라즈마 반응기를 더 포함한다. 플라즈마 반응기는 RF 공급 경로의 제 2 부분을 통해서 매칭 회로에 커플링된다. 시스템은 스위칭 회로에 커플링된 제어기를 포함하며, 제어기는 RF 복귀 경로의 임피던스를 변화시키기 위해서 튜닝 레시피 (tune recipe) 에 기초하여서 스위칭 회로를 제어하도록 구성된다.
다양한 실시예들에서, RF 복귀 신호의 임피던스를 제어하는 시스템은 RF 로드 및 접지된 RF 터널을 포함하는 무선 주파수 (RF) 전송 라인을 포함한다. 시스템은 플라즈마 반응기; 및 RF 로드를 통해서 플라즈마 반응기에 커플링된 임피던스 매칭 회로를 가지는 하우징을 포함한다. 상기 RF 전송 라인은 RF 공급 신호를 RF 로드를 통해서 플라즈마 반응기에 공급하고 상기 RF 복귀 신호를 플라즈마 반응기로부터 접지된 RF 터널을 통해서 수신하는데 사용된다. 시스템은 RF 전송 라인의 RF 터널과 임피던스 매칭 회로의 하우징 간에 커플링되어 RF 터널과 하우징 간의 RF 복귀 신호의 임피던스를 제어하기 위한 스위칭 회로를 포함한다.
몇몇 실시예들에서, RF 복귀 경로의 임피던스를 제어하는 방법은 무선 주파수 (RF) 복귀 신호를 플라즈마 반응기로부터 RF 전송 라인의 RF 복귀 경로 부분을 통해서 수신하는 단계를 포함한다. 이 방법은 측정가능한 지수 (factor) 를 달성하도록 RF 복귀 경로 부분을 포함하는 RF 복귀 경로의 임피던스를 수정하는 단계; 및 수정된 RF 복귀 신호를 RF 케이블 시스를 통해서 RF 생성기로 전송하는 단계를 포함한다.
상술된 실시예들의 몇몇의 일부 장점들은 기판에 적용되는 에칭 레이트들 또는 증착 레이트들의 균일도의 제어를 포함한다. 예를 들어서, RF 복귀 경로의 임피던스는 균일성을 달성하게 스위칭 회로에 의해서 제어된다. 스위칭 회로의 인덕턴스, 커패시턴스 또는 이들의 조합이 RF 복귀 경로의 임피던스를 제어하도록 변화된다. RF 복귀 경로는 RF 신호를 생성하는데 사용되는 RF 생성기와 플라즈마 챔버의 갭 간에서 형성된다. 균일도 제어는 증착 레이트들 또는 에칭 레이트들에서의 불균일성을 저감시킨다.
상술된 실시예들의 몇몇의 추가 장점들은 에칭 레이트들 또는 증착 레이트들에서의 사전결정된 균일도를 달성하게 플라즈마 시스템의 RF 복귀 경로의 임피던스를 제어하는 것을 포함한다. 사전결정된 균일도는 튜닝 레시피 내에 저장된다. 또한, 스위칭 회로의 인덕턴스, 커패시턴스 또는 이들의 조합과 균일도 간의 일대일 대응관계가 튜닝 레시피 내에 저장된다. 프로세서는 튜닝 레시피 내의 균일도를 달성하도록 프로그래밍된다. 프로세서는 예를 들어서, 에칭 레이트, 또는 증착 레이트 또는 에칭 레이트들의 균일도, 또는 증착 레이트들의 균일도 또는 이들의 조합 등과 같은 측정가능한 지수에 대응하는 인덕턴스, 커패시턴스 또는 이들의 조합을 튜닝 레시피로부터 검색하고 하나 이상의 신호들을 스위칭 회로의 대응하는 하나 이상의 스위치들에 전송한다. 하나 이상의 스위치들은 튜닝 레시피의 대응하는 인덕턴스, 커패시턴스 또는 이들의 조합을 달성하도록 스위칭 회로의 인덕턴스, 커패시턴스 또는 이들의 조합을 변화시키는 신호들에 의해서 개방 또는 폐쇄된다. 스위칭 회로의 인덕턴스, 커패시턴스 또는 이들의 조합에서의 변화는 프로세서가 기판을 에칭하는 에칭 레이트들 또는 기판 상에 재료들을 증착하는 증착 레이트들에서의 균일도를 달성하게 한다.
다른 측면들이 첨부 도면들과 함께 취해진, 다음의 상세한 설명으로부터 명백해질 것이다.
실시예들이 첨부 도면들을 함께 취해지는 다음의 설명을 참조함으로써 이해된다.
도 1은 본 개시에서 기술되는 일 실시예에 따른, 60 MHz의 고차 고조파 (high order harmonic) 에서의 정규화된 전압에서의 불균일도를 예시하는데 사용되는 그래이다.
도 2는 본 개시에서 기술되는 일 실시예에 따른, 무선 주파수 (RF) 신호의 주파수 변화 및 갭 변화와 함께 스탠딩 파장 λ 변화를 예시하는 그래프이다.
도 3은 본 개시에서 기술되는 일 실시예에 따른, 상부 전극의 입력부에 접속된 필터의 커패시턴스 값 변화 및 RF 신호의 고조파 증가와 함께 에칭 레이트에서의 불균일도 변화를 예시하는 그래프이다.
도 4a는 본 개시에서 기술되는 일 실시예에 따른, 에칭 레이트들 또는 증착 레이트들에서의 불균일도를 제어하도록 RF 복귀 경로의 임피던스를 제어하기 위한 시스템의 도면이다.
도 4b는 본 개시에서 기술되는 일 실시예에 따른, 에칭 레이트들 또는 증착 레이트들에서의 불균일도를 제어하도록 RF 복귀 경로의 임피던스를 제어하기 위한 시스템의 도면이다.
도 5a는 본 개시에서 기술되는 일 실시예에 따른, RF 복귀 경로의 임피던스를 제어하는데 사용되는 스위칭 회로의 도면이다.
도 5b는 본 개시에서 기술되는 일 실시예에 따른, 파라메트릭 요소 (parametric element) 로서의 커패시터의 도면이다.
도 5c는 본 개시에서 기술되는 일 실시예에 따른, 파라메트릭 요소 (parametric element) 로서의 인덕터의 도면이다.
도 5d는 본 개시에서 기술되는 일 실시예에 따른, 파라메트릭 요소 (parametric element) 로서의 커패시터 및 인덕터의 조합의 도면이다.
도 6은 본 개시에서 기술되는 일 실시예에 따른, RF 신호의 RF 복귀 경로의 일부를 예시하기 위한 시스템의 도면이다.
도 7은 본 개시에서 기술되는 일 실시예에 따른, RF 복귀 신호의 RF 복귀 경로의 일부를 예시하는 시스템의 도면이다.
도 8은 본 개시에서 기술되는 일 실시예에 따른, RF 복귀 신호의 임피던스를 수정하기 위해서 매칭박스 하우징 (matchbox housing) 과 RF 터널 간에 접속된 인덕터 시스템의 도면이다.
도 9는 본 개시에서 기술되는 일 실시예에 따른, 상이한 개수들의 인덕터 스트랩들에 있어서 기판의 반경에 대하여 기판을 에칭하는 에칭 레이트를 플롯팅한 그래프이다.
도 10은 본 개시에서 기술되는 일 실시예에 따른, 2 개의 상이한 개수들의 인덕터 스트랩들에 있어서 기판의 반경과 기판을 에칭하는 에칭 레이트 간의 관계를 도시한 그래프이다.
도 11은 본 개시에서 기술되는 일 실시예에 따른, 상이한 개수들의 인덕터 스트랩들에 있어서 RF 복귀 신호의 주파수에 대한 RF 복귀 신호의 위상을 플롯팅한 그래프이다.
도 12는 본 개시에서 기술되는 일 실시예에 따른, 에칭 레이트 또는 증착 레이트, 또는 에칭 레이트들에서의 균일성 또는 증착 레이트들에서의 균일성을 달성하기 위한 피드백 제어를 예시하는데 사용되는 시스템의 실시예의 도면이다.
다음의 실시예들이 무선 주파수 (RF) 복귀 경로의 임피던스를 제어하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이러한 특정 세부사항들 전부 또는 일부 없이도 실시될 수도 있음이 명백할 것이다. 다른 실례들에서, 잘 알려진 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다.
도 1은 60 MHz의 고차 고조파 (high order harmonic) 에서의 정규화된 전압에서의 불균일도를 예시하는데 사용되는 그래프 (100) 의 실시예이다. 고차 고조파는 플라즈마 내에 스탠딩파 전압을 생성하고 이 스탠딩파 전압은 기판을 에칭하거나 기판 상에 재료를 증착할 시에 불균일성을 낳는다.
다양한 실시예들에서, 고차 고조파는 3차 이상의 고조파이다. 몇몇 실시예들에서, 고차 고조파는 2차 이상의 고조파이다.
그래프 (100) 는 RF 신호의 주파수에 대한 플라즈마 챔버의 상부 전극의 입력부에서 측정된 RF 신호의 정규화된 전압 진폭을 플롯팅한다. 몇몇 실시예들에서, 전압은 상부 전극의 입력부에서 측정되고 정규화된 전압을 생성하도록 정규화된다.
그래프 (100) 에서 도시된 바와 같이, RF 신호의 3차 고조파에서, 사용되는 상부 전극의 입력부에 접속된 필터의 3 개의 상이한 커패시턴스 값들에 있어서 상부 전극의 입력부에서 측정된 전압들에서의 불균일함이 존재한다. 예를 들어서, 막대 B3C1는 필터의 커패시턴스 값 C1에 대응하며, 막대 B3C2는 필터의 커패시턴스 값 C2에 대응한다.
몇몇 실시예들에서, 상부 전극의 출력부는 상부 전극의 하단 표면에 있다. 상단 표면은 상부 전극의 하단 표면과 대향하여 위치하며 상부 전극의 입력부에 있다. 상부 전극의 하단 표면은 플라즈마 챔버 내의 갭을 대면한다. 갭은 상부 전극과 척, 예를 들어서 정전 척 (ESC) 등 간에 형성된다. 척은 플라즈마 챔버 내에 위치하며 상부 전극과 대면하는 하부 전극을 포함한다. 척은 하부 전극 아래에 위치한 설비 플레이트 상에 배치된다.
또한, 그래프 (100) 에서 도시된 바와 같이, RF 신호의 5차 고조파에서 그리고 10차 고조파에서, 상부 전극에서 측정된 전압에서의 불균일성이 존재한다. 예를 들어서, 막대 B5C1는 필터의 커패시턴스 값 C1에 대응하고, 막대 B5C2는 필터의 커패시턴스 값 C2에 대응하고, 막대 B5C3는 필터의 커패시턴스 값 C3에 대응한다. 다른 실례에서, 10차 고조파에서, 막대 B10C2는 커패시턴스 값 C2에 대응하고, 막대 B10C3는 커패시턴스 값 C3에 대응한다.
또한, 그래프 (100) 에서, 커패시턴스 값 C1에 대응하는 막대 B1C1, 커패시턴스 값 C2에 대응하는 막대 B1C2, 및 커패시턴스 값 C3에 대응하는 막대 B1C3가 도시된다.
또한, 이하에서 제공된 표 1은 RF 신호의 주파수 증가와 함께 플라즈마의 스탠딩 파장 λ의 감소를 예시한다.
주파수
(MHz)
스탠딩 파장(cm) 스탠딩 1/4 파장 (cm)
(기판 상의 패턴 반경)
60 113 28
120 43 11
180 24 6
240 16 4
300 12 3
360 9 2.3
420 7 1.8
480 6 1.5
600 3.5 0.87
다양한 실시예들에서, 표 1은 플라즈마 챔버 내에서, 상부 전극과 하부 전극 간의 갭 및 RF 신호의 전압에 대해서 생성됨이 유의되어야 한다. 몇몇 실시예들에서, 플라즈마 내의 스탠딩 파장은 인가된 RF 전압, RF 신호의 주파수 및 갭의 함수로서 결정된다. 이 함수는 다음의 등식을 사용하여서 예시된다:λ/λ0 ≒ 40V0 1 /10I1/2f-2/5 (1)
여기서, V0는 인가된 RF 전압이며, I는 갭의 길이이며, λ0 는 진공에서 측정된 스탠딩 파장이며, f는 RF 신호의 주파수이다. 갭의 길이 I는 상부 전극과 하부 전극 간의 거리이다. 인가된 RF 전압은 플라즈마의 전극에 인가된다.
RF 신호의 고조파 주파수의 증가와 함께 스탠딩 파장 λ의 감소는 에칭 레이트들 또는 증착 레이트들에서의 불균일성을 낳는다. 에칭 레이트들에서의 불균일성은 플라즈마 챔버 내에서의, 예를 들어서 웨이퍼 또는 집적 회로들이 제조된 웨이퍼와 같은 기판을 에칭하는 레이트들에서의 불균일성을 포함한다. 또한, 증착 레이트들에서의 불균일성은 기판 상에 재료들을 증착하는 레이트들에서의 불균일성을 포함한다. 에칭 레이트들에서의 불균일성은 이하에서 도 3에서 예시된다.
도 2는 무선 주파수 (RF) 신호의 주파수 변화 및/또는 상부 전극과 하부 전극 간의 갭 변화와 함께 스탠딩 파장 λ 변화를 예시하는 그래프 (110) 의 실시예이다. 그패프 (110) 는 RF 신호의 파장에 대한 스탠딩 파장 λ을 플롯팅한다. 그래프 (110) 에서, RF 신호의 주파수는 MHz로 플롯팅되고, 스탠딩 파장은 미터 (m) 로 플롯팅된다. 그래프 (110) 에서 볼 수 있는 바와 같이, 1 cm, 3 cm 및 5 cm의 각 갭에 있어서, RF 신호의 주파수가 증가하면 스탠딩 파장 λ이 감소한다.
도 3은 기판의 반경에 따른 거리의 변화와 함께 에칭 레이트들에서의 불균일도를 예시하는 그래프 (121) 의 실시예이다. 그래프 (121) 는 3 개의 상이한 커패시턴스 값들 C1 내지 C3에 있어서 기판의 반경에 대한 Å/분으로 측정된 에칭 레이트를 플롯팅한다. 기판의 반경은 밀리미터 (mm) 로 측정된다.
그래프 (121) 에서 커패시턴스 값 C1에 대응하는 커브는 RF 신호의 3차 고조파 (third harmonic) 의 결과로서 생성된다는 것이 주목된다. 그래프 (121) 에서 커패시턴스 값들 C2 및 C3에 대응하는 커브들은 RF 신호의 2차 고조파 (second harmonic) 의 결과로서 생성된다. 그래프 (121) 에서 커패시턴스 값들 C2 및 C3에 대응하는 커브들은 그래프 (121) 에서 커패시턴스 값 C1에 대응하는 커브에 비해서 보다 많은 정도의 균일성을 갖는다.
그래프 (121) 는 갭의 값, 또는 플라즈마 챔버의 압력 또는 플라즈마 챔버에 공급되는 하나 이상의 프로세스 가스들의 조합, 또는 프로세스 가스들이 공급되는 시간, 온 상태에 있는 RF 생성기의 정체, 또는 이들의 조합 등을 포함하는 프로세스 조건에 대해서 생성된다는 것이 주목되어야 한다. 생성된 RF가 파워 온되고 (powered on) 전력을 공급하고 있을 경우에 RF 생성기는 온 상태에 있다.
RF 생성기들의 실례들은 x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기를 포함한다. x, y 및 z의 실례들은 2, 27 및 60을 포함한다. RF 생성기의 동작 주파수는 한정적이지 않고 해당 주파수의 사전결정된 동작 범위 내에 있는 다른 주파수들을 포함한다는 것이 주목되어야 한다. 예를 들어서, 생성기가 2 MHz RF 생성기로서 본 명세서에서 참조되지만, 생성기는 1 내지 3 MHz에서 동작한다. 다른 실례로서, 생성기가 27 MHz RF 생성기로서 본 명세서에서 참조되지만, 생성기는 25 내지 29 MHz에서 동작한다. 또 다른 실례로서, 생성기가 60 MHz RF 생성기로서 본 명세서에서 참조되지만, 생성기는 57 내지 63 MHz에서 동작한다.
도 4a는 시스템 (200) 의 RF 복귀 경로의 임피던스를 제어하기 위한 시스템 (200) 의 실시예의 도면이다. 시스템 (200) 은 RF 생성기 (204), RF 케이블 시스템 (283), 매칭박스 (202), RF 전송 라인 (282), 플라즈마 반응기 (218) 및 제어기 (253) 를 포함한다. RF 케이블 시스템 (283) 은 RF 생성기 (204) 를 매칭박스 (202) 에 커플링시키고 RF 전송 라인 (282) 은 매칭박스 (202) 를 플라즈마 반응기 (218) 에 커플링시킨다.
제어기는, 본 명세서에서 사용되는 바와 같이, 프로세서 및 메모리 디바이스를 포함한다. 본 명세서에서 사용되는 바와 같이, 프로세서는 중앙 프로세싱 유닛, 또는 마이크로프로세서, 또는 애플리케이션 특정 집적 회로, 또는 디지털 신호 프로세서 또는 프로그램가능한 로직 디바이스를 지칭한다. 메모리 디바이스의 실례들은 랜덤 액세스 메모리 (RAM), 및 판독 전용 메모리 (ROM) 를 포함한다. 몇몇 실시예들에서, 메모리 디바이스는 플래시 메모리 또는 RAID (redundant array of storage disks) 또는 이들의 조합이다.
RF 생성기 (204) 의 실례들은 x, y 또는 z MHz RF 생성기를 포함한다. 몇몇 실시예들에서, 임의의 개수의 RF 생성기들, 예를 들어서, x MHz RF 생성기, y MHz RF 생성기, 및/또는 z MHz RF 생성기 등이 매칭박스 (202) 에 커플링된다.
RF 케이블 시스템 (283) 은 RF 케이블 (232) 및 RF 케이블 시스 (sheath) (238) 를 포함한다. RF 케이블 시스 (238) 는 RF 케이블 (232) 을 보호하기 위해서 RF 케이블 (232) 을 둘러싼다. 몇몇 실시예들에서, 본 명세서에서 참조되는 RF 케이블 및 RF 케이블 시스는 도전체, 예를 들어서, 금속 등으로 이루어진다. 금속의 실례들은 구리, 또는 알루미늄 또는 이들의 조합 등을 포함한다. 몇몇 실시예들에서, RF 케이블 시스 (238) 는 RF 케이블 (232) 을 둘러싼다. RF 케이블 시스템 (283) 은 RF 생성기 (204) 및 매칭박스 (202) 에 커플링된다.
마찬가지로, RF 전송 라인 (282) 은 RF 로드 (rod) (234) 및 RF 터널 (240) 을 포함한다. RF 터널 (240) 은 RF 로드 (rod) (234) 를 둘러싼다. 다양한 실시예들에서, RF 터널 (240) 은 금속으로 이루어지며, RF 로드 (rod) (234) 를 둘러싸며, 절연체 재료에 의해서 RF 로드 (234) 로부터 분리된다. RF 전송 라인 (282) 은 매칭박스 (202) 및 플라즈마 반응기 (218) 에 커플링된다. 몇몇 실시예들에서, RF 터널 (240) 은 접지되는데, 예를 들어서 접지 전위에 커플링되거나, 기준 전위에 커플링되거나 제로 전위 등에 커플링된다.
몇몇 실시예들에서, 기준 전압은 비-제로 전압이다. 다양한 실시예들에서, 절연체는 RF 로드 (234) 를 둘러싸며, RF 터널 (240) 은 절연체를 둘러싼다. 절연체는 RF 로드 (234) 와 RF 터널 (240) 간에 위치한다.
몇몇 실시예들에서, RF 케이블 (232), RF 케이블 시스 (238), RF 로드 (234) 및 RF 터널 (240) 각각은 임의의 형상, 예를 들어서 원형, 다각형, 정방형 등의 단면을 갖는다.
매칭박스 (202) 는 하우징 (224) 을 포함한다. 몇몇 실시예들에서, 하우징 (224) 은 매칭 회로 (214) 를 보호하기 위해서 매칭 회로 (214) 를 둘러싸고 봉입한다. 또한, 하우징 (224) 은 스위칭 회로 (216) 를 보호하기 위해서 스위칭 회로 (216) 를 둘러싸고 봉입한다. 몇몇 실시예들에서, 스위칭 회로 (216) 는 하우징 (224) 의 벽들을 형성하는데 사용된, 예를 들어서 스크루들, 볼트들, 등과 같은 연결 메카니즘들을 개방한 후에 하우징 (234) 으로부터 접근된다. 매칭 회로 (214) 는 RF 케이블 (232) 및 RF 로드 (234) 에 커플링된다. 또한, 스위칭 회로 (216) 는 접속부 (225) 를 통해서 RF 터널 (240) 및 하우징 (224) 에 커플링되며, 접속부는 몇몇 실시예들에서 하나 이상의 RF 스트랩들, 또는 하나 이상의 RF 로드들 또는 하나 이상의 RF 스트랩들과 하나 이상의 RF 로드들의 조합을 포함한다.
매칭 회로 (214) 는 소스의 임피선스를 부하의 임피던스와 매칭시키기 위해서 예를 들어서, 저항기들, 또는 커패시터들 또는 인덕터들 또는 이들의 조합과 같은 회로 요소들의 조합을 포함한다. 소스는 RF 신호를 매칭 회로 (214) 에 공급하고, 부하는 매칭 회로 (214) 에 의해서 공급된 RF 신호를 소비한다. 매칭 회로 (214) 에 의해서 소스로부터 수신된 RF 신호는, RF 로드 (234) 를 통해서 플라즈마 반응기 (218) 로 공급되는 RF 신호를 생성하게 매칭 회로 (214) 에 의해서 조합된다.
소스의 실례들은 x,y 및 z MHZ RF 생성기들 중 하나 이상 및 RF 생성기들을 매칭 회로 (214) 에 커플링하는 RF 케이블 시스템들 중 하나 이상 및 RF 생성기와 매칭 회로 (214) 간에 커플링된 임의의 다른 회로들을 포함한다. 부하의 실례는 RF 전송 라인 (282) 및 플라즈마 반응기 (218) 및 임의의 다른 회로, 예를 들어서 플라즈마 반응기 (218) 와 매칭 회로 (214) 간에 커플링된 스위칭 회로 (216) 등을 포함한다.
RF 생성기 (204) 는 RF 케이블 (232) 을 통해서 매칭 회로 (214) 에 공급되는, 예를 들어서 전달되는 등으로 되는 RF 신호 (206) 를 생성한다. 예를 들어서, RF 생성기 (204) 의 구동기 및 증폭기 시스템은 RF 신호 (206) 를 생성한다. 매칭 회로 (214) 는 하나 이상의 다른 RF 생성기들로부터 수신된 하나 이상의 RF 신호들과 함께 RF 신호 (206) 를 결합하여서 RF 공급 신호 (264) 를 생성하고, 이 RF 공급 신호 (264) 는 RF 로드 (234) 를 통해서 플라즈마 반응기 (218) 로 공급된다. 다양한 실시예들에서, 매칭 회로 (214) 는 하나 이상의 다른 RF 생성기들로부터 수신된 하나 이상의 RF 신호들과 함께 RF 신호 (206) 를 결합하여서 소스의 임피던스를 부하의 임피던스와 매칭시킨다. 몇몇 실시예들에서, RF 신호 (264) 및 RF 신호 (210) 는 소스의 임피던스가 부하의 임피던스와 매칭할 때에 생성된다.
플라즈마 반응기 (218) 의 플라즈마 챔버에는 예를 들어서, 산소 함유 가스, 또는 산소 또는 불소 함유 가스 또는 테트라플루오로메탄 (CF4), 또는 설퍼 헥사플루오라이드 (SF6), 또는 헥사플루오로에탄 (C2F6) 또는 이들의 조합 등과 같은 프로세스 가스가 제공된다. RF 공급 신호 (264) 는 플라즈마 챔버 내에 플라즈마를 생성하게 프로세스 가스를 점화한다.
플라즈마는 플라즈마 반응기 (218) 로부터 RF 생성기 (204) 를 향해서 반사되는 복귀 RF 신호 (210) 를 생성한다. 복귀 RF 신호 (210) 는 RF 터널 (240) 을 통해서 스위칭 회로 (216) 에 전달된다.
스위칭 회로 (216) 는 RF 복귀 신호 (263) 를 생성하도록 RF 복귀 신호 (210) 의 임피던스를 제어, 예를 들어서 수정 등을 한다. 예를 들어서, 스위칭 회로 (216) 는 RF 복귀 신호 (210) 의 커패시턴스, 인덕턴스 또는 이들의 조합을 변화시킨다. 다른 실례로서, 스위칭 회로 (216) 의 스위치들 (S1 내지 S8) 중 하나 이상은 개방되고, 스위치들 (S1 내지 S8) 중 나머지는 RF 복귀 신호 (210) 의 임피던스를 수정하도록 개방된다.
스위칭 회로 (216) 의 스위치가 폐쇄되면, 파라메트릭 요소 (P), 예를 들어서 인덕터, 커패시터 또는 이들의 조합이 스위치를 통해서 RF 터널 (240) 에 커플링되고 RF 복귀 신호 (210) 의 임피던스를 수정한다. 예를 들어서, 스위칭 회로 (216) 의 스위치가 폐쇄되면, 스위치에 커플링된 파라메트릭 요소의 임피던스가 플라즈마 반응기 (218) 로부터 수신된 RF 복귀 신호 (210) 의 임피던스로부터 가산 또는 감산된다. 또한, 스위칭 회로 (216) 의 스위치가 폐쇄되면, 파라메트릭 요소는 접속부 (225) 를 통해서, 접지된 하우징 (224) 의 부분에 커플링된다. 한편, 스위칭 회로 (216) 의 스위치가 개방되면, 스위치에 커플링된 파라메트릭 요소는 RF 터널 (240) 로부터 디커플링된다 (decoupled).
RF 복귀 신호 (263) 는 접속부 (225) 및 이 접속부 (225) 에 접속된, 하우징 (224) 의 부분 및 RF 케이블 시스 (238) 를 통해서 RF 생성기 (204) 에 전달된다. 예를 들어서, RF 복귀 신호 (263) 는 RF 케이블 시스 (238) 를 통해서 RF 생성기 (204) 의 RF 구동기 및 증폭기 시스템을 향해서 반사된다.
몇몇 실시예들에서, RF 공급 경로 (219) 는 제 1 부분 (219A), 매칭 회로 (214) 의 회로 요소들, 제 2 부분 (219B) 및 RF 로드 (234) 와 플라즈마 반응기 (218)의 RF 로드 간의 접속부를 포함한다. RF 공급 경로 (219) 는 도 4a에서 RF 생성기 (204) 로부터 플라즈마 반응기 (218) 로 이동하는 점들에 의해서 표시된다. RF 공급 경로 (219) 의 제 1 부분 (219A) 은 RF 케이블 (232) 을 포함하며, RF 공급 경로 (219) 의 제 2 부분 (219B) 은 RF 로드 (234) 를 포함한다. 플라즈마 반응기 (218) 의 RF 로드는 척의 하부 전극에 커플링된다. 매칭 회로 (214) 의 회로 요소들의 실례들은 인덕터들, 커패시터들, 인덕터를 다른 인덕터 또는 커패시터와 커플링하는 컨덕터 (conductor), 또는 이들의 조합을 포함한다.
다양한 실시예들에서, RF 복귀 경로 (221) 의 적어도 일부는 접지되는데, 예를 들어서 접지 전압에 커플링되거나, 기준 전압에 커플링되거나 제로 전압 등에 커플링된다. RF 복귀 경로 (221) 는 제 1 부분 (221A) 및 제 2 부분 (221B) 을 포함한다. RF 복귀 경로 (221) 는 도 4a에서 플라즈마 반응기 (214) 로부터 RF 생성기 (204) 로 이동하는 점들을 따라서 연장된다. RF 복귀 경로 (221) 의 제 1 부분 (221A) 은 RF 케이블 시스 (238) 를 포함하고, RF 복귀 경로 (221) 의 제 2 부분 (221B) 은 RF 터널 (240) 을 포함한다.
몇몇 실시예들에서, RF 복귀 경로 (221) 는 플라즈마 챔버의 C-슈라우드, 플라즈마 반응기 (218) 의 접지 링, 플라즈마 반응기 (218) 의 RF 스트랩들, 플라즈마 반응기 (218) 의 하단 전극 하우징, 플라즈마 반응기 (218) 의 접지 쉴드, 제 2 부분 (221B), 스위칭 회로 (216), 접속부 (225), 접속부 (225) 를 제 1 부분 (221A) 에 접속시키는 하우징 (224) 의 접지된 부분 (221C) 및 제 1 부분 (221A) 을 포함한다.
다양한 실시예들에서, 제어기 (235) 는 스위칭 회로 (216) 에 커플링된다. 제어기 (253) 는 예를 들어서 프로세서 등과 같은 스위치 선택 회로 (287) 를 포함한다. 스위치 선택 회로 (287) 는 에칭 레이트 및/또는 균일성을 달성하도록, 스위칭 회로 (216) 의 파라메트릭 요소들 (P1 내지 P8) 중 하나 이상의 대응하는 것들을 RF 터널 (240) 에 커플링하도록 스위치들 (S1 내지 S8) 중 하나 이상을 선택하거나, 스위칭 회로 (216) 의 파라메트릭 요소들 (P1 내지 P8) 중 하나 이상의 대응하는 것들을 스위치들 (S1 내지 S8) 로부터 선택해제하도록 스위치들 (S1 내지 S8) 중 하나 이상을 선택해제한다. 스위치들 (S1 내지 S8) 중 하나 이상이 파라메트릭 요소들 (P1 내지 P8) 중 하나 이상의 대응하는 것들에 커플링 또는 디커플링되면, RF 복귀 신호 (210) 의 임피던스, 인덕턴스, 커패시턴스 또는 이들의 조합이 기판을 에칭하는 에칭 레이트 및/또는 기판을 에칭하는 에칭 레이트들에서의 균일성을 달성하게 제어된다.
이러한 실시예들에서, 스위치 선택 회로 (287) 는 폐쇄될, 예를 들어서 커플링 등 될 또는 개방될, 예를 들어서 디커플링 등 될 스위치들 (S1 내지 S8) 중 하나 이상을 식별하기 위해서 튜닝 레시피 (289) 를 적용한다. 튜닝 레시피 (289) 는 제어기 (253) 의 메모리 내에 저장된다. 튜닝 레시피 (289) 는 에칭 레이트들 (E1 내지 E4) 및 튜닝 파라미터들 (T1 내지 T4) 간의 대응관계를 포함한다. 예를 들어서, 에칭 레이트 E1은 튜닝 파라미터 T1으로 맵핑되며, 에칭 레이트 E2은 튜닝 파라미터 T2으로 맵핑되며, 에칭 레이트 E3은 튜닝 파라미터 T3으로 맵핑되며, 에칭 레이트 E4은 튜닝 파라미터 T4으로 맵핑된다. 튜닝 레시피 (289) 는 에칭 레이트들에서의 균일도들 (U1 내지 U4) 및 튜닝 파라미터들 (T1 내지 T4) 간의 대응관계를 포함한다. 예를 들어서, 에칭 레이트들에서의 균일도 U1은 튜닝 파라미터 T1으로 맵핑되며, 에칭 레이트들에서의 균일도 U2은 튜닝 파라미터 T2으로 맵핑되며, 에칭 레이트들에서의 균일도 U3은 튜닝 파라미터 T3으로 맵핑되며, 에칭 레이트들에서의 균일도 U4은 튜닝 파라미터 T4으로 맵핑된다.
몇몇 실시예들에서, 에칭 레이트, 또는 에칭 레이트들에서의 균일도, 또는 증착 레이트, 또는 증착 레이트들에서의 균일도는 측정가능한 지수 (factor) 로서 본 명세서에서 지칭된다.
튜닝 파라미터의 실례들은 임피던스, 또는 인덕턴스 (L), 또는 커패시턴스 (C), 또는 전압, 또는 전류 또는 복소 전압 및 전류 또는 이들의 조합을 포함한다. 에칭 레이트들에서의 균일도의 실례들은 에칭 레이트와 기판의 반경 간의 관계를 표시하는 커브를 포함한다. 예를 들어서, 기판의 반경에 대한, 기판을 에칭하는 산화물 에칭 레이트를 플롯팅하는 각 커브가 기판들을 에칭할 시의 균일도를 나타낸다. 몇몇 실시예들에서, 에칭 레이트들에서의 균일도는 에칭 레이트의 사전결정된 표준 편차 내에서 있는 에칭 레이트들을 포함한다.
몇몇 실시예들에서, 스위칭 회로 (216) 는 임의의 개수의 스위치들 및 이와 동일한 개수의 파라메트릭 요소들을 포함한다는 것이 주목되어야 한다. 또한, 다양한 실시예들에서, 튜닝 레시피 (289) 는 임의의 개수의 에칭 레이트들과 이와 동일한 튜닝 파라미터들 간의 대응관계를 포함한다. 몇몇 실시예들에서, 튜닝 레시피 (289) 는 임의의 개수의 균일도들과 이와 동일한 튜닝 파라미터들 간의 대응관계를 포함한다.
몇몇 실시예들에서, 에칭 레이트들 (E1 내지 E4) 대신에, 증착 레이트들 (D1 내지 D4) 이 사용되고, 증착 레이트들은 튜닝 파라미터들 (T1 내지 T4) 과 일대일 대응을 갖는다. 예를 들어서, 증착 레이트 D1은 튜닝 파라미터 T1으로 맵핑되며, 증착 레이트 D2은 튜닝 파라미터 T2으로 맵핑되며 이러한 등으로 된다. 또한, 이러한 실시예들에서, 균일도들 (U1 내지 U4) 은 증착 레이트들에서의 균일도들이며, 각 균일도는 튜닝 파라미터들 (T1 내지 T4) 과 일대일 대응을 갖는다. 예를 들어서, 증착 레이트들에서의 균일도 U1은 튜닝 파라미터 T1으로 맵핑되며, 증착 레이트들에서의 균일도 U2은 튜닝 파라미터 T2으로 맵핑되며, 증착 레이트들에서의 균일도 U3은 튜닝 파라미터 T3으로 맵핑되며, 증착 레이트들에서의 균일도 U4은 튜닝 파라미터 T4으로 맵핑된다. 몇몇 실시예들에서, 기판의 반경에 대한, 기판 상에 산화물을 증착하는 산화물 증착 레이트를 플롯팅하는 각 커브가 기판 상에서의 증착 시의 균일도를 나타낸다. 몇몇 실시예들에서, 증착 레이트들에서의 균일도는 증착 레이트의 사전결정된 표준 편차 내에서 있는 증착 레이트들을 포함한다.
도 4b는 RF 복귀 경로의 임피던스를 제어하기 위한 시스템 (300) 의 실시예의 도면이다. 시스템 (300) 은 RF 생성기 (204), RF 케이블 시스템 (283), 매칭박스 (202), RF 전송 라인 (282), 플라즈마 반응기 (218) 및 제어기 (253) 를 포함한다. 시스템 (300) 은 스위칭 회로 (216) 가 매칭박스 (230) 의 하우징 (226) 외측에 위치하는 것을 제외하면 시스템 (200) 과 유사하다. 스위칭 회로 (216) 는 예를 들어서, RF 스트랩 또는 RF 컨덕터 등과 같은 접속부 (304) 및 하우징 (226) 의 벽을 통해서 매칭 회로 (214) 에 커플링된다. RF 케이블 시스템 (283) 은 매칭박스 (230) 를 RF 생성기 (204) 에 접속시킨다.
하우징 (226) 은 매칭 회로 (214) 를 보호하기 위해서 매칭 회로 (214) 를 둘러싸고 봉입한다. 스위칭 회로 (216) 가 매칭박스 (230) 의 하우징 (226) 외측에 위치하는 때에, 스위칭 회로 (216) 는 용이하게 접근된다. 예를 들어서, 하우징 (226) 이 하우징 (226) 의 인클로저를 접근하기 위한 도어를 포함하면, 하우징 (226) 내측에 있는 것보다 하우징 (226) 의 외측에 있는 스위칭 회로 (216) 를 접근하기 용이하다.
몇몇 실시예들에서, 하우징 (226) 은 하우징 (224) (도 4a 참조) 보다 작다. 예를 들어서, 하우징 (226) 의 체적은 하우징 (224) 의 체적보다 작다.
RF 복귀 신호 (210) 는 스위칭 회로 (216) 에 전송되며, 스위칭 회로 (216) 는 RF 복귀 신호 (210) 를 수정하여서 RF 복귀 신호 (263) 를 생성한다. RF 복귀 신호 (263) 는 스위칭 회로 (216) 로부터의 출력으로서 접속부 (304) 를 통해서 하우징 (226) 의 접지된 부분으로 제공된다. RF 복귀 신호 (263) 는 하우징 (226) 의 접지된 부분 및 RF 케이블 시스 (238) 를 통해서 RF 생성기 (204) 로 전달된다.
몇몇 실시예들에서, 스위치들 (S1 내지 S8) 및 파라메트릭 요소들 (P1 내지 P8) 대신에, 스위칭 회로 (216) 는 가변 커패시터, 또는 가변 인덕터 또는 가변 커패시터와 직렬로 된 가변 인덕터를 포함한다. 가변 커패시터의 커패시턴스는, 에칭 레이트들 (E1 내지 E4) 중 하나 및/또는 균일도들 (U1 내지 U4) 중 하나 이상을 달성하도록 스위치 선택 회로 (287) 에 의해서 모터 및 모터의 구동기를 통해서 제어된다. 마찬가지로, 가변 인덕터의 인덕턴스는, 에칭 레이트들 (E1 내지 E4) 중 하나 및/또는 균일도들 (U1 내지 U4) 중 하나 이상을 달성하도록 스위치 선택 회로 (287) 및 모터의 구동기에 의해서 모터를 통해서 제어된다.
다양한 실시예들에서, RF 복귀 경로 (212) 의 적어도 일부는 접지되는데, 예를 들어서 접지 전압에 커플링되거나, 기준 전압에 커플링되거나 제로 전압 등에 커플링된다. RF 복귀 경로 (212) 는 제 1 부분 (221A) 및 제 2 부분 (221B) 을 포함한다.
몇몇 실시예들에서, RF 복귀 경로 (212) 는 플라즈마 챔버의 C-슈라우드, 플라즈마 반응기 (218) 의 접지 링, 플라즈마 반응기 (218) 의 RF 스트랩들, 플라즈마 반응기 (218) 의 하단 전극 하우징, 플라즈마 반응기 (218) 의 접지 쉴드, 제 2 부분 (221B), 스위칭 회로 (216), 접속부 (304), 접속부 (304) 를 제 1 부분 (221A) 에 접속시키는 하우징 (226) 의 접지된 부분 (212A) 및 제 1 부분 (221A) 을 포함한다. RF 복귀 경로 (212) 는 도 4b에서 점선들을 따라서 연장된다.
다양한 실시예들에서, 임의의 개수의 RF 스트랩들이 시스템 (200) 또는 시스템 (300) 내에 포함된다.
도 5a는 스위칭 회로 (216) 의 실시예의 도면이다. 스위칭 회로 (216) 는 스위치와 파라메트릭 요소 간의 직렬 접속을 포함한다. 예를 들어서, 스위칭 회로 (216) 는 스위치 (S1) 와 파라메트릭 요소 (P1) 간의 직렬 접속, 스위치 (S2) 와 파라메트릭 요소 (P2) 간의 직렬 접속, 스위치 (S3) 와 파라메트릭 요소 (P3) 간의 직렬 접속, 스위치 (S4) 와 파라메트릭 요소 (P4) 간의 직렬 접속, 스위치 (S5) 와 파라메트릭 요소 (P5) 간의 직렬 접속, 스위치 (S6) 와 파라메트릭 요소 (P6) 간의 직렬 접속, 스위치 (S7) 와 파라메트릭 요소 (P7) 간의 직렬 접속, 및 스위치 (S8) 와 파라메트릭 요소 (P8) 간의 직렬 접속을 포함한다.
스위칭 회로 (216) 의 스위치와 파라메트릭 요소의 각 조합은 스위칭 회로 (216) 의 다른 스위치와 다른 파라메트릭 요소의 조합과 병렬로 커플링된다. 예를 들어서, 스위치 (S1) 와 파라메트릭 요소 (P1) 의 조합은 스위치 (S2) 와 파라메트릭 요소 (P2) 의 조합과 병렬로 커플링된다. 다른 실례로서, 스위치 (S2) 와 파라메트릭 요소 (P2) 의 조합은 스위치 (S3) 와 파라메트릭 요소 (P3) 의 조합과 병렬로 커플링된다.
스위치들 (S1 내지 S8) 은 RF 터널 (240) 에 커플링되고, 파라메트릭 요소들 (P1 내지 P8) 은 접속부 (310) 에 커플링되며, 접속부 (310) 는 접속부 (255) (도 4a) 또는 접속부 (304) (도 4b) 의 실례이다.
몇몇 실시예들에서, 각 스위치는 릴레이, 예를 들어서, 고체상 릴레이, 또는 전자기 릴레이 또는 진공 릴레이 등이다. 다양한 실시예들에서, RF 복귀 신호 (210) 의 전력은 스위칭 회로 (216) 의 폐쇄된 스위치들 간에서 균등하게 분할된다.
RF 복귀 경로 (221 또는 212) (도 4a 또는 도 4b) 의 실례인 RF 복귀 경로 (231) 의 부분이 도 5a에서 점들로서 표시된다.
도 5b는 파라메트릭 요소 (parametric element) 로서의 커패시터 (250) 의 실시예의 도면이다. 커패시터 (250) 는 스위치 (252) 와 직렬로 커플링된다. 커패시터 (250) 는 파라메트릭 요소들 (P1 내지 P8) 중 임의의 것의 실례이며, 스위치 (252) 는 스위치들 (S1 내지 S8) 중 임의의 것의 실례이다.
도 5c는 파라메트릭 요소 (parametric element) 로서의 인덕터 (254) 의 실시예의 도면이다. 인덕터 (254) 는 스위치 (252) 와 직렬로 커플링된다. 인덕터 (254) 는 파라메트릭 요소들 (P1 내지 P8) 중 임의의 것의 실례이다. 몇몇 실시예들에서, 각 인덕터 (254) 는 RF 스트랩이다.
도 5d는 파라메트릭 요소 (parametric element) 로서의 커패시터 (250) 와 인덕터 (254) 의 조합의 실시예의 도면이다. 커패시터 (250) 는 스위치 (252) 와 직렬로 커플링되고 인덕터 (254) 는 커패시터 (250) 와 직렬로 커플링된다.
도 6은 RF 신호의 복귀 경로의 일부를 예시하기 위한 시스템의 실시예의 도면이다. 시스템은 플라즈마 반응기 (320) 및 RF 전송 라인 (324) 을 포함한다. RF 전송 라인 (324) 은 플라즈마 반응기 (320) 에 접속된다.
플라즈마 반응기 (320) 는 플라즈마 반응기 (218) (도 4a 및 도 4b) 의 실례이다. 또한, RF 전송 라인 (324) 은 RF 전송 라인 (282) (도 4a 및 도 4b) 의 실례이다. RF 전송 라인 (324) 은 RF 로드 (261) 및 접지된 RF 터널 (262) 을 포함한다. 실례로서, RF 터널 (262) 은 접지 전위 또는 기준 전위 또는 제로 전위에 커플링된다. 접지된 RF 터널 (262) 은 RF 터널 (240) (도 4a 및 도 4b) 의 실례이며, RF 로드 (261) 는 RF 로드 (234) (도 4a 및 도 4b) 의 실례이다.
플라즈마 반응기 (320) 는 플라즈마 챔버 (326) 및 RF 실린더 (360) 를 포함하며, RF 실린더는 RF 스트랩 (368) 을 통해서 RF 로드 (261) 에 접속된다. 플라즈마 반응기 (320) 는 복귀 RF 스트랩들 (274 및 277), 접지 쉴드 (280) 및 하단 전극 하우징 (276) 을 더 포함한다. C-슈라우드 (shroud), 접지 쉴드 및 복귀 RF 스트랩들의 실례는 본 명세서에서 참조로서 인용되며 2012년 11월 21일자에 출원되고 미국 특허 공개 번호 2013-0133834를 갖는 미국 특허 출원 번호 13/684,098 에서 제공된다.
플라즈마 챔버 (326) 는 상부 전극 (260), 상부 전극 연장부 (328), C-슈라우드 (shroud) (270), 접지 링 (272), 및 척 어셈블리를 포함한다. 척 어셈블리는 척 (258) 및 설비 플레이트 (330) 를 포함한다. 기판 (291) 은 기판 (291) 을 프로세싱하기 위한 척 (258) 의 상단 상에 배치된다. 기판 (291) 을 프로세싱하는 것의 실례는 기판 (291) 을 세정하는 것, 또는 기판 (291) 을 에칭하는 것 또는 기판 (291) 의 상단 상에 산화물을 에칭하는 것, 또는 기판 (291) 상에 예를 들어서 산화물들, 이산화물들, 포토레지스트 재료들 등과 같은 재료들을 증착하는 것 또는 이들의 조합을 포함한다.
C-슈라우드 (shroud) (270) 는 플라즈마 챔버 (326) 내의 압력을 제어하는데 사용되는 슬롯들을 포함한다. 예를 들어서, 플라즈마 챔버 (326) 의 갭 (370) 내의 갭 압력을 낮추기 위해서 슬롯들을 통한 가스 플로우를 증가시키도록 슬롯들이 개방된다. 플라즈마 챔버 (326) 의 갭 (370) 내의 갭 압력을 높이기 위해서 슬롯들을 통한 가스 플로우를 감소시키도록 슬롯들이 폐쇄된다.
다양한 실시예들에서, 하단 전극 하우징 (276) 은 임의의 형상, 예를 들어서 원통형, 정방형 또는 다각형 등을 갖는다.
다양한 실시예들에서, RF 실린더 (360) 는 원통형이 아니며 예를 들어서 직사각형, 정방형 등과 같은 다각 형상을 갖는다.
상부 전극 연장부 (328) 는 상부 전극 (260) 을 둘러싼다. C-싸라우드 (270) 는 부분들 (270A 및 270B) 을 포함한다. 접지 링 (272) 은 접지 링 부분 (272A) 및 다른 접지 링 부분 (272B) 을 포함한다. 하단 전극 하우징 (276) 은 하단 전극 하우징 부분 (276A), 다른 하단 전극 하우징 부분 (276B), 및 또 다른 하단 전극 하우징 부분 (276C) 을 포함한다. 하단 전극 하우징 부분들 (276A 및 276B) 각각은 하단 전극 하우징 (276) 의 측벽을 형성한다. 하단 전극 하우징 부분 (276C) 은 하단 전극 하우징 (276) 의 하단 벽을 형성한다. 접지 쉴드 (280) 는 접지 쉴드 부분 (280A) 및 다른 접지 쉴드 부분 (280B) 을 포함한다.
척 (258) 의 상단 표면은 상부 전극 (260) 의 하단 표면 (336) 에 대면한다. 플라즈마 챔버 (326) 는 상부 전극 (260), 상부 전극 (260) 을 둘러싸는 상부 전극 연장부 (328) 에 의해서 둘러싸인다. 플라즈마 챔버 (326) 는 C-슈라우드 (270) 및 척 (258) 에 의해서 더 둘러싸인다.
접지 링 (272) 은 C-슈라우드 (270) 아래에 위치한다. 몇몇 실시예들에서, 접지 링 (272) 은 C-슈라우드 (270) 아래에서 인접하여서 위치한다. 복귀 RF 스트랩 (274) 은 접지 링 부분 (272A) 에 연결되며, 복귀 RF 스트랩 (277) 은 접지 링 부분 (272B) 에 연결된다. 복귀 RF 스트랩 (274) 은 하단 전극 하우징 부분 (276A) 에 연결되며, 복귀 RF 스트랩 (277) 은 하단 전극 하우징 부분 (276B) 에 연결된다. 하단 전극 하우징 부분 (276A) 은 접지 쉴드 부분 (280A) 에 연결되며, 하단 전극 하우징 부분 (276B) 은 접지 쉴드 부분 (280B) 에 연결된다. 접지 쉴드 부분 (280A) 은 하단 전극 하우징 부분 (276A) 을 통해서 접지된 RF 터널 (262) 에 연결되며, 접지 쉴드 부분 (280B) 은 하단 전극 하우징 부분 (276C) 을 통해서 접지된 RF 터널 (262) 에 연결된다.
몇몇 실시예들에서, 하단 전극 하우징 부분 (276) 은 RF 실린더 (360) 를 둘러싸는 실린더이다. RF 실린더 (360) 는 RF 공급 신호 (264) 의 통과를 위한 매체이다. RF 공급 신호 (264) 는 RF 로드 (261), RF 스트랩 (368) 및 RF 실린더 (360) 를 통해서 척 (258) 의 하부 전극으로 공급되어서 플라즈마 챔버 (326) 의 갭 (370) 내에서 플라즈마를 생성한다. 갭 (370) 은 상부 전극 (260) 과 척 (258) 의 하부 전극 간에 형성된다.
RF 복귀 신호 (210) 의 부분 (350) 은 상부 전극 (260) 의 하단 표면 (336) 으로부터 상부 전극 연장부 (328) 의 하단 표면 부분 (338A) 으로, 나아가 C-슈라우드 부분 (270A) 으로, 나아가 접지 링 부분 (272A) 으로, 나아가 복귀 RF 스트랩 (274) 으로, 나아가 하단 전극 하우징 부분 (276A) 으로, 나아가 접지 쉴드 부분 (280A) 으로 그리고 접지된 RF 터널 (262) 로 이동한다.
몇몇 실시예들에서, RF 복귀 신호 (210) 의 부분 (350) 은 상부 전극 (260) 의 하단 표면 (336) 으로부터 상부 전극 연장부 (328) 의 하단 표면 부분 (338A) 을 따라서, 나아가 C-슈라우드 부분 (270A) 을 따라서, 나아가 접지 링 부분 (272A) 을 따라서, 나아가 복귀 RF 스트랩 (274) 을 따라서, 나아가 하단 전극 하우징 부분 (276A) 을 따라서, 나아가 접지 쉴드 부분 (280A) 을 따라서 그리고 접지된 RF 터널 (262) 으로 이동한다.
몇몇 실시예들에서, RF 복귀 신호 (210) 의 부분 (350) 은 RF 복귀 경로 (221) (도 4a) 의 부분 또는 RF 복귀 경로 (212) (도 4b) 의 부분을 따른다.
또한, RF 복귀 신호 (210) 의 부분 (352) 은 상부 전극 (260) 의 하단 표면 (336) 으로부터 상부 전극 연장부 (328) 의 하단 표면 부분 (338B) 으로, 나아가 C-슈라우드 부분 (270B) 으로, 나아가 접지 링 부분 (272B) 으로, 나아가 복귀 RF 스트랩 (277) 으로, 나아가 하단 전극 하우징 부분 (276B) 으로, 나아가 접지 쉴드 부분 (280B) 으로, 나아가 하단 전극 하우징 부분 (276C) 의 일부로, 나아가 하단 전극 하우징 부분 (276B) 으로 그리고 접지된 RF 터널 (262) 로 이동한다.
다양한 실시예들에서, RF 복귀 신호 (210) 의 부분 (352) 은 상부 전극 (260) 의 하단 표면 (336) 으로부터, 상부 전극 연장부 (328) 의 하단 표면 부분 (338B) 을 따라서, 나아가 C-슈라우드 부분 (270B) 을 따라서, 나아가 접지 링 부분 (272B) 을 따라서, 나아가 복귀 RF 스트랩 (277) 을 따라서, 나아가 하단 전극 하우징 부분 (276B) 을 따라서, 나아가 접지 쉴드 부분 (280B) 을 따라서, 나아가 하단 전극 하우징 부분 (276C) 을 따라서, 나아가 하단 전극 하우징 부분 (276B) 을 따라서 접지된 RF 터널 (262) 로 이동한다.
몇몇 실시예들에서, RF 복귀 신호 (210) 의 부분 (352) 은 RF 복귀 경로 (221) (도 4a) 의 부분 또는 RF 복귀 경로 (212) (도 4b) 의 부분을 따른다.
RF 복귀 신호 (210) 의 RF 복귀 경로 (221) (도 4a) 의 부분 또는 RF 복귀 경로 (212) (도 4b) 의 부분은, 상부 전극 (260) 의 하단 표면 (336) 으로부터, 상부 전극 연장부 (328) 의 하단 표면 부분 (338A) 을 따라서, 나아가 C-슈라우드 부분 (270A) 을 따라서, 나아가 접지 링 부분 (272A) 을 따라서, 나아가 복귀 RF 스트랩 (274) 을 따라서, 나아가 하단 전극 하우징 부분 (276A) 을 따라서, 나아가 접지 쉴드 부분 (280A) 을 따라서 그리고 접지된 RF 터널 (262) 으로 연장된다는 것이 주목되어야 한다.
또한, RF 복귀 신호 (210) 의 RF 복귀 경로 (221) (도 4a) 의 부분 또는 RF 복귀 경로 (212) (도 4b) 의 부분은, 상부 전극 (260) 의 하단 표면 (336) 으로부터, 상부 전극 연장부 (328) 의 하단 표면 부분 (338B) 을 따라서, 나아가 C-슈라우드 부분 (270B) 을 따라서, 나아가 접지 링 부분 (272B) 을 따라서, 나아가 복귀 RF 스트랩 (277) 을 따라서, 나아가 하단 전극 하우징 부분 (276B) 을 따라서, 나아가 접지 쉴드 부분 (280B) 을 따라서, 나아가 하단 전극 하우징 부분 (276C) 을 따라서 접지된 RF 터널 (262) 로 연장된다. RF 복귀 신호 (210) 에 의해서 취해진 경로는 도 6에서의 파선으로 도시된다.
몇몇 실시예들에서, 상부 전극 (260) 은 접지된다.
다양한 실시예들에서, RF 스트랩 (368) 대신에, 다수의 RF 스트랩들이 RF 실린더 (360) 를 RF 로드 (261) 에 연결시키는데 사용된다.
도 7은 RF 복귀 신호 (210) 의 RF 복귀 경로의 일부를 예시하는 시스템 (382) 의 실시예의 도면이다. RF 로드 (261) 는 하단 전극 하우징 부분 (276A) 을 통해서 연장된다. 또한, RF 로드 (261) 는 RF 터널 연장부 (388) 를 통해서 매칭박스의 하우징 (384) 에 연결된다. RF 터널 연장부 (388) 는 RF 터널 (262) 의 일부이며 하우징 (384) 의 측벽 (390) 에 부착, 예를 들어서 나사 결합되는 등으로 된다. 몇몇 실시예들에서, 측벽 (390) 에 부착된 접지 플레이트는 RF 터널 연장부 (388) 를 측벽 (390) 으로 부착하기 위해서 제거된다. 하우징 (384) 은 하우징 (224) (도 4a) 또는 하우징 (226) (도 4b) 의 실례이다.
RF 복귀 신호 (210) 는 RF 터널 (262) 의 일부, RF 터널 연장부 (388) 및 측벽 (390) 을 통해서 하우징 (384) 의 하단 벽 (392) 으로 전달된다. 또한, RF 복귀 신호 (210) 는 RF 터널 (262) 의 일부, RF 터널 연장부 (388) 및 측벽 (390) 을 통해서 하우징 (384) 의 상단 벽 (394) 으로 전달된다. RF 복귀 신호 (210) 에 의해서 취해진 경로는 도 7에서 파선들로 도시된다.
도 8은 RF 복귀 신호의 임피던스를 수정하기 위해서 매칭박스 하우징 (matchbox housing) 과 RF 터널 간에 접속된 인덕터 시스템 (400) 의 실시예의 도면이다. 인덕터 시스템 (400) 은 하나 이상의 인덕터 스트랩들 (A 내지 H), 예를 들어서 도전성 금속으로 이루어진 스트랩들, 구리로 이루어진 스트랩들, 알루미늄으로 이루어진 스트랩들 등을 포함한다.
인덕터 스트랩들 (A 내지 H) 은 RF 터널 (262) 을 매칭박스의 하우징 (40) 으로 커플링한다. 하우징 (402) 은 하우징 (384) (도 7) 의 실례이며 접지되는데, 예를 들어서 접지 전위에 접속되거나, 기준 전위에 접속되거나 제로 전위 등에 접속된다.
RF 터널 (262) 과 하우징 (402) 간의 인덕터 스트랩들 (A 내지 H) 의 개수는, 예를 들어서 제거되거나 추가되거나 이들의 조합 등으로 되어서 변화되기 때문에, 하우징 (402) 으로부터의 출력으로서 하우징 (402) 에 접속된 RF 케이블 시스 (238) (도 4a, 도 4b) 로 복귀되는, 예를 들어서 RF 복귀 신호 (263) (도 4a, 도 4b) 등과 같은 RF 복귀 신호의 임피던스에도 변화가 있다.
몇몇 실시예들에서, 인덕터 시스템 (400) 은 임의의 개수의 스트랩들을 포함함이 주목되어야 한다.
도 9는 상이한 개수들의 인덕터 스트랩들에 있어서 기판의 반경에 대하여 기판을 에칭하는 에칭 레이트를 플롯팅한 그래프 (410) 의 실시예이다. 인덕터 스트랩들의 개수가 3 개에서 6 개로 증가하면, 에칭 레이트들에서의 불균일도가 증가한다. 또한, 인덕터 스트랩들의 개수가 10 개에서 19 개로 증가하면, 에칭 레이트들에서의 불균일도가 감소한다. 인덕터 스트랩들의 개수가 3 개이면, 기판의 기판의 중앙 등으로부터 사전결정된 거리 내에서, 중앙에 근접한 곳에서의, 예를 들어서 제로 반경에 가까운 곳에서의 에칭 레이트들에서의 균일성이 존재한다. 인덕터 스트랩들의 개수를 제어함으로써, 에칭 레이트들에서의 불균일도의 제어, 예를 들어서 감소 등이 달성된다.
몇몇 실시예들에서, 에칭 레이트들에서의 불균일성은 기판의 중앙 근처에서 측정된다.
도 10은 2 개의 상이한 개수들의 인덕터 스트랩들에 있어서 기판의 반경과 기판을 에칭하는 에칭 레이트 간의 관계를 도시한 그래프 (420) 의 실시예이다. 그래프 (420) 에서 도시된 바와 같이, 인덕터 스트랩들의 개수가 증가하면, 에칭 레이트들에서의 불균일도가 감소한다.
도 11은 상이한 개수들의 인덕터 스트랩들에 있어서 RF 복귀 신호의 주파수에 대한 RF 복귀 신호의 위상을 플롯팅한 그래프 (430) 의 실시예이다. 그래프 (430) 에서 도시된 바와 같이, RF 복귀 신호의 3차 고조파에서, 19 개의 인덕터 스트랩들이 사용되면 4 개의 인덕터 스트랩들이 사용되는 경우에서의 RF 복귀 신호의 위상에 비해서 RF 복귀 신호의 위상이 감소한다. 이러한 위상 감소는 기판을 에칭하는 에칭 레이트들에서의 균일도, 또는 기판 상에 재료들을 증착하는 증착 레이트들에서의 균일도를 제어, 예를 들어서 달성 등을 하는 것을 돕는다.
몇몇 실시예들에서, 에칭 레이트들 또는 증착 레이트들에서의 불균일성은 스위칭 회로를 사용하는 것에 추가하여서 플라즈마 챔버의 하부 전극과 상부 전극 간의 갭을 제어함으로써 제어된다는 것이 주목되어야 한다. 예를 들어서, 프로세서, 예를 들어서, 스위치 선택 회로 (287) (도 4a, 도 4b) 등은 상부 전극 및/또는 하부 전극에 연결된 모터로 모터 구동기를 통해서 연결된다. 프로세서는 모터의 로터를 회전시키도록 하는 신호를 모터 구동기에 전송한다. 로터의 회전은 하부 전극과 상부 전극 간의 거리를 변화시키며 이로써 상부 전극과 하부 전극 간의 거리를 포함하는 갭이 제어된다. 이러한 갭 변화는 불균일성을 줄이는데 사용된다. 몇몇 실시예들에서, 상부 전극과 하부 전극 간의 갭은 상부 전극과 하부 전극 간의 공간 볼륨을 포함한다. 다양한 실시예들에서, 프로세서는 불균일성을 줄이도록 하는 신호를 스위칭 회로 (216) 에 전송함으로써 스위칭 회로 (216) (도 4a, 도 4b) 를 제어하는 것과 동시에, 갭을 제어하도록 모터 구동기를 통해서 모터를 제어한다.
다양한 실시예들에서, 에칭 레이트들 또는 증착 레이트들에서의 불균일성은 플라즈마 챔버 내의 압력의 양을 제어함으로써 그리고 스위칭 회로를 제어함으로써 저감된다. 예를 들어서, 프로세서, 예를 들어서, 스위치 선택 회로 (287) (도 4a, 도 4b) 등은 밸브에 연결된 모터에 연결된다. 밸브는 하나 이상의 가스들을 저장하는 가스 공급부로 관을 통해서 연결된다. 프로세서는 밸브를 개방 또는 폐쇄하기 위해서 모터의 로터를 동작시키는 신호를 모터 구동기에 전송한다. 밸브는 플라즈마 챔버 내에서 하부 전극과 상부 전극 간의 갭 내로의 하나 이상의 가스들의 플로우의 양을 제어, 예를 들어서 증가 또는 감소 등을 하도록 개방 또는 폐쇄된다. 플로우의 양이 증가하면 압력이 증가하고 플로우의 양이 감소하면 압력이 감소한다. 압력은 스위칭 회로 (216) (도 4a, 도 4b) 를 사용하는 것과 더불어서 불균일성을 저감시키는데 사용된다. 몇몇 실시예들에서, 프로세서는 불균일성을 저감시키도록 스위칭 회로 (216) 를 제어하는 것과 동시에 갭 내의 압력을 제어한다.
도 12는 에칭 레이트 또는 증착 레이트, 또는 에칭 레이트들에서의 균일성 또는 증착 레이트들에서의 균일성을 달성하기 위한 피드백 제어를 예시하는데 사용되는 시스템 (450) 의 실시예의 도면이다. 시스템 (450) 은 플라즈마 반응기 (218) (도 4a, 도 4b) 의 실례인 플라즈마 반응기 (452) 를 포함한다. 플라즈마 반응기 (452) 는 플라즈마 챔버 (326) (도 6) 의 실례인 플라즈마 챔버 (454) 를 포함한다. 플라즈마 반응기 (452) 는 하단 전극 하우징 (276) (도 6) 의 실례인 하단 전극 하우징 (456) 을 더 포함한다.
하단 전극 하우징 (456) 은 RF 터널 (240) 에 연결된다. 센서 (458), 예를 들어서, 전압 및 전류 프로브, 전압 프로브 등이 RF 터널 (240) 에 연결된다. 센서 (458) 는 RF 복귀 신호 (210) 의 예를 들어서, 전압 또는 복소 전압 및 전류 등과 같은 파라미터를 측정한다.
몇몇 실시예들에서, 센서 (458) 는 RF 복귀 경로 (212 또는 221) (도 4a, 도 4b) 를 따르는 임의의 지점에 접속된다. 예를 들어서, 센서 (458) 는 RF 터널 (240) 상의 임의의 지점에 접속된다.
몇몇 실시예들에서, 센서 (458) 는 RF 전달 경로 (219) (도 4a, 도 4b) 를 따르는 임의의 지점에 접속된다. 예를 들어서, 센서 (458) 는 RF 로드 (234) 상의 임의의 지점에 접속된다.
센서 (458) 는 측정된 파라미터를 스위치 선택 회로 (287) 에 제공한다. 스위치 선택 회로 (287) 는 측정된 파라미터가 튜닝 레시피 (289) (도 4a, 도 4b) 내의 튜닝 파라미터와 유사한지의 여부, 예를 들어서 이와 동일하거나 사전결정된 범위 내에 있는 등의 여부를 결정한다. 튜닝 파라미터는 에칭 레이트들에서의 균일도, 증착 레이트들에서의 균일도, 에칭 레이트 또는 증착 레이트에 대응한다. 측정된 파라미터가 튜닝 파라미터와 유사하지 않으면, 스위치 선택 회로 (287) 는 파라메트릭 요소들 (P1 내지 P8) 중 하나 이상의 대응하는 것들을 접지된 매칭박스 하우징, 예를 들어서 하우징 (224) 또는 하우징 (226) (도 4a, 도 4b) 으로 분리 또는 접속시키도록 스위치들 (S1 내지 S8) 중 하나 이상을 개방 또는 폐쇄하는 신호를 스위칭 회로 (216) 에 전송한다. 신호가 전송되어서 튜닝 파라미터와 측정된 파라미터가 유사한지의 여부를 결정하는데 사용된 튜닝 파라미터를 달성한다. 한편, 측정된 파라미터가 튜닝 파라미터와 유사하다고 결정되면, 스위치 선택 회로 (287) 는 스위치들 (S1 내지 S8) 중 하나 이상을 개방 또는 폐쇄하도록 하는 신호를 스위칭 회로 (216) 에 전송하지 않는다.
상술된 동작들이 병행 플레이트 플라즈마 챔버, 예를 들어서 용량 결합성 플라즈마 챔버 등을 참조하여서 기술되었지만, 몇몇 실시예들에서, 상술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어서 유도 결합형 플라즈마 (ICP) 반응기를 포함하는 플라즈마 챔버, 변압기 결합형 플라즈마 (TCP) 반응기를 포함하는 플라즈마 챔버, 컨덕터 툴, 유전체 툴, ECR (전자-사이클로트론 공진) 반응기를 포함하는 플라즈마 챔버 등에서 적용된다. 예를 들어서, x MHz RF 생성기, y MHz RF 생성기, 및/또는 z MHz RF 생성기가 ICP 플라즈마 챔버 내의 인덕터에 커플링된다.
상술한 동작들 중 몇몇은 스위치 선택 회로 (287) (도 4a, 도 4b) 에 의해서 수행되는 것으로서 기술되었지만, 몇몇 실시예들에서, 이 동작들은 x MHz RF 생성기, y MHz RF 생성기, 및 z MHz RF 생성기 중 하나 이상의 생성기의 하나 이상의 디지털 신호 프로세서에 의해서 수행된다는 것이 또한 주목된다.
상술한 실시예들 중 몇몇에서, RF 공급 신호가 척의 하부 전극에 공급되고 상부 전극은 접지되었다는 것이 주목되어야 한다. 다양한 실시예들에서, RF 공급 신호가 상부 전극에 공급되고 척의 하부 전극은 접지된다.
몇몇 실시예들에서, 본 명세서에서 기술된 동작들은 핸드-헬드 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능한 소비자 전자장치들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들에서 실시된다. 실시예들은 또한 네트워크를 통해서 링크된 원격 프로세싱 하드웨어 유닛들에 의해서 태스크들이 수행되는 분산형 컴퓨텅 환경들에서 실시될 수도 있다.
상술한 실시예들을 염두하면서, 본 실시예들은 컴퓨터 시스템들 내에 저장된 데이터를 수반하는 다양한 컴퓨터 구현 동작들을 사용할 수 있다. 이러한 동작들은 물리적 정량들의 물리적 조작을 요구하는 동작들이다. 본 실시예들의 일부를 형성하면서 본 명세서에서 개시된 동작들 중 임의의 것은 유용한 머신 동작들이다. 또한, 본 실시예들은 이러한 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 대한 것이다. 다양한 실시예들에서, 이 장치는 특정 목적용 컴퓨터와 같이 요구된 목적을 위해서 특정하게 구성될 수 있다. 특정 목적용 컴퓨터로서 규정될 때에, 컴퓨터는 여전히 이 특정 목적을 위해서 동작하면서 이 특정 목적이 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 몇몇 실시예들에서, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해서 획득된 하나 이상의 컴퓨터 프로그램들에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해서 처리될 수 있다. 데이터가 네트워크를 통해서 획득될 때에, 데이터는 예를 들어서 컴퓨팅 리소스들의 클라우드와 같은 네트워크 상의 다른 컴퓨터들에 의해서 처리될 수 있다.
하나 이상의 실시예들은 또한 비일시적 컴퓨터 판독가능한 매체 상의 컴퓨터 판독가능한 코드로서 제조될 수도 있다. 비일시적 컴퓨터 판독가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 임의의 메모리 디바이스이다. 비일시적 컴퓨터 판독가능 매체의 실례들은 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 데이터 저장 하드웨어 유닛 및 비광학 데이터 저장 하드웨어 유닛을 포함한다. 비일시적 컴퓨터 판독가능한 매체는 또한 컴퓨터 판독가능 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크-접속된 컴퓨터 시스템들을 통해서 또한 배포되는 컴퓨터 판독가능한 유형의 매체를 포함할 수 있다.
위의 일부 방법 동작들은 실시예들의 일부에서 특정 순서로 기술되었지만, 다양한 실시예들에서, 오버레이 동작들 (overlay operation) 의 프로세싱이 목표된 방식으로 수행되기만 하면, 다른 하우스키핑 동작들 (housekeeping operations) 이 동작들 간에서 수행될 수 있거나, 동작들이 근소하게 상이한 시간들에서 발생하도록 동작들이 조절되거나, 프로세싱과 관련된 다양한 인터벌들에서 프로세싱 동작들이 발생되게 하는 시스템에서 동작들이 분산될 수 있다는 것이 이해되어야 한다.
몇몇 실시예들에서, 임의의 실시예들부터의 하나 이상의 특징사항들은 본 개시에서 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않고서 임의의 다른 실시예들의 하나 이상의 특징사항들과 조합된다.
전술한 실시예들은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 가능하다. 따라서, 본 실시예들은 한정적인 아닌 예시적으로 해석되어야 하며 본 발명은 본 명세서에서 제공된 세부 사항들로 한정되는 것이 아니라 첨부된 청구 범위 및 이의 균등 범위 내에서 수정될 수 있다.

Claims (20)

  1. 복수의 스위치들; 및
    복수의 파라메트릭 요소들 (parametric elements) 을 포함하고, 상기 복수의 스위치들은 플라즈마 챔버로부터 RF (radio frequency) 복귀 경로를 통해 RF 복귀 신호를 수신하도록 RF 전송 라인의 상기 RF 복귀 경로에 커플링되도록 구성되고, 상기 복수의 스위치들 각각은 상기 RF 복귀 신호의 임피던스를 수정하기 위해 상기 복수의 파라메트릭 요소들 중 각각의 파라메트릭 요소에 커플링되거나 파라메트릭 요소로부터 디커플링되도록 (decouple) 구성되는, 스위칭 회로.
  2. 제 1 항에 있어서,
    상기 복수의 파라메트릭 요소들은 제 1 파라메트릭 요소 및 제 2 파라메트릭 요소를 포함하고, 상기 복수의 스위치들은 제 1 스위치 및 제 2 스위치를 포함하는, 스위칭 회로.
  3. 제 2 항에 있어서,
    상기 RF 복귀 신호의 상기 임피던스를 수정하기 위해 상기 제 1 스위치는 상기 제 1 파라메트릭 요소에 커플링되고 상기 제 2 스위치는 상기 제 2 파라메트릭 요소로부터 디커플링되는, 스위칭 회로.
  4. 제 2 항에 있어서,
    상기 RF 복귀 신호의 상기 임피던스를 수정하기 위해 상기 제 1 스위치는 상기 제 1 파라메트릭 요소에 커플링되고 상기 제 2 스위치는 상기 제 2 파라메트릭 요소에 커플링되는, 스위칭 회로.
  5. 제 1 항에 있어서,
    상기 RF 복귀 경로는 상기 RF 전송 라인의 RF 터널을 포함하고, 상기 RF 터널은 상기 RF 전송 라인의 RF 로드 (rod) 를 둘러싸고, 상기 RF 터널은 절연체 재료에 의해 상기 RF 로드로부터 분리되는, 스위칭 회로.
  6. 제 5 항에 있어서,
    상기 RF 복귀 경로는 매칭 회로 및 상기 스위칭 회로를 둘러싸는 하우징을 포함하는, 스위칭 회로.
  7. 제 6 항에 있어서,
    상기 RF 복귀 경로는 상기 매칭 회로를 RF 생성기에 커플링하는 RF 케이블의 RF 시스 (sheath) 를 포함하는, 스위칭 회로.
  8. 제 1 항에 있어서,
    상기 복수의 파라메트릭 요소들 및 상기 복수의 스위치들은 매칭 회로에 커플링되지 않는, 스위칭 회로.
  9. 제 1 항에 있어서,
    상기 RF 복귀 경로는 상기 플라즈마 챔버로부터 RF 생성기를 향해 지향되는, 스위칭 회로.
  10. 제 1 항에 있어서,
    상기 복수의 파라메트릭 요소들 각각은 커패시터 또는 인덕터인, 스위칭 회로.
  11. 프로세서로서,
    복수의 스위치들을 제어하도록 구성되고, 상기 복수의 스위치들은 플라즈마 챔버로부터 RF (radio frequency) 복귀 경로를 통해 RF 복귀 신호를 수신하도록 RF 전송 라인의 상기 RF 복귀 경로에 커플링되고, 상기 복수의 스위치들 각각은 상기 RF 복귀 신호의 임피던스를 수정하기 위해 복수의 파라메트릭 요소들 (parametric elements) 중 각각의 파라메트릭 요소에 커플링되거나 파라메트릭 요소로부터 디커플링되도록 (decouple) 제어되는, 상기 프로세서; 및
    상기 프로세서에 커플링된 메모리 디바이스를 포함하는, 제어기.
  12. 제 11 항에 있어서,
    상기 복수의 파라메트릭 요소들은 제 1 파라메트릭 요소 및 제 2 파라메트릭 요소를 포함하고, 상기 복수의 스위치들은 제 1 스위치 및 제 2 스위치를 포함하는, 제어기.
  13. 제 12 항에 있어서,
    상기 RF 복귀 신호의 상기 임피던스를 수정하기 위해 상기 제 1 스위치는 상기 제 1 파라메트릭 요소에 커플링되고 상기 제 2 스위치는 상기 제 2 파라메트릭 요소로부터 디커플링되는, 제어기.
  14. 제 12 항에 있어서,
    상기 RF 복귀 신호의 상기 임피던스를 수정하기 위해 상기 제 1 스위치는 상기 제 1 파라메트릭 요소에 커플링되고 상기 제 2 스위치는 상기 제 2 파라메트릭 요소에 커플링되는, 제어기.
  15. 제 11 항에 있어서,
    상기 RF 복귀 경로는 상기 RF 전송 라인의 RF 터널을 포함하고, 상기 RF 터널은 상기 RF 전송 라인의 RF 로드 (rod) 를 둘러싸고, 상기 RF 터널은 절연체 재료에 의해 상기 RF 로드로부터 분리되는, 제어기.
  16. 제 15 항에 있어서,
    상기 RF 복귀 경로는 매칭 회로 및 스위칭 회로를 둘러싸는 하우징을 포함하는, 제어기.
  17. 제 16 항에 있어서,
    상기 RF 복귀 경로는 상기 매칭 회로를 RF 생성기에 커플링하는 RF 케이블의 RF 시스 (sheath) 를 포함하는, 제어기.
  18. 제 11 항에 있어서,
    상기 복수의 파라메트릭 요소들 및 상기 복수의 스위치들은 매칭 회로에 커플링되지 않는, 제어기.
  19. 제 11 항에 있어서,
    상기 RF 복귀 경로는 상기 플라즈마 챔버로부터 RF 생성기를 향해 지향되는, 제어기.
  20. 제 11 항에 있어서,
    상기 복수의 파라메트릭 요소들 각각은 커패시터 또는 인덕터인, 제어기.
KR1020230030567A 2013-10-01 2023-03-08 Rf 복귀 경로의 임피던스의 제어 KR102624267B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/043,525 2013-10-01
US14/043,525 US9337000B2 (en) 2013-10-01 2013-10-01 Control of impedance of RF return path
KR1020220034171A KR102509476B1 (ko) 2013-10-01 2022-03-18 Rf 복귀 경로의 임피던스의 제어

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220034171A Division KR102509476B1 (ko) 2013-10-01 2022-03-18 Rf 복귀 경로의 임피던스의 제어

Publications (2)

Publication Number Publication Date
KR20230038448A true KR20230038448A (ko) 2023-03-20
KR102624267B1 KR102624267B1 (ko) 2024-01-11

Family

ID=52739422

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140132343A KR102377961B1 (ko) 2013-10-01 2014-10-01 Rf 복귀 경로의 임피던스의 제어
KR1020220034171A KR102509476B1 (ko) 2013-10-01 2022-03-18 Rf 복귀 경로의 임피던스의 제어
KR1020230030567A KR102624267B1 (ko) 2013-10-01 2023-03-08 Rf 복귀 경로의 임피던스의 제어

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020140132343A KR102377961B1 (ko) 2013-10-01 2014-10-01 Rf 복귀 경로의 임피던스의 제어
KR1020220034171A KR102509476B1 (ko) 2013-10-01 2022-03-18 Rf 복귀 경로의 임피던스의 제어

Country Status (5)

Country Link
US (2) US9337000B2 (ko)
KR (3) KR102377961B1 (ko)
CN (2) CN106941069B (ko)
SG (2) SG10201807580PA (ko)
TW (1) TWI650938B (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011080035A1 (de) * 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Verfahren und Vorrichtung zum Schutz von an einen Hochfrequenzgenerator angeschlossenen passiven Komponenten
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9745660B2 (en) * 2014-05-02 2017-08-29 Reno Technologies, Inc. Method for controlling a plasma chamber
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10153136B2 (en) * 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
US9761414B2 (en) * 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
JP6780009B2 (ja) * 2016-03-23 2020-11-04 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. インピーダンス整合システム、インピーダンス整合方法および半導体処理装置
EP3280224A1 (en) 2016-08-05 2018-02-07 NXP USA, Inc. Apparatus and methods for detecting defrosting operation completion
EP3280225B1 (en) * 2016-08-05 2020-10-07 NXP USA, Inc. Defrosting apparatus with lumped inductive matching network and methods of operation thereof
US10109460B2 (en) * 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
EP3432360A1 (en) * 2017-07-17 2019-01-23 Murata Manufacturing Co., Ltd. Distributed rc termination
US10917948B2 (en) 2017-11-07 2021-02-09 Nxp Usa, Inc. Apparatus and methods for defrosting operations in an RF heating system
US10771036B2 (en) 2017-11-17 2020-09-08 Nxp Usa, Inc. RF heating system with phase detection for impedance network tuning
US10785834B2 (en) 2017-12-15 2020-09-22 Nxp Usa, Inc. Radio frequency heating and defrosting apparatus with in-cavity shunt capacitor
EP3503679B1 (en) 2017-12-20 2022-07-20 NXP USA, Inc. Defrosting apparatus and methods of operation thereof
EP3547801B1 (en) 2018-03-29 2022-06-08 NXP USA, Inc. Defrosting apparatus and methods of operation thereof
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
US10952289B2 (en) 2018-09-10 2021-03-16 Nxp Usa, Inc. Defrosting apparatus with mass estimation and methods of operation thereof
US10622972B2 (en) * 2018-09-10 2020-04-14 Advanced Energy Industries, Inc. Variable capacitor bank
US11800608B2 (en) 2018-09-14 2023-10-24 Nxp Usa, Inc. Defrosting apparatus with arc detection and methods of operation thereof
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
US11166352B2 (en) 2018-12-19 2021-11-02 Nxp Usa, Inc. Method for performing a defrosting operation using a defrosting apparatus
US11039511B2 (en) 2018-12-21 2021-06-15 Nxp Usa, Inc. Defrosting apparatus with two-factor mass estimation and methods of operation thereof
US10720305B2 (en) * 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
JP7101628B2 (ja) * 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体
KR20200126177A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 Rf 파워 모니터링 장치, 및 그 장치를 포함하는 pe 시스템
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
US11527385B2 (en) * 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
CN114695045A (zh) * 2020-12-29 2022-07-01 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN114242554B (zh) * 2021-12-20 2024-01-05 北京北方华创微电子装备有限公司 半导体工艺设备及射频回路中阻抗的补偿方法
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080071493A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 다수의 vhf 소스들을 이용하는 이온 분포 균일성제어기를 갖는 플라즈마 반응기
KR20110099122A (ko) * 2008-12-03 2011-09-06 어플라이드 머티어리얼스, 인코포레이티드 균일성 제어를 위한 rf 리터닝 스트랩들의 변조
KR20120077509A (ko) * 2010-12-30 2012-07-10 세메스 주식회사 가변 커패시터, 플라즈마 임피던스 매칭 장치 및 그 방법

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US6313584B1 (en) 1998-09-17 2001-11-06 Tokyo Electron Limited Electrical impedance matching system and method
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
JP2002316040A (ja) * 2001-04-24 2002-10-29 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
TWI279169B (en) 2002-01-24 2007-04-11 Alps Electric Co Ltd Plasma processing apparatus capable of performing uniform plasma treatment by preventing drift in plasma discharge current
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050022736A1 (en) 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
TWI298909B (en) * 2005-04-12 2008-07-11 Nat Univ Tsing Hua An inductively-coupled plasma etch apparatus and a feedback control method thereof
US7342361B2 (en) 2005-05-11 2008-03-11 Dublin City University Plasma source
CN1942045A (zh) * 2005-09-30 2007-04-04 联华电子股份有限公司 等离子体制程稳定系统
US20070091540A1 (en) 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US8894804B2 (en) 2007-12-13 2014-11-25 Lam Research Corporation Plasma unconfinement sensor and methods thereof
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
TWI458850B (zh) * 2008-07-07 2014-11-01 Lam Res Corp 用來鑑定電漿處理腔室中之薄膜之特性的射頻偏壓電容耦合靜電探針裝置
US8313664B2 (en) * 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR102164678B1 (ko) * 2009-08-31 2020-10-12 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
KR200476124Y1 (ko) * 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Rf­전력공급 샤워헤드를 위한 편심 접지 복귀
JP5643062B2 (ja) * 2009-11-24 2014-12-17 東京エレクトロン株式会社 プラズマ処理装置
US8330432B2 (en) 2009-12-22 2012-12-11 Advanced Energy Industries, Inc Efficient active source impedance modification of a power amplifier
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9123762B2 (en) * 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
TWI455172B (zh) 2010-12-30 2014-10-01 Semes Co Ltd 基板處理設備、電漿阻抗匹配裝置及可變電容器
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
DE102011080035A1 (de) * 2011-07-28 2013-01-31 Hüttinger Elektronik Gmbh + Co. Kg Verfahren und Vorrichtung zum Schutz von an einen Hochfrequenzgenerator angeschlossenen passiven Komponenten
US8872525B2 (en) * 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US10586686B2 (en) * 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
KR102192742B1 (ko) * 2011-11-23 2020-12-18 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9111722B2 (en) 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9245720B2 (en) 2012-06-12 2016-01-26 Lam Research Corporation Methods and apparatus for detecting azimuthal non-uniformity in a plasma processing system
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
KR102168064B1 (ko) * 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9406485B1 (en) 2013-12-18 2016-08-02 Surfx Technologies Llc Argon and helium plasma apparatus and methods
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10109460B2 (en) 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080071493A (ko) * 2007-01-30 2008-08-04 어플라이드 머티어리얼스, 인코포레이티드 다수의 vhf 소스들을 이용하는 이온 분포 균일성제어기를 갖는 플라즈마 반응기
JP2008252067A (ja) * 2007-01-30 2008-10-16 Applied Materials Inc 複数のvhf源を用いるイオン分布均一性制御器を備えたプラズマリアクタ
KR20110099122A (ko) * 2008-12-03 2011-09-06 어플라이드 머티어리얼스, 인코포레이티드 균일성 제어를 위한 rf 리터닝 스트랩들의 변조
KR20120077509A (ko) * 2010-12-30 2012-07-10 세메스 주식회사 가변 커패시터, 플라즈마 임피던스 매칭 장치 및 그 방법

Also Published As

Publication number Publication date
CN106941069A (zh) 2017-07-11
CN106941069B (zh) 2019-06-25
TW201531024A (zh) 2015-08-01
CN104517794A (zh) 2015-04-15
TWI650938B (zh) 2019-02-11
US20150091440A1 (en) 2015-04-02
KR102624267B1 (ko) 2024-01-11
SG10201807580PA (en) 2018-10-30
CN104517794B (zh) 2017-05-24
KR102509476B1 (ko) 2023-03-10
SG10201406212QA (en) 2015-05-28
KR20150039119A (ko) 2015-04-09
US9337000B2 (en) 2016-05-10
US20160233058A1 (en) 2016-08-11
KR20220041062A (ko) 2022-03-31
US10249476B2 (en) 2019-04-02
KR102377961B1 (ko) 2022-03-22

Similar Documents

Publication Publication Date Title
KR102509476B1 (ko) Rf 복귀 경로의 임피던스의 제어
US10157730B2 (en) Control of impedance of RF delivery path
US11315765B2 (en) Plasma processing apparatus and plasma processing method
KR102153141B1 (ko) 플라즈마 균일성 튜닝을 위한 멀티-무선주파수 임피던스 제어
US10157729B2 (en) Soft pulsing
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
JP5492070B2 (ja) ウエハに面する電極に直流電圧を誘導するための方法およびプラズマ処理装置
US9312832B2 (en) High power filter with single adjust for multiple channels
KR102347373B1 (ko) 기판 프로세싱 방법 및 장치
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
KR20150039125A (ko) 모델링, 피드백 및 임피던스 매칭을 사용하는 에칭 레이트 제어
US20200373126A1 (en) Plasma control apparatus and plasma processing system including the same
US7758718B1 (en) Reduced electric field arrangement for managing plasma confinement
JP7066920B2 (ja) プラズマチャンバの電極への電力送出を最適化するシステムおよび方法
KR102467966B1 (ko) 하이브리드 플라즈마 발생 장치 및 하이브리드 플라즈마 발생 장치의 제어방법
WO2023038838A1 (en) Hybrid frequency plasma source
KR20230164552A (ko) 플라즈마 시스 (sheath) 특성을 제어하기 위한 시스템들 및 방법들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant