KR20220134431A - 전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법 - Google Patents

전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법 Download PDF

Info

Publication number
KR20220134431A
KR20220134431A KR1020220020188A KR20220020188A KR20220134431A KR 20220134431 A KR20220134431 A KR 20220134431A KR 1020220020188 A KR1020220020188 A KR 1020220020188A KR 20220020188 A KR20220020188 A KR 20220020188A KR 20220134431 A KR20220134431 A KR 20220134431A
Authority
KR
South Korea
Prior art keywords
conductive feature
layer
spacer
dielectric layer
opening
Prior art date
Application number
KR1020220020188A
Other languages
English (en)
Inventor
이-니엔 수
유-유 첸
쿠안-웨이 후앙
리-민 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220134431A publication Critical patent/KR20220134431A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

방법은 오프닝을 형성하기 위해 유전체 층을 에칭하는 것을 포함한다. 유전체 층 하부의 제1 전도성 피처는 오프닝에 노출된다. 희생 스페이서 층은 오프닝 안으로 연장되도록 성막된다. 희생 스페이서 층은 패터닝된다. 오프닝의 하단에 있는 희생 스페이서 층의 하단 부분이 제1 전도성 피처를 드러내기 위해 제거되고, 링을 형성하기 위해 오프닝 내의 및 유전체 층의 측벽 상의 희생 스페이서 층의 수직 부분이 남겨진다. 제2 전도성 피처가 오프닝 내에 형성된다. 제2 전도성 피처는 링에 의해 둘러싸이고, 제1 전도성 피처 위에 있고 제1 전도성 피처에 전기적으로 결합된다. 에어 스페이서를 형성하기 위해 링의 적어도 일부가 제거된다.

Description

전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법 {AIR SPACER SURROUNDING CONDUCTIVE FEATURES AND METHOD FORMING SAME}
우선권 주장 및 상호 참조
본 출원은, 2021년 3월 26일에 출원되어 발명의 명칭이 “Metal Air Spacer Patterning” 인 미국 특허 가출원 제63/166,318호에 대해 우선권을 주장하며, 이 출원의 전체 내용은 본 명세서에 참고로 포함된다.
트랜지스터와 같은 집적 회로 디바이스는 반도체 웨이퍼 상에 형성된다. 디바이스는 기능 회로를 형성하기 위해 금속 라인 및 비아를 통해 상호연결되고, 금속 라인 및 비아는 라인 백엔드 (back-end-of-line) 공정에서 형성된다. 금속 라인 및 비아의 기생 커패시턴스를 감소시키기 위해 금속 라인 및 비아는 일반적으로 3.8 미만, 3.0 미만 또는 2.5 미만의 k 값을 갖는 저-k 유전체 층에 형성된다.
저-k 유전체 층에서 금속 라인 및 비아의 형성에서, 저-k 유전체 층은 트렌치 및 비아 오프닝을 형성하기 위해 에칭된다. 저-k 유전체 층의 에칭은 저-k 유전체 재료 위에 패터닝된 하드 마스크를 형성하는 단계, 및 트렌치를 형성하기 위해 패터닝된 하드 마스크를 에칭 마스크로서 이용하는 단계를 수반할 수 있다. 비아 오프닝은 또한 트렌치 하부에 형성된다. 그 후, 트렌치 및 비아 오프닝은 금속 재료로 채워지며, 이는 구리를 포함할 수 있다. 그 후 저-k 유전체 층 위의 금속 재료의 초과 부분을 제거하기 위해 화학적 기계적 연마 (CMP; Chemical Mechanical Polishing) 공정이 수행된다.
에어 스페이서는 1.0과 같은 낮은 k 값을 갖는 것으로 알려져 있다. 금속 라인들 사이에 에어 스페이서를 형성하기 위한 종래의 공정에서, 두 금속 라인들 사이의 유전체 재료가 먼저 제거되고, 이어서 두 금속 라인들 사이에 다른 유전체 재료가 재성막된다. 재충전된 유전체 재료에 에어 스페이서가 형성되도록 성막 공정이 제어된다. 그 후 채워진 유전체 재료의, 금속 라인 위에 있는 초과 부분을 제거하기 위해 CMP 공정이 수행된다.
본 개시내용의 양상들은 첨부 도면들과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들이 축척대로 그려지지 않았다는 점을 강조한다. 실제로, 다양한 피처들의 치수들은 논의의 명확성을 위해 임의로 증가되거나 축소될 수 있다.
도 1-8, 9a, 9b, 10-14, 및 15a는 일부 실시예들에 따른 전도성 피처 및 에어 스페이서의 형성에서 중간 단계의 단면도를 예시한다.
도 15b는 일부 실시예들에 따른 임의의 에어 스페이서에 의해 둘러싸여 있지 않은 이중 다마신 구조의 단면도를 예시한다.
도 15c는 일부 실시예들에 따른 에어 스페이서의 상면도를 예시한다.
도 16은 일부 실시예들에 따른 웨이퍼 내의 층들의 개략도를 예시한다.
도 17은 일부 실시예들에 따른 전도성 피처 및 에어 스페이서를 형성하기 위한 공정 흐름을 예시한다.
다음의 개시내용은 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예들을 제공한다. 본 개시내용을 간략화 하기 위해 컴포넌트들 및 배열들의 특정 예들이 아래에 설명된다. 이들은 물론 단지 예들일 뿐이며 제한하려는 것은 아니다. 예를 들어, 이어지는 설명에서 제2 피처 위의 또는 그 상의 제1 피처의 형성은 제1 및 제2 피처들이 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제1 및 제2 피처들이 직접 접촉하지 않을 수 있도록, 제1 및 제2 피처들 사이에 부가적인 피처들이 형성될 수 있는 실시예들을 또한 포함할 수 있다. 추가적으로, 본 개시내용은 참조 번호들 및/또는 문자들을 다양한 예들에서 반복할 수 있다. 이러한 반복은 단순성 및 명확성의 목적을 위함이고, 그 자체로 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 지시하지 않는다.
추가적으로, “하부”, “아래”, “하위”, “상부”, “상위” 등과 같은 공간적으로 관련된 용어들은 본원에서 설명의 용이함을 위해, 도면들에서 나타내어진 바와 같은 하나의 엘리먼트 또는 피처와 다른 엘리먼트(들) 또는 피처(들)에 대한 관계를 설명하는 데 이용될 수 있다. 공간적으로 관련된 용어들은, 도면들에 도시된 배향에 부가하여, 이용 중인 디바이스나 동작의 상이한 배향들을 포괄하도록 의도된다. 장치는 다른 방식으로 배향 (90도 또는 다른 배향들로 회전)될 수 있고, 본 명세서에서 이용되는 공간적으로 관련된 기술어들은 마찬가지로 상응하게 해석될 수 있다.
에어 스페이서 및 그 형성 방법이 제공된다. 본 개시내용의 일부 실시예들에 따르면, 희생 스페이서가 링으로써 형성되고, 금속 라인, 금속 비아, 콘택 플러그 등과 같은 전도성 피처가 희생 스페이서에 의해 둘러싸인 공간 내에 형성된다. 그 후 전도성 피처를 둘러싸는 에어 스페이서를 남기기 위해 희생 스페이서가 제거된다. 본 개시내용의 실시예들에 따른 에어 스페이서의 형성은 유전체 재료를 제거한 후 재충전할 필요가 없으므로, 고가의 공정인 평탄화 공정을 수행할 필요가 없다. 본 명세서에서 논의된 실시예들은 본 개시내용의 주제를 만들거나 이용할 수 있도록 하는 예들을 제공하기 위한 것이며, 당업자는 상이한 실시예들의 고려된 범위 내에서 이루어질 수 있는 변경을 용이하게 이해할 것이다. 다양한 도면들 및 예시적인 실시예들에 걸쳐, 같은 참조 번호는 같은 요소를 지정하는 데 이용된다. 비록 방법 실시예가 특정 순서로 수행되는 것으로 논의될 수 있지만, 다른 방법 실시예는 임의의 논리적 순서로 수행될 수 있다.
도 1-8, 9a, 9b, 10-14, 및 15a는 본 개시내용의 일부 실시예들에 따른 전도성 피처 및 에어 스페이서의 형성에서 중간 단계의 단면도들을 예시한다. 해당 공정들은 도 17에 도시된 공정 흐름에도 개략적으로 반영된다.
도 1은 패키지 구성요소 (20)의 단면도를 예시한다. 본 개시내용의 일부 실시예들에 따르면, 패키지 구성요소 (20)는 예시된 집적 회로 디바이스 (26)에 의해 나타내어지는 능동 디바이스들 및 가능하게는 수동 디바이스들을 포함하는 디바이스 웨이퍼이다. 디바이스 웨이퍼 (20)는 내부에 복수의 다이들 (22)을 포함할 수 있으며, 다이들 (22) 중 하나가 예시되어 있다. 본 개시내용의 대안적인 실시예들에 따르면, 패키지 구성요소 (20)는 능동 디바이스 및/또는 수동 디바이스를 포함하거나 포함하지 않을 수 있는 인터포저 웨이퍼이다. 후속 논의에서, 디바이스 웨이퍼는 패키지 구성요소 (20)의 예로서 논의된다. 본 개시내용의 실시예들은 또한 인터포저 웨이퍼, 패키지 기판, 패키지 등과 같은 다른 유형의 패키지 구성요소에 적용될 수 있다.
본 개시내용의 일부 실시예들에 따르면, 웨이퍼 (20)는 반도체 기판 (24) 및 반도체 기판 (24)의 상단 표면에 형성된 피처를 포함한다. 반도체 기판 (24)은 결정질 실리콘, 결정질 게르마늄, 실리콘 게르마늄, 또는 GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP 등과 같은 III-V족 화합물 반도체로 형성될 수 있다. 반도체 기판 (24)은 또한 벌크 반도체 기판 또는 반도체-온-절연체 (SOI; Semiconductor-On-Insulator) 기판일 수 있다. 얕은 트렌치 격리 (STI; Shallow Trench Isolation) 영역들 (도시되지 않음)은 반도체 기판 (24)의 활성 영역들을 분리하기 위해 반도체 기판 (24)내에 형성될 수 있다. 비록 도시되지 않았지만, 관통 비아는 반도체 기판 (24) 안으로 연장되도록 형성될 수 있고 (또는 형성되지 않을 수 있고), 여기서 관통 비아는 웨이퍼 (20)의 반대편 측면 상의 피처들을 전기적으로 상호 결합하는 데 이용된다.
본 개시내용의 일부 실시예들에 따르면, 집적 회로 디바이스 (26)는 반도체 기판 (24)의 상단 표면에 형성된다. 일부 실시예들들에 따르면 집적 회로 디바이스 (26)는 상보성 금속 산화물 반도체 (CMOS) 트랜지스터, 저항기, 커패시터, 다이오드 등을 포함할 수 있다. 집적 회로 디바이스 (26)의 세부 사항은 도 1에 예시되어 있지 않다. 도 16은 일부 실시예들들에 따른 예시적인 트랜지스터의 개략도를 예시하며, 이는 게이트 스택 (110) 및 소스/드레인 영역 (112)을 포함하고, 반도체 기판 (24)의 상단 표면에 형성된다. 대안적인 실시예들에 따르면, 웨이퍼 (20)는 인터포저를 형성하기 위해 이용되며, 기판 (24)은 반도체 기판 또는 유전체 기판일 수 있다.
층간 유전체 (ILD; 28)는 반도체 기판 (24) 위에 형성되고, 집적 회로 디바이스 (26)에서 트랜지스터 (도시되지 않음)의 게이트 스택들 사이의 공간을 채운다. 일부 실시예들에 따르면, ILD (28)는 인 실리케이트 유리 (PSG; Phospho Silicate Glass), 보론 실리케이트 유리 (BSG; Boro Silicate Glass), 보론 도핑된 인 실리케이트 유리 (BPSG; Boron-doped Phospho Silicate Glass), 플루오린 도핑된 실리케이트 유리 (FSG; Fluorine-doped Silicate Glass), 실리콘 산화물 등으로 형성되거나 이를 포함한다. ILD (28)는 스핀 코팅, 유동성 화학 기상 증착 (FCVD; Flowable Chemical Vapor Deposition) 등을 이용하여 형성될 수 있다. 본 개시내용의 일부 실시예들에 따르면, ILD (28)는 플라즈마 강화 화학 기상 증착 (PECVD), 저압 화학 기상 증착 (LPCVD) 등과 같은 성막 공정을 이용하여 형성된다.
콘택 플러그 (30)는 ILD (28) 내에 형성되고, 집적 회로 디바이스 (26)를 상부에 놓인 금속 라인 및 비아에 전기적으로 연결하는 데 이용된다. 본 개시내용의 일부 실시예들에 따르면, 콘택 플러그 (30)는 텅스텐, 알루미늄, 구리, 티타늄, 탄탈륨, 티타늄 질화물, 탄탈륨 질화물, 이들의 합금, 및/또는 이들의 다층으로부터 선택된 전도성 재료로 형성된다. 콘택 플러그 (30)의 형성은 ILD (28) 내에 콘택 오프닝을 형성하는 단계, 콘택 오프닝 안으로 전도성 재료(들)를 채우는 단계, ILD (28)의 상단 표면과 콘택 플러그 (30)의 상단 표면을 수평하게 하기 위한 화학적 기계적 연마 (CMP; Chemical Mechanical Polish) 공정 또는 기계적 연삭 공정 같은 평탄화 공정을 수행하는 단계를 포함할 수 있다.
도 2를 참조하면, 에칭 정지 층 (32)이 ILD (28) 및 콘택 플러그 (30) 위에 형성된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (202)으로서 예시된다. 일부 실시예들에 따르면, 에칭 정지 층 (32)은 ILD (28) 및 콘택 플러그 (30)의 상단 표면과 접촉한다. 대안적인 실시예들에 따르면, ILD (28)와 에칭 정지 층 (32) 사이에 위치하는 하나 또는 복수의 층 및 대응하는 피처들이 존재한다. 예를 들어, ILD (28)와 에칭 정지 층 (32) 사이에 추가적인 에칭 정지 층(들), 추가적인 ILD, 저-k 유전체 층들 등이 있을 수 있다. 상응하여, 유전체 층들 내에 콘택 플러그들, 비아들, 금속 라인들 등이 있을 수 있다.
에칭 정지 층 (32)은 실리콘 질화물 (SiN), 실리콘 탄화물 (SiC), 실리콘 산질화물 (SiON), 실리콘 산탄화물 (SiOC), 실리콘 탄질화물 (SiCN) 등을 포함할 수 있다. 에칭 정지 층 (32)은 또한 금속 산화물, 금속 질화물 등을 포함할 수 있다. 에칭 정지 층 (32)은 균질한 재료로 형성된 단일 층이거나, 상이한 재료들로 형성된 복수의 유전체 하위 층들을 포함하는 복합층일 수 있다. 본 개시내용의 일부 실시예들에 따르면, 에칭 정지 층 (32)은 알루미늄 질화물 (AlN) 층, 알루미늄 질화물 층 위의 실리콘 산탄화물 층, 및 실리콘 산탄화물 층 위의 알루미늄 산화물 층을 포함한다.
추가로 도 2를 참조하면, 유전체 층 (34)은 에칭 정지 층 (32) 위에 성막된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (204)으로서 예시된다. 일부 실시예들에 따르면, 유전체 층 (34)은 또한 ILD 층이다. 대안적인 실시예들에 따르면, 유전체 층 (34)은 금속 라인을 형성하기 위한 금속간 유전체 (IMD) 층이다. 본 개시내용의 일부 실시예들에 따르면, 유전체 층 (34)은 3.8보다 낮은 유전 상수 (k 값)를 갖는 저-k 유전체 재료로 형성되거나 이를 포함하고, 유전 상수는 또한 약 2.5와 3.0 사이 같이 약 3.0보다 낮을 수 있다. 유전체 층 (34)은 탄소 함유 저-k 유전체 재료, 하이드로젠 실세스퀴옥세인 (HSQ; Hydrogen SilsesQuioxane), 메틸실세스퀴옥세인 (MSQ; MethylSilsesQuioxane) 등을 포함할 수 있다. 본 개시내용의 일부 실시예들에 따르면, 유전체 층 (34)의 형성은 포로겐-함유 유전체 재료를 성막하는 단계, 그 후 포로겐을 몰아내기 위한 경화 공정을 수행하는 단계를 포함하고, 따라서 남아있는 유전체 층 (34)은 다공성이다.
패드 층 (36) 및 하드 마스크 (38)는 반도체 기판 IMD 상에 형성된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (206)으로서 예시된다. 패드 층 (36)은 실리콘 산화물로 형성되거나 이를 포함하는 박막일 수 있다. 본 개시내용의 일부 실시예들에 따르면, 패드 층 (36)은 전구체로서 테트라에틸 오쏘실리케이트 (TEOS)를 이용하여 형성되고, 성막 공정은 PECVD, CVD 등을 포함할 수 있다. 패드 층 (36)은 유전체 층 (34)과 하드 마스크 (38) 사이의 접착층으로서 작용한다. 패드 층 (36)은 또한 하드 마스크 (38)를 에칭하기 위한 에칭 정지 층으로서 작용할 수 있다. 본 개시내용의 일부 실시예들에 따르면, 하드 마스크 (38)는 실리콘 질화물로, 예를 들어, 저압 화학 기상 증착 (LPCVD)을 이용하여 형성된다. 본 개시내용의 다른 실시예들에 따르면, 하드 마스크 (38)는 예를 들어 PECVD를 통해 형성될 수 있는 티타늄 질화물, 붕소 질화물 등으로 형성되거나 이를 포함한다. 하드 마스크 (38)는 후속 포토리소그래피 공정 동안 하드 마스크로 이용된다.
추가로 도 2를 참조하면, 포토 레지스트 (40)가 하드 마스크 (38) 상에 형성된 후 패터닝되어, 포토 레지스트 (40) 내에 오프닝 (42)을 형성한다. 후속 공정에서, 포토 레지스트 (40)는 하드 마스크 층 (36)을 에칭하는데 이용된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (208)로서 예시된다. 패드 층 (36)은 에칭 공정을 위한 에칭 정지 층으로서 작용할 수 있다. 따라서, 패드 층 (36)이 노출된다. 에칭 공정 후에, 포토 레지스트 (40)는 예를 들어 애싱 공정에서 제거된다.
다음으로, 도 3을 참조하면, 패드 층 (36) 및 유전체 층 (34)은 에칭 마스크로서 하드 마스크 (38)를 이용하여 에칭되고, 오프닝 (42)은 유전체 층 (34) 안으로 연장된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (210)으로서 예시된다. 패드 층 (36)은 NF3 와 NH3 가스의 혼합물, HF와 NH3 가스의 혼합물 등을 이용하여 건식 에칭 공정을 통해 에칭될 수 있다. 대안적으로, 패드 층 (36)은 예를 들어 HF 용액을 이용하여 습식 에칭 공정을 통해 에칭될 수 있다. 본 개시내용의 일부 실시예들에 따르면, 유전체 층 (34)의 에칭은 불소 및 탄소를 포함하는 공정 가스를 이용하여 수행되며, 여기서 불소는 에칭에 이용되며, 탄소는 유전체 층 (34)의 측벽을 보호하는 효과를 가지며, 측벽은 비아 오프닝과 트렌치를 향한다. 예를 들어, 에칭을 위한 공정 가스는 C4F8, CH2F2, CH4, CH3F, 및/또는 CF4와 같은 불소 및 탄소 함유 가스(들), 및 Ar, N2 등과 같은 캐리어 가스를 포함한다. 에칭은 이방성이다.
유전체 층 (34)의 에칭은 에칭 정지 층 (32) 상에서 멈춘다. 다음으로, 에칭 정지 층 (32)이 에칭-쓰루 (etched-through)되고, 오프닝 (42)은 에칭 정지 층 (32)을 더 관통한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (212)으로 예시된다. 에칭 화학물질은 에칭 정지 층 (32)의 재료 및 층에 따라 선택된다. 예를 들어, 에칭 정지 층 (32)이 알루미늄 산화물, 실리콘 산탄화물, 알루미늄 질화물 등을 포함하는 경우, BCl3, Cl2, CF4, CHF3등과 같은 에칭 가스들이 이용될 수 있으며, 산소 (O2)가 첨가될 수 있다. 유전체 층 (34)의 에칭 후에, 하부 전도성 피처들 (에칭 정지 층 (32)이 콘택 플러그 (30) 바로 위에 있을 때 콘택 플러그 (30)와 같은)이 드러난다.
일부 실시예들에 따르면, 오프닝 (42)은 폭 (W1)을 갖고, 이웃하는 오프닝들 (42) 사이의 간격은 S1이며, 여기서 폭 (W1) 및 간격 (S1)은 유전체 층 (34)의 상단 표면에서 측정된다. 이웃하는 오프닝들 (42)은 피치 (P1)를 가지며, 이는 또한 후속적으로 채워질 전도성 피처 (금속 라인 같은)의 피치이다. 일부 실시예들에 따르면, 폭 (W1)은 약 9 nm와 약 30 nm 사이의 범위에 있고, 간격 (S1)은 약 3 nm와 약 10 nm 사이의 범위에 있으며, 피치 (P1)는 약 12 nm와 약 40 nm 사이의 범위에 있다.
도 4는 희생 스페이서 층 (44)의 성막을 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (214)로서 예시된다. 일부 실시예들에 따르면, 희생 스페이서 층 (44)은 Si와 같은 반도체 또는 티타늄 산화물 (TiOx), 알루미늄 산화물 (AlOx), 실리콘 질화물 등과 같은 유전체 재료 로 형성되거나 이를 포함한다. 희생 스페이서 층 (44)의 두께 (T1)는 의도된 에어 스페이서의 바람직한 폭에 의해 결정되고, 약 1 nm와 약 10 nm 사이의 범위에 있을 수 있다. 성막은 CVD, ALD, 물리 기상 증착 (PVD; Physical Vapor Deposition) 등과 같은 균일한 (conformal) 성막 공정을 통해 수행될 수 있다.
폭 (W1), 간격 (S1), 피치 (P1), 두께 (T1) 등은 유전체 층 (34)의 위치와 관련이 있음이 이해된다. 예를 들어, 유전체 층 (34)이 금속화 층 M0, M1 등에 대한 층과 같은 하위 IMD 층인 경우, 폭 (W1), 간격 (S1), 피치 (P1), 및 두께 (T1)는 더 작을 수 있고, 유전체 층 (34)이 금속화 층 M8, M9, 또는 더 높은 것에 대한 층과 같은 더 높은 IMD 층인 경우, 폭 (W1), 간격 (S1), 피치 (P1), 및 두께 (T1)는 더 클 수 있다.
도 5는 희생 스페이서 층 (44)을 패터닝하기 위한 이방성 에칭 공정 (43)을 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (216)으로서 예시된다. 일부 실시예들에 따르면, 에칭은 건식 에칭 공정을 통해 수행되며, 에칭 가스는 희생 스페이서 층 (44)의 재료에 따라 Cl2, CF4, CHF3, CH4, HBr, O2등을 포함할 수 있다. 이방성 에칭 공정의 결과로, 희생 스페이서 층 (44)의 수평 부분 (44)이 제거된다. 또한, 오프닝 (42)의 하단에서 전도성 피처 (30)가 노출된다. 희생 스페이서 층 (44)의 수직 부분은 오프닝 (42) 내에 남아 있고, 에칭 정지 층 (32), 유전체 층 (34), 패드 층 (36), 및 하드 마스크 (38)의 측벽들 상에 있다.
도 6 내지 도 8은 전도성 피처 (50) (도 8)의 형성을 예시한다. 도 6을 참조하면, 배리어 층 (46)이 형성된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (218)으로서 예시된다. 일부 실시예들에 따르면, 배리어 층 (46)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물 등으로 형성되거나 이를 포함한다. 배리어 층 (46)은 CVD, ALD, PVD 등을 이용하여 형성될 수 있는 균일한 (conformal) 층으로서 형성될 수 있다. 배리어 층 (46)의 형성 후에, 금속 시드 층 (도시되지 않음)이 형성된다. 금속 시드 층은 구리로 형성되거나 구리를 포함할 수 있고, 예를 들어 PVD를 이용하여 형성될 수 있다.
도 7은 전도성 재료 (48)의 성막을 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (220)으로서 예시된다. 일부 실시예들에 따르면, 전도성 재료 (48)는 구리 또는 구리 합금, 코발트, 텅스텐, 알루미늄 등, 또는 이들의 조합들을 포함한다. 성막 공정은 전기 화학 도금 (ECP; Electro Chemical Plating), 무전해 도금, CVD 등을 포함할 수 있다. 전도성 재료 (48)는 오프닝 (42)을 완전히 채운다.
다음으로, 전도성 재료 (48) 및 배리어 층 (46)의 초과 부분을 제거하기 위해 화학적 기계적 연마 (CMP; Chemical Mechanical Polishing) 공정 또는 기계적 연삭 공정과 같은 평탄화 공정이 수행된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (222)으로 예시된다. 평탄화 공정은 유전체 층 (34)의 상단 표면 또는 패드 층 (36)의 상단 표면 상에서 중단될 수 있다. 평탄화 공정은 또한 유전체 층 (34)의 상단 부분을 제거하기 위해 수행될 수 있다. 결과 구조는 도 8에 도시되어 있다. 설명 전반에 걸쳐, 전도성 재료 (48) 및 배리어 층 (46)의 남아있는 부분은 금속 라인, 금속 비아, 콘택 플러그 등일 수 있는 전도성 피처들 (50)로 총칭된다. 스페이서 링 (44)은 대응하는 전도성 피처 (50)를 둘러싼다.
도 9a는 에어 스페이서 (52)를 형성하기 위한 희생 스페이서 층 (44)의 제거를 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (224)으로서 예시된다. 에어 스페이서 (52)는 희생 스페이서 층 (44)의 순응도 때문에 실질적으로 균일한 두께 (측면 치수)를 가지고, 예를 들어, 에어 스페이서의 대부분의 두께가 약 20퍼센트보다 작은 변화를 갖는다. 일부 실시예들에 따르면, 희생 스페이서 층 (44)은 등방성 에칭 공정을 이용하여 에칭되며, 이는 건식 에칭 공정 및/또는 습식 에칭 공정을 포함할 수 있다. 예를 들어, 건식 에칭 공정이 수행되는 경우, 에칭 가스는 희생 스페이서 층 (44)의 재료에 따라 HF, NF3, O2, H2, NH3, Cl2, CF4, CHF3, CH4, HBr 등, 또는 이들의 조합들을 포함할 수 있다. 습식 에칭 공정이 수행되는 경우, 에칭 화학물은 HF 용액, 암모니아수(NH4OH) 등을 포함할 수 있다.
일부 실시예들에 따르면, 희생 스페이서 층 (44)이 완전히 제거되고, 에어 스페이서 (52)가 (유전체 층 (34)의 위치에 따라 ILD (28)와 같은) 하부 유전체 층의 상단 표면으로 연장된다. 공정 변동 및 에어 스페이서 (52)의 높은 종횡비는 희생 스페이서 층 (44)이 부분적으로 제거되게 할 수 있는 것도 가능하다. 예를 들어, 희생 스페이서 층 (44)의 하단 부분은 제거 공정 후에 제거되지 않은 채로 남아 있을 수 있고, 점선 (44T)은 희생 스페이서 층 (44)의 잔여물의 상단 표면을 나타낸다. 희생 스페이서 층 (44)의 잔여 부분은 전도성 피처 (50)를 둘러싸는 완전한 링 (full ring)을 형성할 수 있다. 또한, 공정 변동 및 제거의 불균일성으로 인해, 전도성 피처 (50)의 일부를 둘러싸는 희생 스페이서 층 (44)이 완전히 제거될 수 있는 반면, 일부 다른 전도성 피처 (50)를 둘러싸는 희생 스페이서 층 (44)은 잔여물을 남길 수 있다. 또한, 대응하는 스페이서 층 (44)의 일부 부분이 완전히 제거되고 하부 ILD (28)가 노출될 수 있는 반면, 동일한 전도성 피처 (50)를 둘러싸는 동일한 스페이서 층 (44)의 일부 다른 부분은 잔여 희생 스페이서 층으로써 남게 된다. 도 9a에 잔여 희생 스페이서 층 (44)이 최우측 전도성 피처 (50)의 좌측에 존재하는 반면, 최우측 전도성 피처 (50)의 우측 상의 희생 스페이서 층 (44)의 부분이 완전히 제거된 것을 보여주는 예가 개략적으로 도시되어 있다. 또한, 도 9a에 도시된 예에서 지시되는 바와 같이, 동일한 전도성 피처 (50) 또는 상이한 전도성 피처 (50)를 둘러싸는 잔여 희생 스페이서 층 (44)의 상이한 부분은 상이한 레벨에서 그들의 상단 표면을 가질 수 있다. 위에서 논의된 에어 갭 스페이서 (52) 및 잔여 희생 스페이서 층 (44)은 동일한 웨이퍼 및 동일한 다이 (22) 상에 존재할 수 있다는 것이 이해된다.
도 10은 금속 캡 (54)의 형성을 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (226)으로서 예시된다. 일부 실시예들에 따르면, 금속 캡 (54)은 선택적 성막 공정을 통해 형성되므로, 금속 캡 (54)은 희생 스페이서 층 (44) 및 유전체 층 (34)과 같은 유전체 재료들의 노출된 표면들 상이 아니라 전도성 피처 (50)의 노출된 표면들 상에 선택적으로 성막된다. 일부 실시예들에 따르면, 선택적 성막 공정은 ALD 또는 CVD를 통해 수행될 수 있다. 일부 실시예들에 따르면, 금속 캡 (54)은 코발트 (Co), 텅스텐 (W), CoWP, CoB, 탄탈륨 (Ta), 니켈 (Ni), 몰리브덴 (Mo), 티타늄 (Ti), 철 (Fe), 또는 이들의 조합들로 형성되거나 이들을 포함한다. 금속 캡 (54)이 성막되는 경우, 전구체는 금속 할로겐화물 (WCl5와 같은) 또는 금속 유기 재료 및 H2와 같은 환원제를 포함할 수 있다. 성막 공정은 약 275℃ 내지 약 500℃ 사이의 범위와 같은 상승된 온도에서 수행되는 열 공정일 수 있다. 성막은 또한 플라즈마가 켜진 상태에서 수행될 수 있다. 일부 실시예들들에 따르면, 반응식은 MX + H2 -> M + HX이고, 여기서 M은 금속을 나타내고, MX는 WCl5와 같은 금속 할로겐화물을 나타낸다.
일부 실시예들에 따르면, 금속 캡 (54)은 전도성 피처 (50) 바로 위의 영역내에서 제한된다. 금속 캡 (54)은 돌출부를 형성하기 위해 약간 옆으로 연장되는 부분을 포함하거나 포함하지 않을 수 있다. 돌출부는 전도성 피처 (50)의 측벽의 상단 부분과 접촉하며, 측벽은 에어 스페이서 (52)를 향한다. 예를 들어, 도 10은 금속 캡 (54)의 연장 부분을 나타내는 점선 (54')을 개략적으로 예시한다. 금속 캡 (54)의 연장 부분 (54')은 에어 스페이서 (52)의 상단 부분 안으로 연장될 수 있다. 또한, 연장 부분 (54')은 유전체 층 (34)으로부터 이격될 수 있거나 유전체 층 (34)의 가장 가까운 부분과 접촉하기위해 충분히 멀리 연장될 수 있다. 따라서, 금속 캡 (54)은 에어 스페이서 (52)를 개방된 채로 남겨두거나 에어 스페이서 (52)를 부분적으로 또는 완전히 밀봉할 수 있다.
도 9a 및 도 10은 결합하여 에어 스페이서 (52)가 먼저 형성되고 그 후에 금속 캡 (54)이 형성되는 실시예를 개시한다. 대안적인 실시예들에 따르면, 금속 캡 (54)이 먼저 형성되고 그 후에 에어 스페이서 (52)를 형성하기 위해 희생 스페이서 층 (44)이 제거된다. 이 실시예는 도 9b 및 도 10에 조합되어 도시된다. 도 9b를 참조하면, 금속 캡 (54)이 성막된다. 성막 공정은 예를 들어 금속 캡 (54)의 두께를 제어함으로써 제어되어, 금속 캡 (54)의 측면 연장부가 희생 스페이서 층 (44)의 상단 상으로 과도하게 연장되지 않도록 한다. 금속 캡 (54)의 형성 후에, 희생 스페이서 층 (44)의 상단 표면의 충분한 부분이 노출된 채로 남아 있다. 금속 캡 (54)의 형성 후에, 희생 스페이서 층 (44)이 제거된다. 결과적인 구조는 또한 도 10에 도시되어 있다. 그러나, 이들 실시예들에 따르면, 금속 캡 (54)의 전체는 유전체 층 (34)의 상단 표면보다 높고, 금속 캡 (54)은 에어 스페이서 (52) 안으로 연장되지 않는다.
에어 스페이서 (52)는 1.0과 동일한 k 값을 가지며, 이는 다른 유전체 재료, 심지어 저-k 유전체 재료보다 더 작다. 에어 스페이서의 형성으로, 이웃하는 전도성 피처들 (50) 사이의 기생 커패시턴스가 감소된다.
도 11은 에칭 정지 층 (56)의 형성을 예시한다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (228)으로서 예시된다. 에칭 정지 층 (56)은 금속 캡 (54)과 접촉하며, 에어 스페이서 (52)를 밀봉한다 (이미 밀봉되지 않은 경우). 일부 실시예들에 따르면, 에칭 정지 층 (56)은 SiN, SiC, SiON, SiOC, SiCN, 또는 이들의 조합들로부터 선택된 재료로 형성될 수 있다. 에칭 정지 층 (56)은 또한 금속 산화물, 금속 질화물 등을 포함할 수 있다. 에칭 정지 층 (56)은 균질한 재료로 형성된 단일 층, 또는 복수의 유전체 하위 층들을 포함하는 복합 층일 수 있다. 본 개시의 일부 실시예들에 따르면, 에칭 정지 층 (56)은 AlN 층, AlN 층 위의 SiOC 층, 및 SiOC 층 위의 AlO 층을 포함한다.
도 12 내지 도 14는 일부 실시예들에 따른 이중 다마신 구조의 형성을 도시한다. 도 12를 참조하면, 유전체 층 (58)이 성막된다. 각각의 공정은 또한 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (228)으로서 예시된다. 유전체 층 (58)은 유전체 층 (34)을 형성하기 위한 후보 재료들의 동일한 그룹으로부터 선택될 수 있는 저-k 유전체 재료로 형성될 수 있다. 트렌치 (62) 및 비아 오프닝 (60)은 유전체 층 (58) 내에 형성된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (230)으로 예시된다. 본 개시내용의 일부 실시예들들에 따르면, 금속 하드 마스크 (도시되지 않음)는 트렌치 (62)의 패턴을 정의하기 위해 형성되고 패터닝된다. 비아 오프닝을 형성하기 위한 유전체 층 (58)을 에칭하기 위해 포토 리소그래피 공정이 수행된다. 비아 오프닝은 유전체 층 (58)의 상단 표면으로부터 유전체 층 (58)의 상단 표면과 하단 표면 사이의 중간 레벨까지 연장된다. 그 후 에칭 마스크로서 금속 하드 마스크를 이용하여 유전체 층 (58)을 에칭하고 트렌치 (62)를 형성하기 위해 이방성 에칭이 수행된다. 트렌치 (62)가 형성됨과 동시에, 비아 오프닝이 금속 캡 (54)까지 하향 연장되어, 비아 오프닝 (60)을 형성한다. 트렌치 (62)를 형성하기 위한 에칭은 시간 모드를 이용하여 수행될 수 있다. 대안적인 실시예들에 따르면, 비아 오프닝 (60) 및 트렌치 (62)는 별도의 포토 리소그래피 공정에서 형성된다. 예를 들어, 제1 포토 리소그래피 공정에서, 금속 캡 (54)까지 아래로 연장되는 비아 오프닝 (60)이 형성된다. 제2 리소그래피 공정에서, 트렌치 (62)가 형성된다. 금속 캡 (54)은 그 후 비아 오프닝 (60)에 노출된다.
도 13을 참조하면, 패터닝된 희생 스페이서 층 (64)이 형성된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (232)으로서 예시된다. 희생 스페이서 층 (64)의 형성 공정은 균일한 (conformal) 층을 성막하는 단계, 그 후 균일한 층의 수평 부분을 제거하기 위해 이방성 에칭 공정을 수행하는 단계를 포함한다. 재료 및 공정 세부사항은 도 4 및 5를 참조하여 논의된 것과 유사하고, 본 명세서에서 반복되지 않는다. 희생 스페이서 층 (64)은 제1 링을 형성하기 위한 트렌치 (62) 내의 제1 수직 부분, 및 제2 링을 형성하기 위한 비아 오프닝 (60) 내의 제2 수직 부분을 포함한다. 제1 링은 제2 링보다 크며, 제2 링에서 연결이 끊어진다.
다음으로, 도 14를 참조하면, 확산 배리어 (66) 및 금속 재료 (68)가 성막된다. 재료 및 형성 공정은 도 6 내지 도 8을 참조하여 논의된 것과 유사하며, 세부 사항은 본 명세서에서 반복되지 않는다. 확산 배리어 (66) 및 금속 재료 (68)의 성막 후에, 비아 (70) 및 금속 라인 (72)을 형성하는 평탄화 공정이 수행된다. 각각의 공정은 도 17에 도시된 바와 같이 공정 흐름 (200)에서 공정 (234)으로서 예시된다. 비아 (70) 및 금속 라인 (72) 각각은 희생 스페이서 층 (64)의 일부로 형성된 스페이서 링으로 둘러싸여 있다.
도 15a는 웨이퍼 (20)의 상단에서 볼 때 링을 형성하는 에어 스페이서 (74)를 형성하는 금속 라인 (72)의 측벽으로부터 희생 스페이서 층 (64)의 제거를 예시한다. 각각의 공정은 공정 흐름 (200)에서 공정 (236)으로서 예시된다. 제거는 또한 등방성 에칭 공정을 통해서도 수행될 수 있다. 결과적인 에어 스페이서 (74)는 유전체 층 (58)의 하부 부분의 상단 표면으로 연장될 수 있고, 이에 노출될 수 있다. 잔여 희생 스페이서 층 (64)이 남을 수 있고, 남지 않을 수 있으며, 여기서 예시적인 잔여 희생 스페이서 층 (64)의 상단 표면은 64T로 도시된다. 또한, 공정 변동 및 로딩 효과로 인해, 잔여 희생 스페이서 층 (64)은 이전 단락들에서 논의된 바와 같이 잔여 희생 스페이서 층 (44)과 유사한 상황을 가질 수 있다. 예를 들어, 희생 스페이서 층 (44)의 일부 부분들은 다른 부분들보다 더 많은 잔여물을 남길 수 있고, 희생 스페이서 층 (44)의 일부 부분들은 잔여물을 남기지 않을 수 있다. 가능한 시나리오는 에어 스페이서 (52)에 대한 논의를 참조하여 찾아질 수 있다.
비아 (70)를 둘러싸는 희생 스페이서 층 (64)의 부분이 제거될 수 없기 때문에, 희생 스페이서 층 (64)의 이러한 부분들은 최종 구조에 남을 것이다. 희생 스페이서 층 (64)의 이러한 부분들은 에어 스페이서 및 저-k 유전체 재료와 비교하여 증가된 기생 커패시턴스를 초래할 것이라는 것이 이해된다. 그러나 비아 (70)는 측면으로 짧고 인접 비아로부터 더 긴 거리를 가질 가능성이 가장 높다. 따라서, 기생 커패시턴스의 불리한 증가는 에어 스페이서 (74)의 형성으로 인한 기생 커패시턴스의 감소에 비해 작다. 달리 말해서, 기생 커패시턴스의 감소는 기생 커패시턴스의 증가를 더 상쇄시킨다.
도 15는 금속 캡 (54)을 형성하기 위해 동일한 그룹의 후보 재료들 및 후보 방법들로부터 각각 선택된 재료 및 방법으로 형성될 수 있는 금속 캡 (76)의 형성을 추가로 예시한다. 금속 캡 (76)은 도 9a 및 9b에 도시된 실시예와 유사한 에어 스페이서 (74)의 형성 전 또는 후에 형성될 수 있다. 또한, 에어 스페이서 (74) 형성 후 금속 캡 (76)을 형성하는 경우, 연장 부분 (76')이 형성되고 유전체층 (58)의 상단 표면 아래로 연장될 수 있다. 대안적으로, 에어 스페이서 (74)의 형성 전에 금속 캡 (76)이 형성되는 경우, 에어 스페이서 (74) 바로 위에 있는 연장 부분 (76')을 포함하는 희생 스페이서 층 (64)의 전체는 유전체 층 (58)의 상단 표면 아래로 연장되지 않을 것이다. 그 후, 에칭 정지 층 (78)이 성막될 수 있다.
도 15b는 대안적인 실시예들에 따른 에어-갭-프리 비아 (70'; air-gap-free via) 및 금속 라인 (72')의 형성을 예시한다. 이들 실시예들은 희생 스페이서 층이 형성되지 않고 따라서 에어 스페이서가 형성되지 않는다는 점을 제외하고는 도 15a에 도시된 실시예들과 유사하다. 따라서 비아 (70') 및 금속 라인 (72')은 둘러싸고 있는 유전체 층 (58)의 측벽들과 물리적으로 접촉한다. 비록 도 15b가 에어-갭-프리 비아 (70') 및 금속 라인 (72')이 전도성 피처 바로 위에 형성되는 것을 예시하지만, 대안적인 실시예들에 따르면, 도 15a에 도시된 바와 같은 에어 스페이서 (74)는 유전체 층 (34) 바로 위의 유전체 층 내에 형성될 수 있는 반면, 기생 커패시턴스 문제가 하위 금속 층에서보다 상위 금속 층에서 덜 심각하기 때문에 에어-갭-프리 비아 (70') 및 금속 라인 (72')은 에어 스페이서 (74)가 형성되는 층 위의 층에 형성되는 것으로 이해된다.
도 15c는 일부 실시예들에 따른 에어 스페이서 (52 또는 74)의 상면도를 예시한다. 도 15c에 도시된 바와 같이, 에어 스페이서 (52 및 74) 각각은 대응하는 전도성 피처 (50/72)를 둘러싸는 완전한 링 (full ring)을 형성할 수 있다. 에어 스페이서 (52) 각각은 실질적으로 균일한 폭 (W1')을 가질 수 있다 (예를 들어, 약 10퍼센트 미만의 변동을 가짐). 폭 (W1')은 대응하는 에어 스페이서 (52 및 74)의 중간 높이에서 측정될 수 있다. 에어 스페이서 (52)의 폭 (W1')은 에어 스페이서 (74)의 폭 (W1')과 같거나 상이할 수 있다. 또한, 단면도로부터 봤을 때, 에어 스페이서 (52)는 상단에서부터 하단까지 실질적으로 균일한 폭 (예를 들어, 약 20% 미만 또는 약 10% 미만의 변동을 가짐)을 갖고, 에어 스페이서 (74)는 상단에서부터 하단까지 실질적으로 균일한 폭 (예를 들어, 약 20% 미만 또는 약 10% 미만의 변동을 가짐)을 갖는다.
도 16은 웨이퍼 (20) (다이 (22)) 내에서 가능한 전도성 피처의 층들의 구조를 예시한다. 트랜지스터 (114)는 반도체 기판 (24)의 상단 표면에 형성되고, 트랜지스터 (114)는 도 15a 및 15b에 도시된 바와 같이 집적 회로 디바이스 (26)를 나타낸다. 트랜지스터 (114)는 게이트 스택 (110) 및 소스/드레인 영역 (112)을 포함한다. 트랜지스터 (114) 위에는 콘택 플러그 (30) (도 15a 및 15b)가 형성되는 콘택 (CT) 층이 있다. 내부에 금속 라인을 포함할 수 있는 금속 층 (M0)은 콘택 층 위에 형성된다. M1 내지 M14와 같은 복수의 금속 층들 및 V1 내지 V13과 같은 복수의 비아층들이 또한 형성되어 있다. 이들 층들은 단일 다마신 공정 또는 이중 다마신 공정을 이용하여 형성될 수 있다. 에어 스페이서는 임의의 조합으로 임의의 이들 층들에서 금속 피처 옆에 형성될 수 있다. 단일 다마신 공정을 이용하여 형성되는 층들에 에어 스페이서를 형성하는 경우, 도 4-8, 9a, 9b 및 10-11에 도시된 바와 같은 공정들이 채택될 수 있다. 이중 다마신 공정을 이용하여 형성되는 층들에 에어 스페이서를 형성하는 경우, 도 12 내지 도 15a에 도시된 공정들이 채택될 수 있다. 일부 실시예들에 따르면, 금속 층들 M0, M1, M2 등과 같은 하위 층들은 하위 층들에서의 전도성 피처가 서로 가깝게 이격되어 있기 때문에 에어 스페이서를 형성할 수 있고, 이에 따라 기생 커패시턴스가 더 심할 가능성이 있다. 금속 층들 (M14, M13, M12등)과 같은 상위 층들은 상위층의 전도성 피처가 서로 더 멀리 이격되어 기생 커패시턴스가 덜 심각할 가능성이 있기 때문에 에어 스페이서를 형성하지 않을 수 있다. 일부 실시예들에 따르면, 문턱 금속 층 (M3, M4, 또는 M5와 같은)이 있고, 에어 스페이서는 문턱 금속 층 및 문턱 금속 층 아래의 금속 층들의 일부 (또는 전부) 내에 형성된다. 그러나 문턱 금속 층 위의 임의의 금속 층들에는 에어 스페이서가 형성되지 않는다.
본 개시내용의 실시예들은 몇 가지 유리한 이점들을 갖는다. 에어 스페이서를 형성함으로써, 이웃하는 전도성 피처들 사이의 기생 커패시턴스가 감소될 수 있다. 또한, 에어 스페이서의 형성은 유전체 재료의 재충전 및 평탄화를 포함하지 않으며, 제조 비용이 감소된다.
본 개시 내용의 일부 실시예들에 따르면, 방법은 오프닝을 형성하기 위해 유전체 층을 에칭하는 단계 - 유전체 층 하부의 제1 전도성 피처는 오프닝에 노출됨 -; 오프닝 안으로 연장하는 희생 스페이서 층을 성막하는 단계; 희생 스페이서 층을 패터닝하는 단계 - 제1 전도성 피처를 드러내기 위해 오프닝의 하단에 있는 희생 스페이서 층의 하단 부분은 제거되고, 제1 링을 형성하도록 오프닝 내의 및 유전체 층의 측벽 상의 희생 스페이서 층의 제1 수직 부분은 남겨짐 -; 오프닝 내에 제2 전도성 피처를 형성하는 단계 - 제2 전도성 피처는 제1 링에 의해 둘러싸이고, 제1 전도성 피처 위에 있고 제1 전도성 피처에 전기적으로 결합됨 -; 및 에어 스페이서를 형성하기 위해 제1 링의 적어도 일부를 제거하는 단계를 포함한다. 일 실시예에서, 희생 스페이서 층은 균일한 (conformal) 층으로서 성막된다. 일 실시예에서, 방법은 제2 전도성 피처 위에 금속 캡핑 층을 형성하는 단계 - 금속 캡핑 층은 에어 스페이서 안으로 연장되는 연장 부분을 포함함 - 를 더 포함한다. 일 실시예에서, 방법은 제2 전도성 피처 위에 금속 캡핑 층 - 제1 링은 금속 캡핑 층이 형성된 후에 제거됨 - 을 더 포함한다. 일 실시예에서, 제2 전도성 피처를 형성하는 단계는 콘택 플러그를 형성하는 단계를 포함한다. 일 실시예에서, 제2 전도성 피처를 형성하는 단계는 금속 라인을 형성하는 단계를 포함한다. 일 실시예에서, 오프닝을 향하는 유전체 층의 측벽은 실질적으로 직선이고 유전체 층의 상단 표면으로부터 하단 표면까지 연장된다. 일 실시예에서, 오프닝은 트렌치 및 트렌치 하부의 비아 오프닝을 포함하고, 제1 링은 트렌치 내에 있으며, 희생 스페이서 층을 패터닝하는 단계는 비아 오프닝 내에 제2 링을 추가로 형성한다. 일 실시예에서, 제1 링이 형성된 후에, 제2 링은 남아있다. 일 실시예에서, 방법은 희생 스페이서 층 위에 추가적인 유전체 층을 형성하고 에어 스페이서를 밀봉하는 단계 - 제1 링의 잔여 부분은 추가적인 유전체 층 하부에 남겨짐 - 를 더 포함한다. 일 실시예에서, 제1 링은 완전히 제거된다.
본 개시내용의 일부 실시예들에 따르면, 구조체는 제1 전도성 피처; 제1 전도성 피처 위의 제1 에칭 정지 층; 제1 에칭 정지 층 위의 유전체 층; 유전체 층 및 제1 에칭 정지 층 내의 제2 전도성 피처 - 제2 전도성 피처는 제1 전도성 피처 위에 있고 제1 전도성 피처에 접촉함 -; 제2 전도성 피처를 둘러싸는 에어 스페이서 - 제2 전도성 피처의 측벽은 에어 스페이서에 노출됨 -; 및 유전체 층 위에 있고 그에 접촉하는 제2 에칭 정지 층 - 제2 에칭 정지 층은 제2 전도성 피처 위에 더 위치함 - 을 포함한다. 일 실시예에서, 에어 스페이서는 실질적으로 균일한 (uniform) 수평 치수를 갖는다. 일 실시예에서, 에어 스페이서는 제1 에칭 정지 층의 상단 표면으로부터 하단 표면까지 연장된다. 일 실시예에서, 구조체는 제2 전도성 피처의 하단 부분의 측벽 하부에 있고 제2 전도성 피처의 하단 부분의 측벽에 접촉하는 유전체 재료 - 제2 전도성 피처의 상단 부분은 에어 스페이서에 노출됨 - 를 더 포함한다. 일 실시예에서, 유전체 재료는 제2 전도성 피처의 하단 부분을 둘러싸는 링을 형성하고, 유전체 재료와 유전체 층은 상이한 재료로 형성된다. 일 실시예에서, 제2 전도성 피처와 에어 스페이서 사이에 유전체 재료는 존재하지 않는다.
본 개시내용의 일부 실시예들에 따르면, 구조체는 제1 전도성 피처; 제1 전도성 피처 위에 있고 제1 전도성 피처에 전기적으로 결합되는 제2 전도성 피처 - 제2 전도성 피처는: 확산 배리어; 및 확산 배리어에 의해 형성된 분지 (basin) 내의 금속 재료를 포함함 -; 제2 전도성 피처의 상단 부분을 둘러싸는 에어 스페이서; 및 에어 스페이서를 둘러싸는 유전체 층을 포함한다. 일 실시예에서, 구조체는 유전체 층으로부터 제2 전도성 피처의 하단 부분을 분리하는 유전체 재료 - 유전체 재료는 에어 스페이서의 바로 하부에 있고 에어 스페이서에 노출됨 - 를 더 포함한다. 일 실시예에서, 에어 스페이서는 실질적으로 균일한 (uniform) 폭을 갖는다.
전술한 내용은 당업자들이 본 개시내용의 양상들을 더 잘 이해할 수 있도록 몇 개의 실시예들의 특징들을 약술하였다. 당업자들은 이들이 본 개시내용에서 소개된 실시예들 또는 예들의 동일한 이점들을 달성하고 및/또는 동일한 목적을 수행하기 위한 다른 공정들 및 구조들을 설계 또는 변형하기 위한 토대로서 본 개시내용을 쉽게 이용할 수 있다는 것을 인지해야 한다. 당업자들은 또한 이러한 등가의 구조들이 본 개시내용의 사상 및 범위로부터 벗어나지 않고, 이들이 본 개시내용의 사상 및 범위로부터 벗어남 없이 여기서 다양한 변경들, 교체들 및 변형들을 행할 수 있다는 것을 깨달아야 한다.
<부기>
1. 방법에 있어서,
오프닝을 형성하기 위해 유전체 층을 에칭하는 단계 - 상기 유전체 층 하부의 제1 전도성 피처는 상기 오프닝에 노출됨 -;
상기 오프닝 안으로 연장하는 희생 스페이서 층을 성막하는 단계;
상기 희생 스페이서 층을 패터닝하는 단계 - 상기 제1 전도성 피처를 드러내기 위해 상기 오프닝의 하단에 있는 상기 희생 스페이서 층의 하단 부분은 제거되고, 제1 링을 형성하도록 상기 오프닝 내의 및 상기 유전체 층의 측벽 상의 상기 희생 스페이서 층의 제1 수직 부분은 남겨짐 -;
상기 오프닝 내에 제2 전도성 피처를 형성하는 단계 - 상기 제2 전도성 피처는 상기 제1 링에 의해 둘러싸이고, 상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 전기적으로 결합됨 -; 및
에어 스페이서를 형성하기 위해 상기 제1 링의 적어도 일부를 제거하는 단계
를 포함하는 방법.
2. 제 1항에 있어서,
상기 희생 스페이서 층은 균일한 (conformal) 층으로서 성막되는 방법.
3. 제 1항에 있어서,
상기 제2 전도성 피처 위에 금속 캡핑 층을 형성하는 단계 - 상기 금속 캡핑 층은 상기 에어 스페이서 안으로 연장되는 연장 부분을 포함함 -
를 더 포함하는 방법.
4. 제 1항에 있어서,
상기 제2 전도성 피처 위에 금속 캡핑 층을 형성하는 단계 - 상기 제1 링은 상기 금속 캡핑 층이 형성된 후에 제거됨 -
를 더 포함하는 방법.
5. 제 1항에 있어서,
상기 제2 전도성 피처를 형성하는 단계는 콘택 플러그를 형성하는 단계를 포함하는 방법.
6. 제 1항에 있어서,
상기 제2 전도성 피처를 형성하는 단계는 금속 라인을 형성하는 단계를 포함하는 방법.
7. 제 1항에 있어서,
상기 오프닝을 향하는 상기 유전체 층의 측벽은 실질적으로 직선이고 상기 유전체 층의 상단 표면으로부터 하단 표면까지 연장되는, 방법.
8. 제 1항에 있어서,
상기 오프닝은 트렌치 및 상기 트렌치 하부의 비아 오프닝을 포함하고, 상기 제1 링은 상기 트렌치 내에 있으며, 상기 희생 스페이서 층을 패터닝하는 단계는 상기 비아 오프닝 내에 제2 링을 추가로 형성하는 방법.
9. 제 8항에 있어서,
상기 제2 전도성 피처 위에 에칭 정지 층을 성막하는 단계 - 상기 에칭 정지 층이 성막된 후에, 상기 제2 링은 남아있음 -
를 더 포함하는 방법.
10. 제 1항에 있어서,
상기 희생 스페이서 층 위에 추가적인 유전체 층을 형성하고 상기 에어 스페이서를 밀봉하는 단계 - 상기 제1 링의 잔여 부분은 상기 추가적인 유전체 층 하부에 남겨짐 -
를 더 포함하는 방법.
11. 제 1항에 있어서,
상기 제1 링이 완전히 제거되는 방법.
12. 구조체에 있어서,
제1 전도성 피처;
상기 제1 전도성 피처 위의 제1 에칭 정지 층;
상기 제1 에칭 정지 층 위의 유전체 층;
상기 유전체 층 및 상기 제1 에칭 정지 층 내의 제2 전도성 피처 - 상기 제2 전도성 피처는 상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 접촉함 -;
상기 제2 전도성 피처를 둘러싸는 에어 스페이서 - 상기 제2 전도성 피처의 측벽은 상기 에어 스페이서에 노출됨 -; 및
상기 유전체 층 위에 있고 상기 유전체 층에 접촉하는 제2 에칭 정지 층 - 상기 제2 에칭 정지 층은 상기 제2 전도성 피처 위에 더 위치함 -
을 포함하는 구조체.
13. 제 12항에 있어서,
상기 에어 스페이서는 실질적으로 균일한 (uniform) 두께를 갖는 구조체.
14. 제 12항에 있어서,
상기 에어 스페이서는 상기 유전체 층의 상단 표면으로부터 상기 제1 에칭 정지 층의 하단 표면까지 연장되는 구조체.
15. 제 12항에 있어서,
상기 제2 전도성 피처의 하단 부분의 측벽 하부에 있고 상기 제2 전도성 피처의 하단 부분의 측벽에 접촉하는 유전체 재료 - 상기 제2 전도성 피처의 상단 부분은 상기 에어 스페이서에 노출됨 - 를 더 포함하는 구조체.
16. 제 15항에 있어서,
상기 유전체 재료는 상기 제2 전도성 피처의 하단 부분을 둘러싸는 링을 형성하고, 상기 유전체 재료와 상기 유전체 층은 상이한 재료로 형성되는 구조체.
17. 제 12항에 있어서,
상기 제2 전도성 피처와 상기 에어 스페이서 사이에 유전체 재료가 존재하지 않는 구조체.
18. 구조체에 있어서,
제1 전도성 피처;
상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 전기적으로 결합되는 제2 전도성 피처 - 상기 제2 전도성 피처는:
확산 배리어; 및
상기 확산 배리어에 의해 형성된 분지 (basin) 내의 금속 재료
를 포함함 -;
상기 제2 전도성 피처의 상단 부분을 둘러싸는 에어 스페이서; 및
상기 에어 스페이서를 둘러싸는 유전체 층
을 포함하는 구조체.
19. 제 18항에 있어서,
상기 유전체 층으로부터 상기 제2 전도성 피처의 하단 부분을 분리하는 유전체 재료 - 상기 유전체 재료는 상기 에어 스페이서의 바로 하부에 있고 상기 에어 스페이서에 노출됨 -
를 더 포함하는 구조체.
20. 제 18항에 있어서,
상기 에어 스페이서는 실질적으로 균일한 (uniform) 폭을 갖는 구조체.

Claims (10)

  1. 방법에 있어서,
    오프닝을 형성하기 위해 유전체 층을 에칭하는 단계 - 상기 유전체 층 하부의 제1 전도성 피처는 상기 오프닝에 노출됨 -;
    상기 오프닝 안으로 연장하는 희생 스페이서 층을 성막하는 단계;
    상기 희생 스페이서 층을 패터닝하는 단계 - 상기 제1 전도성 피처를 드러내기 위해 상기 오프닝의 하단에 있는 상기 희생 스페이서 층의 하단 부분은 제거되고, 제1 링을 형성하도록 상기 오프닝 내의 및 상기 유전체 층의 측벽 상의 상기 희생 스페이서 층의 제1 수직 부분은 남겨짐 -;
    상기 오프닝 내에 제2 전도성 피처를 형성하는 단계 - 상기 제2 전도성 피처는 상기 제1 링에 의해 둘러싸이고, 상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 전기적으로 결합됨 -; 및
    에어 스페이서를 형성하기 위해 상기 제1 링의 적어도 일부를 제거하는 단계
    를 포함하는 방법.
  2. 제 1항에 있어서,
    상기 제2 전도성 피처 위에 금속 캡핑 층을 형성하는 단계 - 상기 금속 캡핑 층은 상기 에어 스페이서 안으로 연장되는 연장 부분을 포함함 -
    를 더 포함하는 방법.
  3. 제 1항에 있어서,
    상기 오프닝은 트렌치 및 상기 트렌치 하부의 비아 오프닝을 포함하고, 상기 제1 링은 상기 트렌치 내에 있으며, 상기 희생 스페이서 층을 패터닝하는 단계는 상기 비아 오프닝 내에 제2 링을 추가로 형성하는 방법.
  4. 구조체에 있어서,
    제1 전도성 피처;
    상기 제1 전도성 피처 위의 제1 에칭 정지 층;
    상기 제1 에칭 정지 층 위의 유전체 층;
    상기 유전체 층 및 상기 제1 에칭 정지 층 내의 제2 전도성 피처 - 상기 제2 전도성 피처는 상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 접촉함 -;
    상기 제2 전도성 피처를 둘러싸는 에어 스페이서 - 상기 제2 전도성 피처의 측벽은 상기 에어 스페이서에 노출됨 -; 및
    상기 유전체 층 위에 있고 상기 유전체 층에 접촉하는 제2 에칭 정지 층 - 상기 제2 에칭 정지 층은 상기 제2 전도성 피처 위에 더 위치함 -
    을 포함하는 구조체.
  5. 제 4항에 있어서,
    상기 에어 스페이서는 실질적으로 균일한 (uniform) 두께를 갖는 구조체.
  6. 제 4항에 있어서,
    상기 에어 스페이서는 상기 유전체 층의 상단 표면으로부터 상기 제1 에칭 정지 층의 하단 표면까지 연장되는 구조체.
  7. 제 4항에 있어서,
    상기 제2 전도성 피처의 하단 부분의 측벽 하부에 있고 상기 제2 전도성 피처의 하단 부분의 측벽에 접촉하는 유전체 재료 - 상기 제2 전도성 피처의 상단 부분은 상기 에어 스페이서에 노출됨 - 를 더 포함하는 구조체.
  8. 제 7항에 있어서,
    상기 유전체 재료는 상기 제2 전도성 피처의 하단 부분을 둘러싸는 링을 형성하고, 상기 유전체 재료와 상기 유전체 층은 상이한 재료로 형성되는 구조체.
  9. 제 4항에 있어서,
    상기 제2 전도성 피처와 상기 에어 스페이서 사이에 유전체 재료가 존재하지 않는 구조체.
  10. 구조체에 있어서,
    제1 전도성 피처;
    상기 제1 전도성 피처 위에 있고 상기 제1 전도성 피처에 전기적으로 결합되는 제2 전도성 피처 - 상기 제2 전도성 피처는:
    확산 배리어; 및
    상기 확산 배리어에 의해 형성된 분지 (basin) 내의 금속 재료
    를 포함함 -;
    상기 제2 전도성 피처의 상단 부분을 둘러싸는 에어 스페이서; 및
    상기 에어 스페이서를 둘러싸는 유전체 층
    을 포함하는 구조체.
KR1020220020188A 2021-03-26 2022-02-16 전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법 KR20220134431A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163166318P 2021-03-26 2021-03-26
US63/166,318 2021-03-26
US17/369,497 2021-07-07
US17/369,497 US20220310441A1 (en) 2021-03-26 2021-07-07 Air Spacer Surrounding Conductive Features and Method Forming Same

Publications (1)

Publication Number Publication Date
KR20220134431A true KR20220134431A (ko) 2022-10-05

Family

ID=82528201

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220020188A KR20220134431A (ko) 2021-03-26 2022-02-16 전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법

Country Status (5)

Country Link
US (1) US20220310441A1 (ko)
KR (1) KR20220134431A (ko)
CN (1) CN114823498A (ko)
DE (1) DE102021118290A1 (ko)
TW (1) TWI782674B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113675140B (zh) * 2021-08-20 2024-05-17 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
US20230154852A1 (en) * 2021-11-17 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Forming Dielectric Film With High Resistance to Tilting

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
US7560375B2 (en) * 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods
US7803713B2 (en) * 2006-09-21 2010-09-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for fabricating air gap for semiconductor device
US8436473B2 (en) * 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
KR20120121795A (ko) 2011-04-27 2012-11-06 에스케이하이닉스 주식회사 에어 갭을 포함하는 스페이서를 구비한 반도체 소자의 제조방법
US9401329B2 (en) * 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
KR102001493B1 (ko) 2013-04-16 2019-07-18 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9666534B2 (en) * 2014-05-13 2017-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure and manufacturing method thereof
US9991200B2 (en) * 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
US10164029B2 (en) 2015-12-18 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
KR102687971B1 (ko) * 2016-11-28 2024-07-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102557400B1 (ko) * 2018-01-17 2023-07-20 삼성전자주식회사 반도체 장치
US11551968B2 (en) * 2020-04-24 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Inter-wire cavity for low capacitance
US11456246B2 (en) * 2020-07-21 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11508615B2 (en) * 2020-07-30 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same

Also Published As

Publication number Publication date
DE102021118290A1 (de) 2022-09-29
TW202238697A (zh) 2022-10-01
CN114823498A (zh) 2022-07-29
US20220310441A1 (en) 2022-09-29
TWI782674B (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
US10340178B2 (en) Via patterning using multiple photo multiple etch
KR20200037053A (ko) 다마신 프로세스에서의 금속 장벽의 선택적 성막
US11482493B2 (en) Methods for reducing dual damascene distortion
US11929281B2 (en) Reducing oxidation by etching sacrificial and protection layer separately
US11676898B2 (en) Diffusion barrier for semiconductor device and method
KR20220134431A (ko) 전도성 피처를 둘러싼 에어 스페이서 및 그 형성 방법
US20230369224A1 (en) Via for semiconductor device and method
TWI833105B (zh) 半導體裝置的形成方式
US20230038952A1 (en) Conductive features with air spacer and method of forming same
US20220102143A1 (en) Metal Hard Masks for Reducing Line Bending
US12068168B2 (en) Processes for reducing line-end spacing
TWI813257B (zh) 半導體元件及其形成方法
TWI850674B (zh) 封裝組件及其形成方法
US20230048536A1 (en) Interconnect with Redeposited Metal Capping and Method Forming Same
KR20230085819A (ko) 패터닝 프로세스를 위한 막 성막
CN115346916A (zh) 互连结构及其形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal