KR20220070031A - 리모트 플라즈마를 사용한 선택적인 그래핀 증착 - Google Patents

리모트 플라즈마를 사용한 선택적인 그래핀 증착 Download PDF

Info

Publication number
KR20220070031A
KR20220070031A KR1020227014699A KR20227014699A KR20220070031A KR 20220070031 A KR20220070031 A KR 20220070031A KR 1020227014699 A KR1020227014699 A KR 1020227014699A KR 20227014699 A KR20227014699 A KR 20227014699A KR 20220070031 A KR20220070031 A KR 20220070031A
Authority
KR
South Korea
Prior art keywords
metal surface
substrate
plasma
graphene
species
Prior art date
Application number
KR1020227014699A
Other languages
English (en)
Inventor
바드리 엔. 바라다라잔
이에바 나르케비쿠테
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220070031A publication Critical patent/KR20220070031A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

그래핀은 리모트 수소 플라즈마 화학적 기상 증착 기법을 사용하여 기판의 금속 표면 상에 증착된다. 그래핀은 반도체 프로세싱 애플리케이션들에 적합한 400 ℃ 미만의 온도에서 증착될 수도 있다. 수소 라디칼들은 반응 챔버의 업스트림에 위치된 리모트 플라즈마 소스에서 생성되고, 탄화수소 전구체들은 리모트 플라즈마 소스로부터 다운스트림의 반응 챔버로 흐른다. 탄화수소 전구체들은 반응 챔버 내의 기판의 금속 표면 상에 그래핀을 증착하기 위해 조건들 하에서 수소 라디칼들에 의해 활성화된다.

Description

리모트 플라즈마를 사용한 선택적인 그래핀 증착
그래핀은 원자들이 정육각형 패턴으로 단일 원자 시트에 배열된 탄소의 동소체이다. 그래핀은 다른 유리한 특성들 중에서, 높은 전기 전도도, 높은 열 전도도, 우수한 기계적 강도 및 인성 (toughness), 광학적 투명성 및 높은 전자 이동도때문에 많은 분야 및 산업계에서 관심을 끌었다. 반도체 산업에서 그래핀에 대한 관심이 높아지고 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 목적이다. 본 배경 기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 선행 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
PCT 출원 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본 출원이 동시에 제출된 PCT 출원서에서 식별된 바의 이점 또는 우선권을 주장하는 출원 각각은 모든 목적을 위해 전체가 참조로서 본 명세서에 인용된다.
기판의 금속 표면 상에 그래핀을 증착하는 방법이 본 명세서에 제공된다. 방법은 반응 챔버 내에 기판을 제공하는 단계를 포함하며, 여기서, 기판은 금속 표면을 포함한다. 방법은 하나 이상의 탄화수소 전구체들을 반응 챔버 내로 그리고 기판을 향해 흘리는 단계, 수소 소스 가스로부터, 하나 이상의 탄화수소 전구체들의 업스트림에 위치된 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계, 및 반응 챔버 내로 그리고 기판을 향해 수소 라디칼들을 도입하는 단계로서, 수소 라디칼들은 기판의 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응하는, 수소 라디칼 도입 단계를 더 포함한다.
일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 알켄 또는 알킨기를 포함한다. 하나 이상의 탄화수소 전구체들 각각은 톨루엔, 벤젠, 에틸렌, 프로필렌, 부텐, 펜텐, 펜타디엔, 헥센, 아세틸렌, 프로핀, 부틴, 또는 펜틴을 포함할 수도 있다. 일부 구현 예들에서, 기판에 인접한 환경의 수소 라디칼들 모두 또는 실질적으로 모두는 바닥 상태의 수소 라디칼들이다. 일부 구현 예들에서, 기판은 기판의 금속 표면 상에 그래핀의 증착 동안 약 500 ℃ 이하의 온도로 유지된다. 기판은 기판의 금속 표면 상에 그래핀의 증착 동안 약 200 ℃ 내지 약 400 ℃의 온도로 유지될 수도 있다. 일부 구현 예들에서, 방법은 금속 표면 상에 그래핀을 증착하기 전에 기판의 금속 표면을 처리하는 단계를 더 포함하고, 금속 표면을 처리하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계를 포함한다. 일부 구현 예들에서, 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계는 금속 표면을 리모트 수소 플라즈마에 노출하는 단계를 포함한다. 일부 구현 예들에서, 금속 표면을 처리하는 단계는 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 더 포함한다. 일부 구현 예들에서, 금속 표면을 처리하는 단계는 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스로부터, 시아노계 라디칼 종을 함유하는 플라즈마를 생성하는 단계를 더 포함하고, 여기서 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하기 전 또는 노출한 후에 발생한다. 일부 구현 예들에서, 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계와 동시에 발생하고, 시아노계 라디칼 종은 시아노기를 갖는 다운스트림 탄소-함유 전구체를 환원성 가스 종의 플라즈마에 노출함으로써 생성되고, 환원성 가스 종의 플라즈마는 다운스트림의 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 환원성 가스 종의 플라즈마는 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마이며, 여기서 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마에 노출하는 단계와 동시에 발생하고, 여기서 시아노계 라디칼 종은 다운스트림의 탄소-함유 전구체를 환원성 가스 종의 플라즈마에 노출함으로써 생성되고, 여기서 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마는 다운스트림의 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 금속 표면은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 금속 표면 상의 그래핀의 두께는 약 5 ㎚ 이하이다. 일부 구현 예들에서, 기판은 반도체 웨이퍼 또는 반도체 워크피스 (workpiece) 이고, 기판의 금속 표면은 리모트 플라즈마 소스를 향하여 마주본다 (face towards). 일부 구현 예들에서, 그래핀은 유전체 재료 또는 다른 비금속 재료 상에 증착되지 않고 기판의 금속 표면의 금속 상에 선택적으로 증착되는 상태들 하에서 증착된다. 일부 구현 예들에서, 방법은 약 200 ℃ 내지 약 400 ℃의 온도에서 기판의 금속 표면 상의 그래핀을 어닐링하는 단계를 더 포함한다.
또한 기판의 금속 표면 상에 그래핀을 증착하기위한 장치가 본 명세서에 제공된다. 장치는 반응 챔버, 반응 챔버 내에서 그리고 금속 표면을 포함하는 기판을 지지하도록 구성된 기판 지지부, 반응 챔버의 업스트림의 리모트 플라즈마 소스로서, 기판의 금속 표면이 리모트 플라즈마 소소를 향해 마주보는, 리모트 플라즈마 소스, 및 반응 챔버 내에서 그리고 리모트 플라즈마 소스로부터 다운스트림에 있는 하나 이상의 가스 유출구들을 포함한다. 장치는 다음의 동작들을 수행하기 위한 인스트럭션들로 구성된 제어기를 더 포함하며, 그 동작들에는 하나 이상의 탄화수소 전구체들을 하나 이상의 가스 유출구들을 통해 반응 챔버 내로 그리고 기판을 향해 흘리고, 수소 소스 가스로부터 리모트 플라즈마 소스에 수소 라디칼들을 생성하고, 반응 챔버내로 기판을 향해 수소 라디칼들을 도입하는 동작들이 있으며, 여기서 수소 라디칼들은 기판의 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응한다.
일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 알켄 또는 알킨기를 포함한다. 일부 구현 예들에서, 기판에 인접한 환경의 수소 라디칼들 전부 또는 실질적으로 모든 수소 라디칼들은 바닥 상태 (ground state) 의 수소 라디칼들이다. 일부 구현 예들에서, 제어기는 다음 동작 : 기판의 금속 표면 상에 그래핀의 증착 동안 기판을 약 500 ℃ 이하의 온도로 유지하는 동작을 수행하기 위한 인스트럭션들로 구성된다. 일부 구현 예들에서, 제어기는 다음 동작을 수행하기 위한 인스트럭션들로 더 구성된다 : 금속 표면 상에 그래핀을 증착하기 전에 기판의 금속 표면을 처리하고, 여기서 처리는 금속 표면을 환원성 가스 종의 플라즈마에 노출함으로써 수행된다. 일부 구현 예들에서, 기판의 금속 표면을 처리하도록 구성된 제어기는 금속 표면을 시아노계 라디칼 종에 노출하도록 더 구성된다. 일부 구현 예들에서, 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계와 동시에 발생하고, 여기서 시아노계 라디칼 종은 시아노기를 갖는 다운스트림 탄소-함유 전구체를 환원성 가스 종의 플라즈마에 노출함으로써 생성되고, 환원성 가스 종의 플라즈마는 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 환원성 가스 종의 플라즈마는 환원성 가스 종의 플라즈마와 질소-함유 제제 (agent) 의 플라즈마이고, 여기서 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마에 노출하는 것과 동시에 발생하며, 시아노계 라디칼 종은 다운스트림 탄소 함유 전구체를 환원성 가스 종의 플라즈마에 노출함으로써 생성되고, 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마는 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 금속 표면은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 기판은 반도체 웨이퍼 또는 반도체 워크피스이다.
반도체 디바이스가 또한 본 명세서에 제공된다. 반도체 디바이스는 온도 감응 하부층을 갖는 반도체 기판으로서, 온도 감응 하부층이 온도 감응 한계 (temperature sensitive limit) 를 갖는 반도체 기판, 및 온도 감응 하부층 상에 증착된 그래핀 막을 포함한다.
일부 구현 예들에서, 온도 감응 하부층은 전이 금속 (transition metal) 을 포함한다. 일부 구현 예들에서, 온도 감응 한계는 약 400 ℃ 내지 약 700 ℃이다.
또한 기판의 금속 표면 상에 그래핀을 증착하는 방법이 본 명세서에 제공된다. 방법은 금속 표면을 포함하는 기판을 반응 챔버 내에 제공하는 단계, 및 기판의 금속 표면 상에 그래핀을 증착하는 단계를 포함하고, 기판은 증착 동안 약 200 ℃ 내지 약 400 ℃의 온도로 유지된다.
일부 구현 예들에서, 금속 표면 상에 그래핀을 증착하는 단계는 금속 표면을 리모트 수소 플라즈마에 노출하는 단계를 포함하고, 하나 이상의 탄화수소 전구체들은 기판의 금속 표면에 인접한 환경에 제공된다. 일부 구현 예들에서, 그래핀은 기판의 유전체 재료 또는 다른 비금속 재료 상에 증착되지 않고 기판의 금속 표면의 금속 상에 선택적으로 증착된다.
또한 기판의 금속 표면 상에 그래핀을 증착하는 방법이 본 명세서에 제공된다. 방법은 금속 표면을 포함하는 기판을 반응 챔버에 제공하는 단계, 금속 표면 상에 그래핀을 증착하기 전에 기판의 금속 표면을 처리하는 단계로서, 금속 표면을 처리하는 단계는 금속 표면을 시아노계 라디칼 종에 노출하는 단계와 동시에 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계를 포함하는, 금속 표면 처리 단계, 그리고 기판의 금속 표면 상에 그래핀을 증착하는 단계를 포함한다.
일부 구현 예들에서, 기판은 증착 동안 약 200 ℃ 내지 약 400 ℃의 온도로 유지된다. 일부 구현 예들에서, 환원성 가스 종의 플라즈마는 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마이고, 여기서 시아노계 라디칼 종은 다운스트림 탄소-함유 전구체를 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마에 노출함으로써 생성되고, 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마는 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다.
도 1a는 일부 구현 예들에 따라 상부에 그래핀이 증착된 금속 표면을 갖는 예시적인 기판의 단면 개략도를 예시한다.
도 1b는 일부 구현 예들에 따른 듀얼 다마신 구조체 (dual damascene structure) 의 예시적인 그래핀 배리어 층의 단면 개략도를 예시한다.
도 2는 일부 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 3은 일부 구현 예들에 따른 단일 층 그래핀 및 다층 그래핀의 예들의 라만 스펙트럼 (Raman spectra) 을 도시하는 그래프를 예시한다.
도 4는 일부 구현 예들에 따라 기판의 금속 표면 상에 그래핀을 증착하는 예시적인 방법의 흐름도를 예시한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 스테이지들 중 임의의 스테이지 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 개시가 웨이퍼 상에서 구현된다고 가정한다. 그러나, 본 개시는 그렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들 및 재료들일 수도 있다. 반도체 웨이퍼들 외에, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.
반도체 애플리케이션들 (semiconductor applications) 에서 대면적 (large-area) 그래핀 막들을 합성하는 것에 대한 관심이 증가하고 있다. 그러나, 반도체 집적을 위한 충분한 양으로 그리고 적합한 조건들 하에서 그래핀의 생산과 연관된 많은 과제들이 있다. 많은 생산 방법들은 최소 결함들을 갖는 그래핀을 성장시키는 어려움 때문에 낮은 표면 커버리지를 겪는다. 따라서, 대면적 그래핀 막들, 특히 반도체 웨이퍼들 상의 대면적 그래핀 막들을 생산하기 위한 스케일러빌러티 (scalability) 는 특정한 문제를 나타낸다. 더욱이, 그래핀 막들은 통상적으로 열 CVD (thermal chemical vapor deposition) 에 의해 성장된다. 열 CVD 방법들은 일반적으로 대면적, 고품질 그래핀의 합성에 유리하다. 그러나, 그래핀의 열 CVD는 종종 700 ℃보다 높은 온도들, 예컨대 약 800 ℃ 내지 약 1000 ℃에서 수행되며, 이는 반도체 애플리케이션들과 양립할 수 없다. 이러한 고온 하에서, 반도체 웨이퍼들 상의 금속들 및 반도체들과 같은 다양한 재료들은 물리적으로 손상될 수도 있다.
열 CVD는 그래핀을 증착하는 일반적인 방법이다. 열 CVD 프로세스는 적어도 2 단계, 적합한 기판 상에 안정한 고체 막을 형성하기 위해 가스 전구체들의 활성화 단계 및 화학 반응 단계를 수반한다. 열 CVD에서, 가스 전구체의 활성화는 열분해에 의해 발생할 수 있다. 상승된 온도들에서, 탄화수소 전구체들은 열적으로 분해되고 기판 표면 상에 흡착된다. 탄화수소 라디칼들은 화학적으로 반응하고 기판 표면과 상호 작용할 수도 있다. 기판 표면은 그래핀의 핵 생성 및 성장을 위한 촉매로서 작용하는 금속 표면일 수도 있다. 어떠한 이론에도 제한되지 않고, 촉매 금속 표면은 탄소 원자들이 다른 탄소 원자들과 결합할 수도 있도록 탄화수소 라디칼들을 탈수소화할 수도 있으며, 이로써 그래핀의 핵 생성 및 성장을 촉진한다. 구리와 같은 다양한 전이 금속들은 그래핀의 핵 생성 및 성장을 위한 촉매로서 인식되었다.
탄화수소 종의 활성화 및 그래핀 성장은 그래핀이 성장되는 금속 표면 및 온도와 같은 인자들에 종속될 수 있다. 또한, 그래핀 성장은 금속 표면에 대한 탄소 용해도에 종속될 수 있다. 금속이 높은 탄소 용해도를 갖는다면, 탄소는 금속에서 보다 쉽게 용해되고 금속 표면에 침전되는 경향이 있다. 이는 일반적으로 복수의 핵 생성 사이트들 (sites) 및 금속 표면 상의 예측할 수 없는 양 (quantity) 의 분리된 탄소로 인해 보다 덜 균일한 그래핀 층들 및 보다 미세 구조적 결함들을 야기한다. 예를 들어, 니켈 기판들은 고 탄소 용해도를 갖고 통상적으로 저품질 그래핀 또는 무질서한 탄소 (disordered carbon) 의 복수의 층들을 야기한다. 금속이 낮은 탄소 용해도를 갖는다면, 탄소는 금속에 덜 쉽게 용해되고 금속 표면 상의 탄소 흡착 원자들 (adatoms) 의 대규모 표면 마이그레이션 (migration) 및 벌크 금속 내로 최소 확산을 발생시킨다. 이는 일반적으로 보다 제어된 성장으로 인해 보다 균일한 그래핀 층들 및 보다 적은 미세 구조적 결함들을 야기한다. 예를 들어, 구리 기판들은 낮은 탄소 용해도를 갖고 고품질 그래핀의 에피택셜 성장을 발생시킨다. 고품질 그래핀은 단일 층, 이중층, 또는 소수 층 (few-layer) 그래핀 막으로서 성장될 수도 있다.
PECVD (Plasma-enhanced chemical vapor deposition) 는 그래핀을 증착하는 또 다른 방법이다. 열 CVD 방법들은 열분해에 의해 탄화수소 전구체들을 활성화시키는 반면, 플라즈마에 의해 생성된 에너자이징된 (energize) 전자들은 PECVD 방법들에서 탄화수소 전구체들의 이온화, 여기 및 해리를 유발한다. 플라즈마는 인-시츄로 또는 원격으로 형성될 수도 있다. 통상적으로, 탄화수소 전구체들 (예를 들어, 메탄) 은 플라즈마에서 활성화되고 기판은 플라즈마에 노출된다. 플라즈마는 RF (radio-frequency) 플라즈마 소스, 마이크로파 (MW) 플라즈마 소스, 표면파 (SW) 플라즈마 소스, 또는 리모트 플라즈마 소스를 사용하여 생성될 수도 있다. 예로서, 분자 수소 및 메탄 가스가 반응 챔버 내로 도입될 수도 있고 다이렉트 RF 플라즈마 (direct RF plasma) 가 기판 상의 그래핀 성장을 촉진하도록 점화될 수도 있다. PECVD를 사용하여, 일부 PECVD 방법들에서 그래핀 성장은 열 CVD 방법들에 비해 보다 낮은 온도들에서 수행될 수도 있으며, 온도들은 약 400 ℃ 내지 약 600 ℃일 수도 있다. 더욱이, 일부 PECVD 방법들에서 그래핀 성장은 유전체 재료들과 같은 비금속 기판들 상에서 달성될 수도 있다. 즉, 플라즈마 기반의 방법들은 금속 촉매들의 부재시 그래핀을 증착할 수도 있다. 플라즈마 기반의 방법들이 보다 낮은 온도들에서 금속 촉매들의 도움 없이 그래핀을 증착할 수도 있지만, 많은 플라즈마 기반의 방법들은 대면적, 고품질 그래핀을 증착하는 문제들에 직면한다.
리모트 수소 플라즈마를 사용한 그래핀 증착
도 1a는 일부 구현 예들에 따라 상부에 그래핀이 증착된 금속 표면을 갖는 예시적인 기판의 단면 개략도를 예시한다. 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크피스 일 수 있다. 일부 구현 예들에서, 기판 (100) 은 실리콘 (Si) 기판과 같은 반도체 기판이다. 기판 (100) 은 금속 표면 (101) 을 포함할 수 있다. 이하에 논의된 바와 같이, 금속 표면 (101) 은 또한 온도 감응 하부층으로 지칭될 수 있다. 일부 구현 예들에서, 금속 표면 (101) 은 전이 금속과 같은 임의의 적절한 금속을 포함할 수 있다. 예를 들어, 금속 표면 (101) 은 구리 (Cu), 루테늄 (Ru), 니켈 (Ni), 몰리브덴 (Mo), 코발트 (Co), 또는 이들의 조합들을 포함할 수 있다. 그래핀 막 (102) 이 금속 표면 (101) 상에 증착될 수 있다.
본 개시에서, 기판 (100) 의 금속 표면 (101) 상에 그래핀 막 (102) 을 증착하는 것은 리모트 수소 플라즈마 CVD에 의해 달성될 수도 있다. 리모트 수소 플라즈마 CVD 방법은 BEOL (back end of line) 반도체 프로세싱과 같은 반도체 프로세싱과 양립 가능한 저온에서 그래핀 막 (102) 을 증착할 수도 있다. 일부 구현 예들에서, 그래핀 막 (102) 은 약 500 ℃ 이하, 약 450 ℃ 이하, 약 400 ℃ 이하, 약 350 ℃ 이하, 약 300 ℃ 이하, 또는 약 200 ℃ 내지 약 400 ℃의 온도에서 증착될 수도 있다. 이하에 기술된 바와 같이, 탄화수소 전구체는 기판 (100) 의 금속 표면 (101) 으로 흐르고 수소 라디칼들은 탄화수소 전구체 플로우의 업스트림의 리모트 플라즈마 소스에서 생성된다. 수소 라디칼들은 리모트 플라즈마 소스로부터 다운스트림의 탄화수소 전구체를 활성화시키기 위해 탄화수소 전구체와 상호 작용하고, 활성화된 탄화수소 전구체는 그래핀 막 (102) 으로 하여금 증착하도록 금속 표면 (101) 과 상호 작용한다. 일부 구현 예들에서, 탄화수소 전구체는 알켄기 또는 알킨기를 포함한다.
본 개시의 일부 구현 예들에서, 기판 (100) 은 온도 감응 하부층 (101) 을 포함할 수 있다. 온도 감응 하부층 (101) 은 온도 감응 한계를 가질 수도 있다. 온도 감응 하부층 (101) 의 온도 감응 한계 이상에서, 온도 감응 하부층 (101) 은 용융되거나 그렇지 않으면 물리적으로 손상된다. 온도 감응 한계는 온도 감응 하부층 (101) 의 많은 재료들에 대해 약 400 ℃ 내지 약 700 ℃일 수도 있다. 열 CVD 방법들 및 많은 종래의 플라즈마 기반 CVD 방법들은 온도 감응 하부층 (101) 의 온도 감응 한계를 초과한다. 온도 감응 하부층들 (101) 의 예들은 구리, 코발트, 및 루테늄과 같은 전이 금속들을 포함할 수 있다. 본 개시에서, 그래핀 막 (102) 이 온도 감응 하부층 (101) 상에 증착된다. 일부 구현 예들에서, 그래핀 막 (102) 은 용융되지 않거나 그렇지 않으면 온도 감응 하부층 (101) 을 물리적으로 손상시키지 않는 충분히 낮은 온도들에서 증착된다. 기판 (100) 은 반도체 웨이퍼 또는 반도체 워크피스일 수도 있다. 따라서, 그래핀 막 (102) 은 풀 웨이퍼 레벨 (full wafer level) 에서 기판 (100) 상에 대면적 그래핀 막으로서 증착될 수도 있다.
그래핀을 합성하는데 사용된 많은 종래의 플라즈마 기반 CVD 방법들은 알칸들 (예를 들어, 메탄) 인 탄화수소들을 활성화시킨다. 다양한 종래의 플라즈마 기반 CVD 방법들을 사용할 때, 그래핀 증착은 반드시 선택적인 것은 아니며 금속들, 유전체들, 및 다른 재료들 상에 증착될 수도 있다. 게다가, 많은 종래의 플라즈마 기반 CVD 방법들은 탄화수소 전구체를 사용하여 플라즈마를 점화함으로써 탄소 라디칼들을 생성한다. 플라즈마가 인-시츄로 생성되는지 또는 리모트로 생성되는지에 관계없이, 기판은 후속하여 탄소 라디칼들을 함유하는 플라즈마에 노출된다. 문헌에서 용어 "리모트"는 일반적으로 플라즈마로부터 기판의 이격성 (remoteness) 을 지칭한다. 전구체 가스 자체는 통상적으로 플라즈마-생성 영역에 도입된다. 일부 예들에서, 리모트 플라즈마 기반 CVD 방법은 금속 포일 (예를 들어, 구리 포일) 의 전면이 리모트 플라즈마 소스와 마주보고 플라즈마 노출 동안 보다 많은 에너자이징된 이온들/라디칼들에 노출되기 때문에, 금속 포일의 후면 상에 그래핀을 증착한다. 전면에 대한 다이렉트 플라즈마 노출은 막 품질에 부정적인 영향을 미치고 일반적으로 보다 무질서한 탄소 성장을 발생시킨다. 따라서, 많은 종래의 플라즈마-기반 CVD 방법들은 풀 (full) 웨이퍼 증착을 위한 고품질 그래핀을 성장시킬 수 없다.
종래의 플라즈마 기반 CVD 방법들과 대조적으로, 본 개시의 리모트 수소 플라즈마 CVD 방법은 풀 웨이퍼 레벨에서 고품질 그래핀을 합성한다. 본 명세서에 사용된 바와 같이, "리모트 플라즈마"는 기판으로부터 이격된 위치에서 플라즈마 생성이 발생하는 플라즈마이다. 여기서, 본 개시의 리모트 수소 플라즈마는 수소 라디칼들을 함유하지만 탄소 라디칼들을 함유하지 않는다. 대신, 탄소 라디칼들은 리모트 플라즈마 소스로부터 다운스트림에 생성된다. 이는 본 개시의 "리모트 플라즈마"에서, 전구체 가스가 플라즈마-생성 영역 내로 도입되지 않는다는 것을 의미한다. 탄화수소 전구체들은 반응 챔버 내로 독립적으로 흐르고 리모트 플라즈마 소스로부터 생성된 수소 라디칼들에 의해 활성화된다. 더욱이, 탄소 라디칼들은 알켄기 또는 알킨기를 함유하는 탄화수소 전구체들로부터 생성된다. 실제로, 알칸들 (예를 들어, 메탄) 인 탄화수소 전구체들은 본 개시에서 증착되지 않는다. 본 개시의 리모트 수소 플라즈마 CVD 방법을 사용할 때, 그래핀 증착은 금속 표면들 상에 선택적으로 증착된다. 본 개시에서 그래핀은 유전체 또는 다른 비금속 표면들 상에 증착되지 않는다.
종래의 열 CVD 방법들과 대조적으로, 본 개시의 리모트 수소 플라즈마 CVD 방법은 반도체 애플리케이션들에 적합한 저온들에서 고품질 그래핀 막을 증착할 수 있다. 예를 들어, 고품질 그래핀 막은 다마신 또는 듀얼 다마신 구조체들에서 유효 배리어 층으로서 역할을 할 수 있다. 또한, 고품질 그래핀은 금속 표면의 상단에 캡 층으로서 기능할 수 있고, 이는 표면 산란을 감소시킴으로써 저항을 감소시킨다. 그러나, 고품질 그래핀 막이 광범위한 산업적 애플리케이션들에서 사용될 수도 있다는 것이 이해될 것이다.
도 1b는 일부 구현 예들에 따른 듀얼 다마신 구조체 (dual damascene structure) 의 예시적인 그래핀 배리어 층의 단면 개략도를 예시한다. 기판 (110) 은 트렌치 및 비아 (120) 가 형성되는 유전체 층 (140) 을 포함할 수도 있다. 비아 (120) 는 하부 금속 라인 (130) 과 상부 금속 라인 (150) 사이에 전기적 상호 연결을 제공할 수도 있다. 기판 (110) 은 반도체 기판일 수도 있다. 비아 (120) 는 유전체 층 (140) 을 통해 리세스 (recess) 를 에칭하고 구리와 같은 금속으로 리세스를 충진함으로써 형성될 수도 있다. 그래핀 배리어 층 (122) 은 비아 (120) 와 유전체 층 (140) 사이에 형성되거나, 배치되거나, 위치될 수도 있다. 그래핀 배리어 층 (122) 은 금속의 확산으로부터 유전체 층 (140) 및 아래에 놓인 활성 디바이스들을 보호하기 위한 유효 확산 배리어 층으로서 기능할 수도 있다. 따라서, 그래핀 배리어 층 (122) 은 유전체 층 (140) 및 아래에 놓인 활성 디바이스들 내로의 금속 원자들의 확산 및 전류로 인해 금속 원자들을 전자 마이그레이션하는 것을 제한할 수도 있다. 그래핀 배리어 층 (122) 의 전기 전도성 특성들은 또한 감소된 산란으로 인해 하부 금속 라인 (130) 및 상부 금속 라인 (150) 을 포함하는, 비아 (120) 에 연결된 금속 라인들의 유효 저항률을 낮출 수도 있다. 그래핀 배리어 층 (122) 은 본 명세서에 기술된 리모트 수소 플라즈마 CVD 방법을 사용하여 증착될 수도 있다.
상기 기술은 확산 배리어 층으로서 그래핀을 사용하는 것에 관한 것이지만, 그래핀은 대안적으로 캡 층으로서 사용될 수도 있다. 이러한 예들에서, 그래핀 막은 상부 금속 라인 (150) 의 상단에 증착될 수도 있다. 본 개시에 기술된 방법들을 사용하여, 그래핀은 금속 표면의 상단 상에 선택적으로 증착될 수도 있고, 일부 구현 예들에서 그래핀은 상부 금속 라인 (150) 의 상단 상의 캡 층이다.
본 개시의 일 양태는 본 명세서에 기술된 그래핀 증착 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 본 개시에 따른 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 구현 예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 다이렉트 플라즈마에 비해 약한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는 2013 년 10 월 24 일 출원된 미국 특허 출원 번호 제 14/062,648 호에 기술되고 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
도 2는 일부 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다. 플라즈마 프로세싱 장치 (200) 는 반응 챔버 (204) 로부터 분리된 리모트 플라즈마 소스 (202) 를 포함한다. 리모트 플라즈마 소스 (202) 는 또한 멀티포트 가스 분배기로 지칭될 수도 있는 샤워헤드 (206) 를 통해 반응 챔버 (204) 와 유체로 커플링된다 (fluidly couple). 라디칼 종은 리모트 플라즈마 소스 (202) 에서 생성되고 반응 챔버 (204) 에 공급된다. 하나 이상의 탄화수소 전구체들은 리모트 플라즈마 소스 (202) 로부터 다운스트림으로 그리고 샤워헤드 (206) 로부터 다운스트림으로 반응 챔버 (204) 로 공급된다. 하나 이상의 탄화수소 전구체들은 기판 (212) 의 전면 상에 그래핀 막을 증착하도록 반응 챔버 (204) 의 화학적 기상 증착 존 (208) 에서 라디칼 종과 반응한다. 화학적 기상 증착 존 (208) 은 기판 (212) 의 전면이 리모트 플라즈마 소스 (202) 와 마주보는, 기판 (212) 의 전면에 인접한 환경 (environment) 을 포함한다.
기판 (212) 은 기판 지지부 또는 페데스탈 (pedestal) (214) 상에 지지된다. 페데스탈 (214) 은 화학적 기상 증착 존 (208) 내에 기판 (212) 을 위치시키도록 반응 챔버 (204) 내에서 이동할 (move) 수도 있다. 도 2에 도시된 실시 예에서, 페데스탈 (214) 은 화학적 기상 증착 존 (208) 내에서 기판 (210) 을 상승시킨 것으로 도시된다. 페데스탈 (214) 은 또한 일부 실시 예들에서 기판 (212) 의 온도를 조정할 수도 있고, 이는 기판 (212) 상의 열적으로 활성화된 표면 반응들에 대한 일부 선택적인 제어를 제공할 수 있다.
도 2는 리모트 플라즈마 소스 (202) 주위에 배치된 코일 (218) 을 도시하고, 리모트 플라즈마 소스 (202) 는 외측 벽 (예를 들어, 석영 돔) 을 포함한다. 코일 (218) 은 유도 결합 (inductively coupled) 플라즈마 생성을 통해 플라즈마 영역 (224) 내에 플라즈마를 형성하고 지속시키도록 사용될 수도 있는 플라즈마 생성기 제어기 (222) 에 전기적으로 커플링된다. 일부 구현 예들에서, 플라즈마 생성기 제어기 (222) 는 코일 (218) 에 전력을 공급하기 위한 전력 공급부를 포함할 수도 있고, 전력은 플라즈마 생성 동안 약 1 내지 6 ㎾ (kilowatts) 의 범위일 수 있다. 일부 구현 예들에서, 병렬 플레이트 또는 용량 결합 플라즈마 생성을 위한 전극들 또는 안테나는 유도 결합 플라즈마 생성보다는 플라즈마 여기를 통해 라디칼들의 연속적인 공급을 생성하도록 사용될 수도 있다. 플라즈마 영역 (224) 에서 플라즈마를 점화하고 지속시키기 위해 사용된 메커니즘과 무관하게, 라디칼 종은 막 증착 동안 플라즈마 여기를 이용하여 연속적으로 생성될 수도 있다. 일부 구현 예들에서, 수소 라디칼들은 과도 상태들 (transients) 이 막 증착의 시작 및 종료시 발생할 수도 있지만, 정상 상태 막 증착 동안 대략 정상 상태 조건들 하에서 생성된다.
수소 라디칼들의 공급은 수소 가스 또는 다른 소스 가스가 리모트 플라즈마 소스 (202) 에 공급되는 동안 플라즈마 영역 (224) 내에서 연속적으로 생성될 수도 있다. 여기된 수소 라디칼들은 리모트 플라즈마 소스 (202) 에서 생성될 수도 있다. 재여기되지 (re-excited) 않거나 에너지가 재공급되지 않거나 다른 라디칼들과 재결합되지 않으면, 여기된 수소 라디칼들은 에너지를 잃거나 릴렉스된다 (relax). 따라서, 여기된 수소 라디칼들은 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들을 형성하도록 릴렉스될 수도 있다. 수소 라디칼들은 실질적으로 저 에너지 상태 또는 바닥 상태이다.
수소 가스 (H2) 또는 다른 소스 가스는 하나 이상의 부가적인 가스들로 희석될 수도 있다. 이들 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (202) 에 공급될 수도 있다. 일부 구현 예들에서, 수소 가스 또는 다른 소스 가스는 가스 혼합물을 형성하도록 하나 이상의 부가적인 가스들과 혼합되고, 하나 이상의 부가적인 가스들은 캐리어 가스를 포함할 수 있다. 부가적인 가스들의 비 제한적인 예들은 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크립톤 (Kr), 크세논 (Xe), 및 질소 (N2) 를 포함할 수 있다. 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (202) 내의 정상 상태 플라즈마 조건들을 지지하거나 안정화시킬 수도 있고 또는 일시적인 (transient) 플라즈마 점화 또는 소멸 프로세스들을 도울 수도 있다. 일부 구현 예들에서, 예를 들어, 수소 가스 또는 다른 소스 가스를 헬륨으로 희석하는 것은 수반되는 플라즈마 브레이크다운 (breakdown) 없이 보다 높은 총 압력들을 허용할 수도 있다. 달리 말하면, 수소 가스와 헬륨의 희석된 가스 혼합물은 리모트 플라즈마 소스 (202) 로의 플라즈마 전력 증가없이 보다 높은 총 가스 압력을 허용할 수도 있다. 특정한 실시 예들에서, 수소 가스는 헬륨과 같은 캐리어 (carrier) 에 제공된다. 예로서, 수소 가스는 약 1 내지 25 % 수소 또는 약 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다.
도 2에 도시된 바와 같이, 소스 가스 공급부 (226) 는 수소 가스 또는 소스 가스를 공급하기 위해 리모트 플라즈마 소스 (202) 와 유체적으로 커플링된다. 이에 더하여, 부가적인 가스 공급부 (228) 는 하나 이상의 부가적인 가스들을 공급하기 위해 리모트 플라즈마 소스 (202) 와 유체적으로 커플링된다. 하나 이상의 부가적인 가스들은 또한 공-반응 물질 가스 (co-reactant gas) 를 포함할 수도 있다. 도 2의 실시 예는 별개의 가스 유출구들을 통해 도입되는 하나 이상의 부가적인 가스들과 소스 가스의 가스 혼합물을 도시하지만, 가스 혼합물은 리모트 플라즈마 소스 (202) 내로 직접 도입될 수도 있다는 것이 이해될 것이다. 즉, 미리 혼합된 희석 가스 혼합물은 단일 가스 유출구를 통해 리모트 플라즈마 소스 (202) 에 공급될 수도 있다.
여기된 수소 및 헬륨 라디칼들 및 릴렉스된 가스들/라디칼들과 같은 가스들은, 리모트 플라즈마 소스 (202) 로부터 그리고 샤워헤드 (206) 를 통해 반응 챔버 (204) 내로 흐른다. 샤워헤드 (206) 내 및 반응 챔버 (204) 내 가스들은 일반적으로 내부에서 계속되는 플라즈마 여기를 겪지 않는다. 일부 구현 예들에서, 샤워헤드 (206) 는 이온 필터 및/또는 광자 필터를 포함한다. 이온들 및/또는 광자들을 필터링하는 것은 기판 손상, 분자들의 바람직하지 않은 재여기, 및/또는 반응 챔버 (204) 내 탄화수소 전구체들의 선택적인 브레이크다운 또는 분해를 감소시킬 수도 있다. 샤워헤드 (206) 는 반응 챔버 (204) 내로 가스들의 플로우를 확산시키기 위해 복수의 가스 포트들 (234) 을 가질 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (234) 은 상호 이격될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (234) 은 리모트 플라즈마 소스 (202) 와 반응 챔버 (204) 를 분리하는 플레이트를 통해 연장하는 규칙적으로 이격된 채널들 또는 쓰루-홀들 (through-holes) 의 어레이로서 배치될 수도 있다. 복수의 가스 포트들 (234) 은 리모트 플라즈마 소스 (202) 로부터 나가는 라디칼들을 반응 챔버 (204) 내로 매끄럽게 분산시키고 확산시킬 수도 있다.
통상적인 리모트 플라즈마 소스들은 반응 용기들로부터 멀리 떨어져 있다. 결과적으로, 라디칼 소멸 및 재조합은, 예를 들어, 벽 충돌 사건들을 통해, 활성 종을 실질적으로 감소시킬 수도 있다. 반대로, 일부 구현 예들에서, 복수의 가스 포트들 (234) 에 대한 치수들 (dimensions) 은 반응 챔버 (204) 내로 라디칼들의 자유 통과를 보조하기 위해 통상적인 프로세싱 조건들 하에서 평균적 자유 경로 또는 가스 플로우 체류 시간의 관점에서 구성될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (234) 을 위한 개구부들은 샤워헤드 (206) 의 노출된 표면적의 약 5 % 내지 약 20 %를 점유할 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (234) 각각은 약 3 : 1 내지 10 : 1 또는 약 6 : 1 내지 약 8 : 1의 축 방향 길이 대 직경 비를 가질 수도 있다. 이러한 종횡비들은 복수의 가스 포트들 (234) 을 통과하는 라디칼 종에 대한 벽-충돌 빈도 (frequency) 를 감소시킬 수도 있는 한편, 대부분의 여기된 상태 라디칼 종이 바닥 상태 라디칼 종으로 릴렉스되기에 충분한 시간을 제공한다. 일부 구현 예들에서, 복수의 가스 포트들 (234) 의 치수들은 샤워헤드 (206) 를 통과하는 가스들의 체류 시간이 여기된 상태 라디칼 종의 통상적인 에너제틱 릴렉스 (energetic relaxation) 시간보다 크도록 구성될 수도 있다. 수소 소스 가스에 대한 여기된 상태 라디칼 종은 도 2에서
Figure pct00001
로 나타낼 수도 있고 수소 소스 가스에 대한 바닥 상태 라디칼 종은 도 2에서
Figure pct00002
로 나타낼 수도 있다.
일부 구현 예들에서, 복수의 가스 포트들 (234) 을 나가는 여기된 상태 라디칼 종은 반응 챔버 (204) 의 내부 내에 포함된 릴렉스 존 (238) 내로 흐를 수도 있다. 릴렉스 존 (238) 은 화학적 기상 증착 존 (208) 의 업스트림이지만 샤워헤드 (206) 의 다운스트림에 위치된다. 샤워헤드 (206) 를 나가는 실질적으로 모든 또는 적어도 90 %의 여기된 상태 라디칼 종은 릴렉스 존 (238) 에서 릴렉스된 상태 라디칼 종으로 천이할 것이다. 달리 말하면, 릴렉스 존 (238) 으로 들어가는 거의 모든 여기된 상태 라디칼 종 (예를 들어, 여기된 수소 라디칼들) 은 릴렉스 존 (238) 을 나가기 전에 탈-여기되거나 (de-excite) 릴렉스된 상태 라디칼 종 (예를 들어, 바닥 상태 수소 라디칼들) 으로 천이된다. 일부 구현 예들에서, 릴렉스 존 (238) 의 프로세스 조건들 또는 기하 구조는 릴렉스 존 (238) 을 통해 흐르는 라디칼 종의 체류 시간, 예를 들어, 평균 자유 경로 및 평균 분자 속도에 의해 결정된 시간이 릴렉스 존 (238) 으로부터 흐르는 릴렉스된 상태 라디칼 종을 발생시키도록 구성될 수도 있다.
샤워헤드 (206) 로부터 릴렉스 존 (238) 으로 라디칼 종의 전달과 함께, 하나 이상의 탄화수소 전구체들이 화학적 기상 증착 존 (208) 내로 도입될 수도 있다. 하나 이상의 탄화수소 전구체들은 가스 분배기 또는 가스 유출구 (242) 를 통해 도입될 수도 있고, 가스 유출구 (242) 는 전구체 공급 소스 (240) 와 유체적으로 커플링될 수도 있다. 릴렉스 존 (238) 은 샤워헤드 (206) 와 가스 유출구 (242) 사이의 공간 내에 포함될 수도 있다. 가스 유출구 (242) 는 하나 이상의 탄화수소 전구체들의 플로우가 릴렉스 존 (238) 으로부터 흐르는 가스 혼합물과 평행한 방향으로 도입될 수도 있도록 상호 이격된 개구부들을 포함할 수도 있다. 가스 유출구 (242) 는 샤워헤드 (206) 및 릴렉스 존 (238) 으로부터 다운스트림에 위치될 수도 있다. 가스 유출구 (242) 는 화학적 기상 증착 존 (208) 및 기판 (212) 의 업스트림에 위치될 수도 있다. 화학적 기상 증착 존 (208) 은 반응 챔버 (204) 의 내부 내에 그리고 가스 유출구 (242) 와 기판 (212) 사이에 위치된다.
하나 이상의 탄화수소 전구체들의 실질적으로 모든 플로우는 샤워헤드 (206) 에 인접한 여기된 상태 라디칼 종과 혼합되는 것이 방지될 수도 있다. 릴렉스되거나 바닥 상태 라디칼 종은 기판 (212) 에 인접한 영역에서 하나 이상의 탄화수소 전구체들과 혼합된다. 화학적 기상 증착 존 (208) 은 릴렉스되거나 바닥 상태 라디칼 종이 하나 이상의 탄화수소 전구체들과 혼합되는 기판 (212) 에 인접한 영역을 포함한다. 릴렉스되거나 바닥 상태 라디칼 종은 그래핀의 CVD 형성 동안 가스상 (gas phase) 의 하나 이상의 탄화수소 전구체들과 혼합된다.
일부 구현 예들에서, 공-반응 물질은 샤워헤드 (206) 로부터 도입될 수도 있고 리모트 플라즈마 소스 (202) 에서 생성된 라디칼 종과 함께 그리고 반응 챔버 (204) 내로 흐를 수도 있다. 이는 리모트 플라즈마 소스 (202) 에 제공된 공-반응 물질 가스의 라디칼들 및/또는 이온들을 포함할 수도 있다. 공-반응 물질은 부가적인 가스 공급부 (228) 로부터 공급될 수도 있다. 일부 구현 예들에서, 공-반응 물질은 질소 가스 (N2) 와 같은 질소-함유 제제 (agent) 를 포함할 수도 있다. 예를 들어, 질소 라디칼들 및/또는 질소 이온들은 기판 (212) 의 금속 표면의 전처리 동안 수소 라디칼 종과 함께 생성되고 흐를 수도 있다.
가스 유출구 (242) 는 하나 이상의 탄화수소 전구체들의 역 확산 (back diffusion) 또는 역 스트리밍 (back streaming) 을 방지하도록 충분한 거리만큼 샤워헤드 (206) 로부터 분리될 수도 있다. 이는 수소 라디칼 종이 여기된 상태로부터 릴렉스된 상태 (예를 들어, 바닥 상태) 로 천이하기에 충분한 시간을 제공할 수 있다. 일부 구현 예들에서, 가스 유출구 (242) 는 약 0.5 인치 내지 약 5 인치, 또는 약 1.5 인치 내지 약 4.5 인치, 또는 약 1.5 인치 내지 약 3 인치의 거리만큼 복수의 가스 포트들 (234) 로부터 분리될 수도 있다.
프로세스 가스들은 펌프 (미도시) 에 유체적으로 커플링된 유출구 (248) 를 통해 반응 챔버 (204) 로부터 제거될 수도 있다. 따라서, 과잉의 탄화수소 전구체들, 공-반응 물질들, 라디칼 종들, 및 희석제 및 치환 가스 또는 퍼지 가스들이 반응 챔버 (204) 로부터 제거될 수도 있다. 일부 구현 예들에서, 시스템 제어기 (250) 는 플라즈마 프로세싱 장치 (200) 와 동작하여 (in operative) 통신한다. 일부 구현 예들에서, 시스템 제어기 (250) 는 데이터 시스템 (254) (예를 들어, 메모리) 내에 홀딩된 인스트럭션들을 실행하도록 구성된 프로세서 시스템 (252) (예를 들어, 마이크로 프로세서) 을 포함한다. 일부 구현 예들에서, 시스템 제어기 (250) 는 플라즈마 파라미터들 및/또는 조건들을 제어하기 위해 플라즈마 생성기 제어기 (222) 와 통신할 수도 있다. 일부 구현 예들에서, 시스템 제어기 (250) 는 페데스탈 높이 및 온도를 제어하도록 페데스탈 (214) 과 통신할 수도 있다. 일부 구현 예들에서, 시스템 제어기 (250) 는 RF 전력 설정들, 주파수 설정들, 듀티 사이클들, 펄스 시간들, 반응 챔버 (204) 내 압력, 리모트 플라즈마 소스 (202) 내 압력, 소스 가스 공급부 (226) 및 부가적인 가스 공급부 (228) 로부터의 가스 플로우 레이트들, 전구체 공급 소스 (240) 및 다른 소스들로부터의 가스 플로우 레이트들, 페데스탈 (214) 의 온도, 및 반응 챔버 (204) 의 온도, 등과 같은 다른 프로세싱 조건들을 제어할 수도 있다.
제어기 (250) 는 플라즈마 프로세싱 장치 (200) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (250)는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기 (250) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고 또는 네트워크를 통해 제공될 수도 있다.
특정한 실시 예들에서, 제어기 (250) 는 본 명세서에 기술된 플라즈마 프로세싱 장치 (200) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (250) 는 그래핀을 증착하는 것과 연관된 플라즈마 프로세싱 장치 (200) 의 모든 또는 대부분의 액티비티들 및, 선택 가능하게, 그래핀을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (250) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (250) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시 예들에서 채용될 수도 있다. 기판 (212) 에 인접한 환경에서 상대적으로 약한 반응 조건들을 제공하기 위해, RF 전력 레벨들, 플라즈마 영역 (224) 으로의 가스 플로우 레이트들, 화학적 기상 증착 존 (208) 으로의 가스 플로우 레이트들, 및 플라즈마 점화의 타이밍과 같은 파라미터들은 제어기 (250) 에 의해 조정될 수 있고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (212) 에 인접한 환경에서 고-에너지 라디칼 종의 존재를 더 감소시킬 수도 있다. 멀티-스테이션 리액터에서, 제어기 (250) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있고, 따라서 장치 스테이션들로 하여금 독립적으로 또는 동기적으로 동작하게 한다.
일부 실시 예들에서, 제어기 (250) 는 하나 이상의 탄화수소 전구체들을 가스 유출구 (242) 를 통해 반응 챔버 (204) 내로 흘리는 단계, 소스 가스를 리모트 플라즈마 소스 (202) 내로 제공하는 단계, 하나 이상의 탄화수소 전구체들의 업스트림의 리모트 플라즈마 소스 (202) 내의 소스 가스의 하나 이상의 라디칼 종을 생성하는 단계, 기판 (212) 의 금속 표면 상에 그래핀을 증착하기 위해 하나 이상의 탄화수소 전구체들과 반응하도록 리모트 플라즈마 소스 (202) 로부터 반응 챔버 (204) 내로 하나 이상의 라디칼 종을 도입하는 단계와 같은 동작들을 수행하는 인스트럭션들을 포함할 수도 있다. 기판 (212) 에 인접한 환경에서 반응 챔버 (204) 내의 하나 이상의 라디칼 종은 바닥 상태의 수소 라디칼들일 수도 있다. 일부 구현 예들에서, 제어기 (250) 는 그래핀을 증착하기 전에 기판 (212) 의 금속 표면을 처리하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 제어기 (250) 는 기판 (212) 의 온도를 약 400 ℃ 이하, 또는 약 200 ℃ 내지 약 400 ℃로 유지하기 위한 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 알켄 또는 알킨기를 포함한다.
일부 실시 예들에서, 장치 (200) 는 제어기 (250) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 프로세스 조건들 및/또는 장치 (200) 의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일된 (compiled) 목적 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 시스템의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
일반적으로, 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 과 같은 반도체 프로세싱 장비를 포함하는 시스템상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 프로세싱 동안 및 프로세싱 후 그들의 동작을 제어하기 위해 전자 장치와 통합될 수도 있다. 일반적으로, 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 제어기로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들면, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 (trends) 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
본 명세서에 기술된 그래핀 증착 외에, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (Physical Vapor Deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (Chemical Vapor Deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴인터페이스들,인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
라만 분광법은 그래핀의 특성화를 위해 사용될 수 있다. 라만 분광법은 또한 그래핀 층들의 수 뿐만 아니라 그래핀의 무질서한 양을 결정하는데 적합할 수 있다. 라만 스펙트럼에서 그래핀의 특정한 특징들을 식별함으로써, 그래핀은 무질서한 또는 비정질 탄소 층들로부터 구별될 수 있다.
도 3은 일부 구현 예들에 따른 단일 층 그래핀 및 다층 그래핀의 예들의 라만 스펙트럼 (Raman spectra) 을 도시하는 그래프를 예시한다. 그래핀은 약 1580 ㎝-1에서 G 피크 및 약 2680 ㎝-1에서 2D 피크의 존재에 의해 라만 스펙트럼에서 특성화될 수 있고, 여기서 2D 피크는 G 피크보다 강도에 있어 같거나 크다. 2D 피크가 G 피크보다 상당히 작은 강도라면, 증착된 막은 그래핀으로서 특성화되지 않는다. 그러나, 무질서한 탄소 또는 비정질 탄소는 약 1380 ㎝-1에서 D 피크의 존재에 의해 라만 스펙트럼에서 특성화될 수 있다. 무질서가 증가함에 따라, D 피크의 라만 강도는 보통 증가한다.
라만 분광법은 또한 그래핀 층들의 수를 결정하는데 사용될 수 있다. 일부 구현 예들에서, G 피크의 강도에 대한 2D 피크의 강도의 비 (I2D/IG)는 그래핀 층들의 수에 대응할 수 있다. 구체적으로, I2D/IG의 비가 2보다 크다면, 증착된 그래핀 막은 단일 층 그래핀에 대응한다. 도 3에 도시된 바와 같이 I2D/IG의 비가 1보다 약간 크거나 1보다 약간 작으면, 증착된 그래핀 막은 각각 이중 층 그래핀 또는 소수 층 그래핀에 대응할 수도 있다.
본 개시에서, 금속 표면 상에 리모트 수소 플라즈마 CVD에 의해 증착된 그래핀 막은 약 10 ㎚ 이하, 약 5 ㎚ 이하, 약 3 ㎚ 이하, 또는 약 1 ㎚ 이하의 두께를 갖는다. 그래핀 막의 두께는 그래핀 막이 증착되는 금속 표면에 따라 결정될 수 있다. 예를 들어, 그래핀 막이 구리 상에 증착되는 경우 단층 (monolayer) 또는 몇 개의 단층들 두께일 수도 있고, 따라서 두께는 약 1 ㎚ 미만일 수도 있다. 그래핀 막은 단일 층 그래핀, 이중 층 그래핀, 또는 소수 층 그래핀일 수도 있다. 이는 그래핀 막이 구리와 같은 금속 상에 증착되는 경우에 발생할 수 있다. 또 다른 예에서, 그래핀 막은 코발트와 같은 다른 금속들 상에 증착되는 경우 수 나노미터 두께 (예를 들어, 약 2 내지 3 ㎚) 일 수도 있다.
도 4는 일부 구현 예들에 따라 기판의 금속 표면 상에 그래핀을 증착하는 예시적인 방법의 흐름도를 예시한다. 프로세스 (400) 의 동작들은 상이한 순서들로 그리고/또는 상이한 동작, 보다 적은 동작, 또는 부가적인 동작으로 수행될 수도 있다. 프로세스 (400) 의 동작들은 도 2에 도시된 플라즈마 프로세싱 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (400) 의 동작들은 적어도 부분적으로, 하나 이상의 비-일시적인 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 구현될 수도 있다.
프로세스 (400) 의 블록 410에서, 기판의 금속 표면은 그래핀을 증착하기 전에 선택 가능하게 처리될 수도 있다. 그래핀 증착은 그래핀이 성장하는 금속 표면의 평활도 (smoothness) 및 순도에 종속될 수 있다. 표면 준비 기법들이 기판을 연마하고 불순물들을 제거하기 위해 금속 표면 상에 적용될 수도 있다. 기판을 연마하는 것은 일부 구현 예들에서 라이트 에칭 (light etch) 에 의해 수행될 수도 있다. 불순물들의 제거는 예를 들어, 금속 옥사이드들 (metal oxides) 을 제거하는 화학적 처리에 의해 수행될 수도 있다. 불순물들의 제거는 부가적으로 또는 대안적으로 CMP (chemical mechanical planarization) 프로세스들로부터 잔류물들 또는 오염 물질들의 제거를 수반할 수도 있다. 일부 구현 예들에서, 금속 표면의 처리는 임의의 확산 배리어 증착 또는 에칭 정지 증착 전에 발생할 수도 있다.
일부 구현 예들에서, 기판의 금속 표면을 처리하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계를 포함할 수 있다. 금속 표면의 처리는 적어도 플라즈마에 노출에 의한 불순물의 제거 및/또는 금속 옥사이드의 환원을 포함할 수 있다. 일부 구현 예들에서, 플라즈마는 환원성 가스 종의 이온들 및 라디칼들을 포함할 수 있다. 환원 가스 종은 예를 들어, 수소 가스 (H2), 암모니아 (NH3), 또는 이들의 조합들을 포함할 수 있다. 따라서, 금속 표면은 H2 플라즈마, NH3 플라즈마, 또는 H2/NH3 플라즈마에 의해 처리될 수도 있다. 플라즈마는 다이렉트 (인-시츄) 플라즈마 또는 리모트 플라즈마일 수도 있다. 일부 구현 예들에서, 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계는 금속 표면을 리모트 수소 플라즈마에 노출하는 단계를 포함한다.
일부 구현 예들에서, 금속 표면을 처리하는 단계는 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 더 포함한다. 일부 다른 구현 예들에서, 금속 표면을 처리하는 단계는 금속 표면을 환원성 가스 종에 노출하는 단계에 대한 대안으로서 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 포함한다. 시아노계 라디칼 종은 그래핀 성장 전에 금속 표면을 평활화하기 위해 라이트 에칭을 수행할 수도 있다. 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하기 전 또는 후에 발생할 수 있다. 이는 다단계 전처리 프로세스로 지칭될 수 있다. 다단계 전처리 프로세스 또는 다단계 전처리 프로세스의 적어도 일부 단계들은, 그래핀을 증착하기 위한 플라즈마 프로세싱 장치와 동일한 장치 또는 상이한 장치에서 수행될 수도 있다. 금속 표면을 시아노계 라디칼 종에 노출하는 단계는 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계와 동시에 발생할 수 있다. 이는 단일 단계의 전처리 프로세스로 지칭될 수 있다. 단일 단계 전처리 프로세스는 그래핀을 증착하기 위한 플라즈마 프로세싱 장치와 동일한 장치 또는 상이한 장치에서 수행될 수도 있다.
다단계 전처리 프로세스에서, 시아노계 라디칼 종은 플라즈마를 점화함으로써 생성될 수도 있으며, 플라즈마는 다이렉트 (인-시츄) 플라즈마 또는 리모트 플라즈마일 수도 있다. 시아노계 라디칼 종은 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스를 함유하는 가스 혼합물로부터 또는 탄소-질소 (CN) 결합을 갖는 전구체를 함유하는 가스 혼합물로부터 생성될 수도 있다. 따라서, 금속 표면을 처리하는 단계는 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스로부터 또는 탄소-질소 결합을 갖는 전구체로부터 시아노계 라디칼 종을 포함하는 플라즈마를 생성하는 단계를 더 포함할 수 있다. 예를 들어, 탄화수소 전구체, 질소 가스, 및 수소 가스의 가스 혼합물이 플라즈마 생성기로 공급될 수도 있고, 가스 혼합물의 플라즈마는 시아노계 라디칼 종을 형성하도록 점화될 수도 있다.
단일 단계 전처리 프로세스에서, 시아노계 라디칼 종은 다운스트림 탄소-함유 전구체를 활성화함으로써 생성될 수도 있다. 다운스트림 탄소-함유 전구체의 활성화는 환원성 가스 종의 플라즈마에 의한 표면 전처리와 동시에 이루어진다. 이러한 예들에서, 리모트 플라즈마 소스는 다운스트림 탄소-함유 전구체의 업스트림에 위치되며, 환원성 가스 종의 플라즈마는 리모트 플라즈마 소스에서 생성된다. 일부 구현 예들에서, 다운스트림 탄소-함유 전구체는 탄화수소 전구체일 수도 있다. 따라서, 다운스트림 탄소-함유 전구체는 그래핀을 증착하는데 사용된 탄화수소 전구체와 화학적으로 동일하거나 상이할 수도 있다. 이러한 경우들에서, 환원성 가스 종의 플라즈마는 환원성 가스 종 및 질소-함유 제제의 플라즈마이다. 예를 들어, 환원성 가스 종은 수소 가스를 포함할 수 있다. 질소-함유 제제는 질소 가스를 포함할 수 있다. 따라서, 환원성 가스 종 및 질소-함유 제제의 플라즈마는 리모트 H2 및 N2 플라즈마일 수 있다. 환원성 가스 종의 농도는 플라즈마 내 질소-함유 제제의 농도보다 클 수도 있다. 어떠한 이론에도 제한되지 않고, 질소-함유 제제의 이온들/라디칼들은 시아노계 라디칼 종을 형성하기 위해 다운스트림 탄소-함유 전구체와 상호 작용한다고 여겨진다. 시아노계 라디칼 종은 금속 표면을 평활화하기 위해 라이트 에칭 (light etch) 을 수행할 수 있고 환원성 가스 종의 플라즈마는 금속 옥사이드들을 금속 표면 상의 금속으로 환원시킬 수 있다. 일부 다른 구현 예들에서, 다운스트림 탄소-함유 전구체는 하나 이상의 CN 결합들을 함유하는 전구체 가스일 수도 있다. 이러한 전구체는 환원성 가스 종의 플라즈마에 의해 활성화될 수도 있고, 환원성 가스 종의 플라즈마는 리모트 플라즈마 소스에서 업스트림에 생성된 리모트 플라즈마이다. 일부 예들에서, 환원성 가스 종의 플라즈마는 리모트 수소 플라즈마이다. 어떠한 이론에도 제한되지 않고, 수소 이온들/수소 라디칼들은 시아노계 라디칼 종을 형성하기 위해 하나 이상의 CN 결합들을 갖는 다운스트림 탄소-함유 전구체와 상호 작용한다고 여겨진다.
블록 410에서의 처리 동작이 다단계 전처리 프로세스 및 단일 단계 전처리 프로세스의 관점에서 기술될 수도 있지만, 금속 표면의 전처리는 이러한 기법들로 제한되지 않는다는 것이 이해될 것이다. 기판의 금속 표면은 당업계에 공지된 임의의 적합한 표면 준비 기법을 사용하여 그래핀 증착 전에 전처리될 수도 있다.
프로세스 (400) 의 블록 420에서, 기판은 반응 챔버 내에 제공되고, 기판은 금속 표면을 포함한다. 일부 구현 예들에서, 기판은 블록 410에서 처리 동안 반응 챔버 내에 이미 제공될 수도 있다. 기판은 반도체 애플리케이션들에서 사용되는 반도체 기판일 수도 있다. 금속 표면은 전이 금속과 같은 임의의 적절한 금속을 포함할 수 있다. 예를 들어, 금속 표면은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들을 포함할 수 있다. 금속 표면은 그래핀 핵 생성 및 성장을 촉진하기 위한 촉매제로서 역할을 할 수 있다. 본 개시에서 그래핀의 증착은 금속 표면의 특정한 금속에 선택적일 수도 있다. 달리 말하면, 본 개시에서 그래핀의 증착은 유전체 표면들 또는 다른 비금속 표면들 상에서 발생하지 않을 수도 있다.
반응 챔버는 기판을 지지하기 위한 기판 지지부 또는 페데스탈을 포함할 수도 있다. 리모트 플라즈마 소스는 샤워헤드를 통해 반응 챔버에 유체적으로 커플링될 수도 있다. 기판의 금속 표면은 리모트 플라즈마 소스를 향하여 마주볼 수도 있다. 전구체 가스 라인은 하나 이상의 가스 유출구들을 통해 반응 챔버에 개별로 유체적으로 커플링될 수도 있다. 하나 이상의 가스 유출구들은 리모트 플라즈마 소스로부터 다운스트림에 위치될 수도 있다. 하나 이상의 가스 유출구들은 탄화수소 전구체들을 반응 챔버 내로 전달할 수도 있고 리모트 플라즈마 소스는 반응 챔버 내로 전달하기 위한 수소 라디칼들을 생성할 수도 있다.
프로세스 (400) 의 블록 430에서, 하나 이상의 탄화수소 전구체들이 반응 챔버 내로 그리고 기판을 향해 흐른다. 하나 이상의 탄화수소 전구체들 각각은 알켄 또는 알킨기를 포함한다. 이는 탄화수소 전구체들이 하나 이상의 탄소-대-탄소 이중 결합들 및/또는 탄소-대-탄소 삼중 결합들과 같은 하나 이상의 불포화 탄소 결합들을 포함한다는 것을 의미한다. 알켄 또는 알킨기를 갖는 탄화수소 전구체들의 예들은 이로 제한되는 것은 아니지만 톨루엔, 벤젠, 에틸렌, 프로필렌, 부텐, 펜타디엔 (예를 들어, 1,4 펜타디엔), 헥센, 아세틸렌, 프로핀, 부틴, 또는 펜틴을 포함한다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들 각각은 적어도 2 개의 탄소 원자들, 적어도 3 개의 탄소 원자들, 적어도 4 개의 탄소 원자들, 적어도 5 개의 탄소 원자들, 적어도 6 개의 탄소 원자들, 또는 적어도 7 개의 탄소 원자들을 갖는 탄소 사슬을 포함할 수도 있다.
하나 이상의 탄화수소 전구체들은 반응 챔버에 유체로 커플링된 하나 이상의 가스 유출구들을 통해 반응 챔버 내로 흐를 수도 있다. 하나 이상의 가스 유출구들은 리모트 플라즈마 소스로부터 다운스트림에 위치된다. 하나 이상의 탄화수소 전구체들의 플라즈마는 반응 챔버 또는 리모트 플라즈마 소스에서 생성되지 않는다. 오히려, 하나 이상의 탄화수소 전구체들은 리모트 플라즈마 소스에서 생성된 플라즈마와 독립적으로 반응 챔버 내로 흐른다.
하나 이상의 탄화수소 전구체들은 금속 표면 상에 흡착하도록 기판을 향해 흐르거나 적어도 기판의 금속 표면에 인접한 환경에 위치된다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 블록 440 및 블록 450에 기술된 바와 같이 플라즈마 생성 및 플라즈마 노출과 동시에 반응 챔버 내로 흐른다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 블록 440 및 블록 450에 기술된 바와 같이 플라즈마 생성 및 플라즈마 노출 전에 반응 챔버 내로 흐른다.
일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 다른 종, 특히 캐리어 가스와 함께 기판의 금속 표면에 인접한 환경으로 전달된다. 증착 반응 표면으로부터 업스트림에서, 하나 이상의 탄화수소 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은 아르곤 (Ar) 및 헬륨 (He) 을 포함하지만 이로 제한되지 않는다. 일부 구현 예들에서, 하나 이상의 탄화수소 전구체들은 복수의 탄화수소 전구체들의 혼합물로서 전달된다. 복수의 탄화수소 전구체들은 결과적인 (resulting) 그래핀에서 1 차 백본 (backbone) 또는 매트릭스를 형성하기에 적절한 등몰 (equimolar) 또는 상대적으로 유사한 비율로 존재할 수도 있다. 다른 실시 예들에서, 복수의 탄화수소 전구체들의 상대적인 양들은 등몰로부터 실질적으로 치우친다.
프로세스 (400) 의 블록 440에서, 수소 라디칼들은 하나 이상의 탄화수소 전구체들의 업스트림에 위치된 리모트 플라즈마 소스에서 수소 소스 가스로부터 생성된다. 구체적으로, 수소 라디칼들은 반응 챔버 내로 하나 이상의 탄화수소 전구체들을 도입하기 위해 하나 이상의 가스 유출구들로부터 업스트림인 리모트 플라즈마 소스에서 생성된다. 리모트 플라즈마 소스는 유도 결합 플라즈마 소스 또는 용량 결합 플라즈마 소스와 같은 플라즈마 생성을 위한 임의의 적합한 플라즈마 소스일 수도 있다. 일부 구현 예들에서, 수소 소스 가스는 수소 가스 (H2) 이다. 일부 구현 예들에서, 수소 가스는 헬륨 (He) 과 같은 하나 이상의 부가적인 가스들과 함께 리모트 플라즈마 소스 내로 흐른다. 특정한 실시 예들에서, 수소 소스 가스는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 25 % 수소 또는 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다. 따라서, 일부 예들에서, H2/He 플라즈마는 리모트 플라즈마 소스에서 생성된다.
프로세스 (400) 의 블록 450에서, 수소 라디칼들은 기판의 금속 표면 상에 그래핀을 증착하기 위해 수소 라디칼들이 하나 이상의 탄화수소 전구체들과 반응하는 기판을 향해 반응 챔버 내로 도입된다. 수소 라디칼들은 여기된 라디칼들이 재결합하지 않고 릴렉스된 라디칼들로 천이하도록 프로세스 조건들 하에서 반응 챔버 내로 전달된다. 압력, 헬륨과 같은 캐리어 가스의 분율 (fraction), 샤워헤드의 가스 포트들의 기하학적 구조, 샤워헤드와 하나 이상의 가스 유출구들 사이의 거리, 및 다른 프로세스 조건들은 수소 원자들이 재결합없이 저 에너지 상태 (예를 들어, 바닥 상태) 에서 라디칼들로서 기판과 만나도록 구성된다. 일부 구현 예들에서, 기판에 인접한 환경의 수소 라디칼들 모두 또는 실질적으로 모두는 바닥 상태의 수소 라디칼들이다. 이러한 방식으로, 기판은 표면 성장 손상을 최소화하는 리모트 수소 플라즈마에 노출된다.
일단 생성되면, 수소 라디칼들은 여기된 에너지 상태일 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 라디칼들은 그래핀 성장 동안 표면 성장 손상을 유발할 수도 있다. 일부 구현 예들에서, 여기된 수소 라디칼이 에너지를 잃거나 릴렉스될 때, 여기된 수소 라디칼은 실질적으로 저 에너지 상태 수소 라디칼 또는 바닥 상태 수소 라디칼이 될 수도 있다. 일부 구현 예들에서, 프로세스 조건들은 여기된 수소 라디칼들이 에너지를 잃거나 실질적으로 저 에너지 상태 또는 바닥 상태 수소 라디칼들을 형성하기 위해 릴렉스되도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들은 리모트 플라즈마 소스로부터 기판으로 확산하는 수소 라디칼들의 체류 시간이 여기된 수소 라디칼의 에너제틱 릴렉스 시간보다 길도록 설계될 수도 있다. 여기된 수소 원자 라디칼에 대한 에너제틱 릴렉스 시간은 약 1×10-3 초 이하일 수 있다.
기판의 금속 표면에 인접한 환경은 하나 이상의 탄화수소 전구체들을 포함할 수도 있다. 이에 더하여, 기판의 금속 표면에 인접한 환경은 저 에너지 상태 (예를 들어, 바닥 상태) 의 수소 라디칼들을 포함할 수도 있다. 기판의 금속 표면에 인접한 환경은 금속 표면 뿐만 아니라 기판의 노출된 표면 바로 위의 공간을 포함한다. 실제로, 저 에너지 상태의 수소 라디칼들에 의한 탄화수소 전구체들의 활성화는 금속 표면 상에서 또는 기판의 금속 표면 위의 거리를 두고 (at a distance) 발생할 수도 있다. 일부 구현 예들에서, 기판의 금속 표면 위의 거리는 기판의 금속 표면 위로 약 100 ㎜까지일 수도 있다. 통상적으로, 기판의 금속 표면에 인접한 환경의 반응 조건들은 약간의 변동이 허용될 수도 있지만, 일반적으로 기판의 전체 금속 표면에 걸쳐 균일하다.
일부 구현 예들에서, 수소 원자 라디칼들의 모두 또는 실질적으로 모두, 또는 상당한 분율 (substantial fraction) 은 바닥 상태에 있을 수 있으며, 예를 들어, 기판의 금속 표면에 인접한 수소 원자 라디칼들의 적어도 약 90 % 또는 95 %가 바닥 상태이다. 본 명세서에 사용된 바와 같이, 수소 라디칼들은 또한 "수소 라디칼들" 및 "수소 원자 라디칼들"로 지칭될 수도 있다. 상당한 분율의 수소 원자 라디칼들이 바닥 상태에 있는 상태는 다양한 기법들에 의해 달성될 수 있다. 도 2에 기술된 바와 같은 일부 장치들은 이 상태를 달성하도록 설계된다. 바닥 상태에서 수소 원자 라디칼들을 달성하기 위한 프로세스 조건들은 바닥 상태 위의 상태들과 같은 고 에너지 상태들에서 상당량의 이온들, 전자들, 또는 라디칼 종들을 갖지 않을 수도 있다. 상당량의 이온들 또는 고 에너지 라디칼들의 존재는 기판 상에 표면 성장 손상을 유발할 수도 있고, 이는 저품질 그래핀 또는 무질서한 탄소 성장을 발생시킨다. 일부 실시 예들에서, 기판의 금속 표면에 인접한 환경의 이온들의 농도는 약 107/㎤ 이하이다. 바닥 상태의 수소 원자 라디칼들은 표면 성장 손상을 제한하기 위해 금속 표면에 인접한 환경에서 약한 조건들을 제공하면서 하나 이상의 탄화수소 전구체들을 활성화시키기에 충분한 에너지를 제공할 수도 있다.
하나 이상의 탄화수소 전구체들은 수소 라디칼들로부터 다운스트림의 반응 챔버로 흐른다. 수소 라디칼들은 하나 이상의 탄화수소 전구체들을 도입하기 위해 하나 이상의 가스 유출구들로부터 업스트림에 위치된 리모트 플라즈마 소스에서 생성된다. 수소 라디칼들이 하나 이상의 탄화수소 전구체들에 도달할 때까지, 수소 라디칼들은 하나 이상의 탄화수소 전구체들과 혼합하거나 상호 작용할 때 저 에너지 상태 또는 바닥 상태이다.
어떠한 이론에도 제한되지 않고, 증착 반응에서 보다 동 역학적으로 유리한 반응 메커니즘들 중 하나는 활성화된 탄화수소 전구체들을 발생시키는 수소 추출 (hydrogen abstraction) 을 포함한다. 어떠한 이론에도 제한되지 않고, 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 활성화된 알칸 (예를 들어, 메탄) 의 형성을 발생시키는 탄화수소 분자의 알킨 또는 알켄기들과 상호 작용할 수도 있다. 일부 예들에서, 탄화수소 전구체는 보다 작은 사슬 탄화수소 분자들 또는 라디칼들로 분해된다. 활성화된 알칸들은 활성 사이트 (active site) 로서 적어도 하나의 탄소 라디칼을 함유하고, 활성 사이트들은 그래핀에서 탄소-대-탄소 결합들을 형성하기 위해 함께 반응할 수 있다. 활성 사이트들에서의 결합 (bonding) 및 가교 (cross-linking) 는 결과적인 그래핀 막에서 1 차 백본 또는 매트릭스를 형성할 수 있다. 금속 표면은 활성화된 탄화수소 전구체들 사이의 반응들을 촉진하기 위한 촉매로서 작용할 수도 있다.
탄화수소 전구체들은 패시브 관전자들 (passive spectators) 로서 역할을 하지 않지만, 그래핀의 조성에 상당히 기여한다. 일부 구현 예들에서, 실질적으로 모든 또는 상당한 분율의 원자들은 막 질량 (film mass) 의 약 5 원자% 미만 또는 약 2 원자% 미만을 제공하는 리모트 수소 플라즈마로부터 적은 양의 수소 또는 다른 원소와 함께, 하나 이상의 탄화수소 전구체들에 의해 제공된다. 이러한 경우들에서, 증착 반응을 구동하기 위해 사용된 저 에너지 수소 원자 라디칼들은 증착된 그래핀의 질량에 실질적으로 기여하지 않는다.
기판의 금속 표면에 인접한 환경의 온도는 증착 반응을 용이하게 하는 임의의 적합한 온도일 수 있다. 일부 구현 예들에서, 기판의 금속 표면에 인접한 환경의 온도는 그래핀의 증착 동안 기판이 지지되는 페데스탈의 온도에 의해 크게 제어될 수 있다. 일부 구현 예들에서, 동작 온도는 약 500 ℃ 이하, 약 450 ℃ 이하, 약 400 ℃ 이하, 약 350 ℃ 이하, 약 300 ℃ 이하, 약 200 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃일 수 있다. 이러한 온도들은 반도체 애플리케이션들에 적합할 수도 있다. 일부 구현 예들에서, 온도는 그래핀이 증착되는 금속 표면의 금속에 종속될 수도 있다. 예를 들어, 구리는 400 ℃ 이하의 온도를 유지할 수도 있는 반면, 루테늄은 450 ℃ 이하의 온도를 유지할 수도 있다.
기판의 금속 표면에 인접한 환경의 압력은 반응 챔버 내에서 그래핀 성장을 촉진하기 위한 임의의 적합한 압력일 수 있다. 일부 실시 예들에서, 압력은 약 10 Torr 이하, 또는 약 5 Torr 이하일 수 있다. 예를 들어, 압력은 약 1 Torr 내지 약 2 Torr일 수 있다.
그래핀은 리모트 플라즈마 소스로부터 다운스트림에 제공된 하나 이상의 탄화수소 전구체들과 수소 라디칼들의 반응으로부터 금속 표면 상에 선택적으로 증착된다. 저 에너지 상태 (예를 들어, 바닥 상태) 의 수소 라디칼들에 의해 제공된 상대적으로 약한 반응 조건들은 탄소 라디칼들을 형성하기 위해 하나 이상의 탄화수소 전구체들을 활성화시킨다. 이와 같이, 탄소 라디칼들은 플라즈마가 생성되는 리모트 플라즈마 소스의 외부에 형성된다. 기판의 금속 표면에 인접한 환경에서 탄소 라디칼들의 양은 그래핀 성장을 위해 너무 많은 핵 생성 사이트들을 갖는 것을 제한하도록 제어될 수도 있다. 어떠한 이론에도 제한되지 않고, 핵 생성 사이트들의 과잉 수는 그래핀 성장 동안 결함들의 과잉 수에 대응할 수도 있다.
그래핀은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들과 같은 전이 금속 상에 선택적으로 증착될 수도 있다. 일부 구현 예들에서, 금속 표면은 구리를 포함한다. 일부 구현 예들에서, 금속 표면 상의 그래핀은 상대적으로 얇고 몇 개의 단층들 정도의 두께일 수도 있다. 일부 구현 예들에서, 그래핀의 두께는 약 10 nm 이하, 약 5 nm 이하, 약 3 nm 이하, 또는 약 1 nm 이하이다. 그래핀의 두께는 그래핀이 증착되는 금속 표면에 종속될 수도 있다. 예를 들어, 그래핀의 두께는 구리 상에 증착될 때 약 1 nm 미만일 수도 있다. 그래핀은 단일 층 그래핀, 이중 층 그래핀, 또는 소수 층 그래핀일 수도 있다. 그래핀의 라만 스펙트럼은 무시할 만한 강도인 D 피크 및 G 피크와 같거나 큰 2D 피크를 갖는 것을 특징으로 할 수도 있다. D 피크의 강도는 2D 피크 및 G 피크보다 상당히 작을 것이라는 것이 이해될 것이다.
일부 구현 예들에서, 프로세스 (400) 는 기판의 금속 표면 상의 그래핀을 어닐링하는 것을 더 포함할 수도 있다. 그래핀을 어닐링하는 단계는 그래핀 결정 구조체로부터 결함들을 제거하기 위해 상승된 온도들에서 발생할 수도 있다. 이는 고품질 그래핀의 형성을 보장한다. 일부 구현 예들에서, 상승된 온도들은 약 200 ℃ 이상, 약 300 ℃ 이상, 약 400 ℃ 이상, 또는 약 200 ℃ 내지 약 400 ℃일 수도 있다. 어닐링을 위해 상승된 온도는 금속 표면의 금속 및 BEOL (back-end-of-line) 반도체 프로세싱과 양립 가능한 온도 한계들에 종속될 수도 있다. 예를 들어, 상승된 온도는 구리에 대해 약 400 ℃까지일 수도 있다. 그래핀 어닐링은 D 피크가 감소되고 2D 피크가 증가되는, 감소된 결함들을 갖는 그래핀의 품질에 있어 상당한 개선을 발생시킬 수도 있다. 일부 구현 예들에서, 그래핀 어닐링은 불활성 가스 분위기 (atmosphere) 에서 발생하며, 불활성 가스 분위기는 아르곤 (Ar), 헬륨 (He), 질소 (N2), 또는 이들의 조합들과 같은 불활성 가스를 포함한다.
전술한 기술에서, 제시된 실시 예들의 완전한 이해를 제공하도록 다수의 특정한 상세들이 제시된다. 개시된 실시 예들은 이들 특정한 상세들 중 일부 또는 전부없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지는 않는다는 것을 이해할 것이다.
전술한 실시 예들이 이해의 명료성을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 유의해야 한다. 따라서, 본 실시 예들은 예시적인 것이고 제한적인 것이 아닌 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 기판의 금속 표면 상에 그래핀을 증착하는 방법에 있어서,
    반응 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 금속 표면을 포함하는, 상기 기판을 제공하는 단계;
    하나 이상의 탄화수소 전구체들을 상기 반응 챔버 내로 그리고 상기 기판을 향해 흘리는 단계;
    수소 소스 가스로부터, 상기 하나 이상의 탄화수소 전구체들의 업스트림에 위치된 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계; 및
    상기 반응 챔버 내로 그리고 상기 기판을 향해 상기 수소 라디칼들을 도입하는 단계로서, 상기 수소 라디칼들은 상기 기판의 상기 금속 표면 상에 그래핀을 증착하기 위해 상기 하나 이상의 탄화수소 전구체들과 반응하는, 상기 수소 라디칼들을 도입하는 단계를 포함하는, 그래핀 증착 방법.
  2. 제 1 항에 있어서,
    상기 하나 이상의 탄화수소 전구체들 각각은 알켄 또는 알킨기를 포함하는, 그래핀 증착 방법.
  3. 제 2 항에 있어서,
    상기 하나 이상의 탄화수소 전구체들 각각은 톨루엔, 벤젠, 에틸렌, 프로필렌, 부텐, 펜텐, 펜타디엔, 헥센, 아세틸렌, 프로핀, 부틴, 또는 펜틴을 포함하는, 그래핀 증착 방법.
  4. 제 1 항에 있어서,
    상기 기판에 인접한 환경 (environment) 에서 모든 또는 실질적으로 모든 (substantially all) 상기 수소 라디칼들은 바닥 상태 (ground state) 의 수소 라디칼들인, 그래핀 증착 방법.
  5. 제 1 항에 있어서,
    상기 기판은 상기 기판의 상기 금속 표면 상에 그래핀의 증착 동안 약 200 ℃ 내지 약 400 ℃의 온도로 유지되는, 그래핀 증착 방법.
  6. 제 1 항에 있어서,
    상기 금속 표면 상에 그래핀을 증착하기 전에 상기 기판의 상기 금속 표면을 처리하는 단계로서, 상기 금속 표면을 처리하는 단계는 상기 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계를 포함하는, 상기 금속 표면을 처리하는 단계를 더 포함하는, 그래핀 증착 방법.
  7. 제 6 항에 있어서, 상기 금속 표면을 처리하는 단계는 상기 금속 표면을 시아노계 라디칼 종에 노출하는 단계를 더 포함하는, 그래핀 증착 방법
  8. 제 7 항에 있어서,
    상기 금속 표면을 처리하는 단계는 적어도 탄소-함유 소스 가스 및 질소-함유 소스 가스로부터, 상기 시아노계 라디칼 종을 함유하는 플라즈마를 생성하는 단계를 더 포함하며, 상기 금속 표면을 상기 시아노계 라디칼 종에 노출하는 단계는 상기 금속 표면을 상기 환원성 가스 종의 상기 플라즈마에 노출하기 전 또는 노출한 후에 발생하는, 그래핀 증착 방법.
  9. 제 7 항에 있어서,
    상기 금속 표면을 상기 시아노계 라디칼 종에 노출하는 단계는 상기 금속 표면을 상기 환원성 가스 종의 상기 플라즈마에 노출하는 단계와 동시에 발생하고, 상기 시아노계 라디칼 종은 시아노기를 갖는 다운스트림 탄소-함유 전구체를 상기 환원성 가스 종의 상기 플라즈마에 노출함으로써 생성되고, 상기 환원성 가스 종의 상기 플라즈마는 상기 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성되는, 그래핀 증착 방법.
  10. 제 7 항에 있어서,
    상기 환원성 가스 종의 상기 플라즈마는 환원성 가스 종의 플라즈마와 질소-함유 제제 (agent) 의 플라즈마이고, 상기 금속 표면을 상기 시아노계 라디칼 종에 노출하는 단계는 상기 금속 표면을 상기 환원성 가스 종의 상기 플라즈마와 상기 질소-함유 제제의 상기 플라즈마에 노출하는 단계와 동시에 발생하고, 상기 시아노계 라디칼 종은 다운스트림 탄소-함유 전구체를 상기 환원성 가스 종의 상기 플라즈마에 노출함으로써 생성되고, 상기 환원성 가스 종의 상기 플라즈마와 상기 질소-함유 제제의 상기 플라즈마는 상기 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성되는, 그래핀 증착 방법.
  11. 제 1 항에 있어서,
    상기 금속 표면은 구리, 루테늄, 니켈, 몰리브덴, 코발트, 또는 이들의 조합들을 포함하는, 그래핀 증착 방법.
  12. 제 1 항에 있어서,
    상기 기판은 반도체 웨이퍼 또는 반도체 워크피스 (workpiece) 이고, 상기 기판의 상기 금속 표면은 상기 리모트 플라즈마 소스를 향하여 마주보는 (face towards), 그래핀 증착 방법.
  13. 제 1 항에 있어서,
    상기 그래핀은 유전체 재료 또는 다른 비금속 재료 상에 증착되지 않고 상기 기판의 상기 금속 표면의 금속 상에 선택적으로 증착되는 조건들 하에서 증착되는, 그래핀 증착 방법.
  14. 제 1 항에 있어서,
    약 200 ℃ 내지 약 400 ℃의 온도에서 상기 기판의 상기 금속 표면 상의 상기 그래핀을 어닐링하는 단계를 더 포함하는, 그래핀 증착 방법.
  15. 기판의 금속 표면 상에 그래핀을 증착하기 위한 장치에 있어서,
    반응 챔버;
    상기 반응 챔버 내에 있고 기판을 지지하도록 구성된 기판 지지부로서, 상기 기판은 금속 표면을 포함하는, 상기 기판 지지부;
    상기 반응 챔버의 업스트림의 리모트 플라즈마 소스로서, 상기 기판의 상기 금속 표면이 상기 리모트 플라즈마 소스를 향하여 마주보는, 상기 리모트 플라즈마 소스;
    상기 반응 챔버 내에 있고 그리고 상기 리모트 플라즈마 소스로부터 다운스트림의 하나 이상의 가스 유출구들; 및
    다음 동작들을 수행하기 위한 인스트럭션들로 구성되는 제어기를 포함하고, 상기 동작들은,
    하나 이상의 탄화수소 전구체들을 상기 하나 이상의 가스 유출구들을 통해 상기 반응 챔버 내로 그리고 상기 기판을 향해 흘리고;
    수소 소스 가스로부터 상기 리모트 플라즈마 소스에 수소 라디칼들을 생성하고; 그리고
    상기 수소 라디칼들을 상기 반응 챔버 내로 그리고 상기 기판을 향해 도입하는 동작들이고, 상기 수소 라디칼들은 상기 기판의 상기 금속 표면 상에 그래핀을 증착하기 위해 상기 하나 이상의 탄화수소 전구체들과 반응하는, 그래핀 증착 장치.
  16. 온도 감응 (temperature sensitive) 하부층을 갖는 반도체 기판으로서, 상기 온도 감응 하부층은 온도 감응 한계 (temperature sensitive limit) 를 갖는, 상기 반도체 기판; 및
    상기 온도 감응 하부층 상에 증착된 그래핀 막을 포함하는, 반도체 디바이스.
  17. 제 16 항에 있어서,
    상기 온도 감응 하부층은 전이 금속 (transition metal) 을 포함하고, 그리고 상기 온도 감응 한계는 약 400 ℃ 내지 약 700 ℃인, 반도체 디바이스.
  18. 기판의 금속 표면 상에 그래핀을 증착하는 방법에 있어서,
    반응 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 금속 표면을 포함하는, 상기 기판을 제공하는 단계; 및
    상기 기판의 상기 금속 표면 상에 그래핀을 증착하는 단계로서, 상기 기판은 증착 동안 약 200 ℃ 내지 약 400 ℃의 온도로 유지되는, 상기 그래핀 증착 단계를 포함하는, 그래핀 증착 방법.
  19. 기판의 금속 표면 상에 그래핀을 증착하는 방법에 있어서,
    반응 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 금속 표면을 포함하는, 상기 기판을 제공하는 단계;
    상기 금속 표면 상에 그래핀을 증착하기 전에 상기 기판의 상기 금속 표면을 처리하는 단계로서, 상기 금속 표면을 처리하는 단계는 상기 금속 표면을 시아노계 라디칼 종에 노출하는 단계와 동시에 상기 금속 표면을 환원성 가스 종의 플라즈마에 노출하는 단계를 포함하는, 상기 금속 표면을 처리하는 단계; 및
    상기 기판의 상기 금속 표면 상에 그래핀을 증착하는 단계를 포함하는, 그래핀 증착 방법.
  20. 제 19 항에 있어서,
    상기 환원성 가스 종의 상기 플라즈마는 환원성 가스 종의 플라즈마와 질소-함유 제제의 플라즈마이고, 상기 시아노계 라디칼 종은 다운스트림 탄소-함유 전구체를 상기 환원성 가스 종의 상기 플라즈마와 상기 질소-함유 제제의 상기 플라즈마에 노출함으로써 생성되고, 상기 환원성 가스 종의 상기 플라즈마와 상기 질소-함유 제제의 상기 플라즈마는 상기 다운스트림 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 생성되는, 그래핀 증착 방법.
KR1020227014699A 2019-09-30 2020-09-24 리모트 플라즈마를 사용한 선택적인 그래핀 증착 KR20220070031A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962908271P 2019-09-30 2019-09-30
US62/908,271 2019-09-30
PCT/US2020/052549 WO2021067118A1 (en) 2019-09-30 2020-09-24 Selective graphene deposition using remote plasma

Publications (1)

Publication Number Publication Date
KR20220070031A true KR20220070031A (ko) 2022-05-27

Family

ID=75338506

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227014699A KR20220070031A (ko) 2019-09-30 2020-09-24 리모트 플라즈마를 사용한 선택적인 그래핀 증착

Country Status (6)

Country Link
US (1) US20220375722A1 (ko)
JP (1) JP2022549368A (ko)
KR (1) KR20220070031A (ko)
CN (1) CN114556518A (ko)
TW (1) TW202124273A (ko)
WO (1) WO2021067118A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210087823A (ko) * 2020-01-03 2021-07-13 삼성전자주식회사 선택적 그래핀 성장 방법
US11515163B2 (en) * 2021-01-06 2022-11-29 Applied Materials, Inc. Low temperature graphene growth
US20230017035A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Integrated methods for graphene formation
KR20240041961A (ko) * 2021-07-23 2024-04-01 램 리써치 코포레이션 코발트 캡핑된 구리 (cobalt-capped copper) 듀얼 다마신 상호 접속부 상의 그래핀 (graphene) 의 선택적인 증착
US11967523B2 (en) * 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
US20230132200A1 (en) 2021-10-27 2023-04-27 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5851804B2 (ja) * 2011-11-09 2016-02-03 東京エレクトロン株式会社 前処理方法、グラフェンの形成方法及びグラフェン製造装置
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
CN104919077B (zh) * 2013-01-14 2017-08-29 加州理工学院 用于石墨烯形成的方法和系统
JP6002087B2 (ja) * 2013-05-29 2016-10-05 東京エレクトロン株式会社 グラフェンの生成方法
KR101667841B1 (ko) * 2014-04-08 2016-10-20 경남대학교 산학협력단 플라즈마 화학기상증착 프로세스의 전계제어기법을 이용한 그래핀 나노월 성장 방법
CN103981507B (zh) * 2014-05-21 2016-05-25 电子科技大学 一种石墨烯制备方法
CL2016001858A1 (es) * 2016-07-21 2017-02-17 Univ Técnica Federico Santa Mar+Ia Método y sistema para producir grafeno sobre un sustrato de cobre por deposición de vapores químicos (ap_cvd) modificado
US10269706B2 (en) * 2016-07-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180148832A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable carbon films using hot wire chemical vapor deposition
US10465291B2 (en) * 2017-09-26 2019-11-05 California Institute Of Technology Method and system for growth of graphene nanostripes by plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
JP2022549368A (ja) 2022-11-24
TW202124273A (zh) 2021-07-01
WO2021067118A1 (en) 2021-04-08
CN114556518A (zh) 2022-05-27
US20220375722A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
US20220375722A1 (en) Selective graphene deposition using remote plasma
US20220399230A1 (en) Graphene integration
KR102498418B1 (ko) Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
CN112673123B (zh) 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
KR102071442B1 (ko) 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법
WO2004077515A2 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20140018843A (ko) 증착 프로세스 동안의 기판 손상을 완화시키는 방법
TW202319341A (zh) 自由基活化碳膜沉積
US20240213159A1 (en) Graphene-capped copper in dual damascene interconnect
WO2023056393A1 (en) Deposition and treatment of nano-graphene at low temperatures