JP2022549368A - リモートプラズマを用いる選択的グラフェン堆積 - Google Patents

リモートプラズマを用いる選択的グラフェン堆積 Download PDF

Info

Publication number
JP2022549368A
JP2022549368A JP2022519665A JP2022519665A JP2022549368A JP 2022549368 A JP2022549368 A JP 2022549368A JP 2022519665 A JP2022519665 A JP 2022519665A JP 2022519665 A JP2022519665 A JP 2022519665A JP 2022549368 A JP2022549368 A JP 2022549368A
Authority
JP
Japan
Prior art keywords
metal surface
substrate
plasma
graphene
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022519665A
Other languages
English (en)
Inventor
ヴァラダラジャン・バドリ・エヌ.
ナーケヴィキュート・イエヴァ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022549368A publication Critical patent/JP2022549368A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure

Abstract

【課題】【解決手段】グラフェンは、リモート水素プラズマ化学蒸着技術を用いて、基板の金属表面上に堆積される。グラフェンは、半導体処理用途に適した400℃未満の温度で堆積されてよい。水素ラジカルは、反応チャンバの上流に設置されたリモートプラズマ源で生成され、炭化水素前駆体は、リモートプラズマ源から下流の反応チャンバに流される。炭化水素前駆体は、反応チャンバ内の基板の金属表面上にグラフェンを堆積させる条件下で、水素ラジカルによって活性化される。【選択図】図2

Description

<参照による援用>
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
グラフェンは、規則的な六角形パターンの単原子シートに原子が配列された炭素の同素体である。グラフェンは、他の良好な特性の中でも、その高い導電性、高い熱伝導性、優れた機械的強度および靱性、光透過性、ならびに高い電子移動性から、多くの分野および産業において注目を集めている。グラフェンへの関心は、半導体産業においても高まっている。
本明細書に記載の背景技術は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
本明細書では、基板の金属表面上にグラフェンを堆積させる方法が提供される。この方法は、金属表面を備える基板を反応チャンバ内に提供する工程を含む。この方法はさらに、1または複数の炭化水素前駆体を反応チャンバの中に、基板に向けて流す工程と、1または複数の炭化水素前駆体の上流に位置するリモートプラズマ源において、水素原料ガスから水素のラジカルを生成する工程と、水素のラジカルを反応チャンバの中に、基板に向けて導入する工程と、を含み、水素のラジカルは、1または複数の炭化水素前駆体と反応して、基板の金属表面上にグラフェンを堆積させる。
いくつかの実施形態では、1または複数の炭化水素前駆体の各々は、アルケン基またはアルキン基を含む。1または複数の炭化水素前駆体の各々は、トルエン、ベンゼン、エチレン、プロピレン、ブテン、ペンテン、ペンタジエン、ヘキセン、アセチレン、プロピン、ブチン、またはペンチンを含んでよい。いくつかの実施形態では、基板に隣接する環境における全てまたは実質的に全ての水素のラジカルは、基底状態の水素のラジカルである。いくつかの実施形態では、基板の金属表面におけるグラフェン堆積の間、基板は約500℃以下の温度に維持される。基板の金属表面におけるグラフェン堆積の間、基板は約200℃~約400℃の温度に維持されてよい。いくつかの実施形態では、この方法はさらに、金属表面上にグラフェンを堆積させる前に基板の金属表面を処理する工程を含み、金属表面を処理する工程は、金属表面を還元ガス種のプラズマに曝露する工程を含む。いくつかの実施形態では、金属表面を還元ガス種のプラズマに曝露する工程は、金属表面をリモート水素プラズマに曝露する工程を含む。いくつかの実施形態では、金属表面を処理する工程はさらに、金属表面をシアノ系ラジカル種に曝露する工程を含む。いくつかの実施形態では、金属表面を処理する工程はさらに、少なくとも炭素含有原料ガスおよび窒素含有原料ガスから、シアノ系ラジカル種を含むプラズマを生成する工程を含み、金属表面をシアノ系ラジカル種に曝露する工程は、金属表面を還元ガス種のプラズマに曝露する工程の前または後に生じる。いくつかの実施形態では、金属表面をシアノ系ラジカル種に曝露する工程は、金属表面を還元ガス種のプラズマに曝露する工程と同時に起こり、シアノ系ラジカル種は、シアノ基を有する下流の炭素含有前駆体を還元ガス種のプラズマに曝露することにより生成され、還元ガス種のプラズマは、下流の炭素含有前駆体の上流に位置するリモートプラズマ源において生成される。いくつかの実施形態では、還元ガス種のプラズマは、還元ガス種および窒素含有剤のプラズマであり、金属表面をシアノ系ラジカル種に曝露する工程は、金属表面を還元ガス種および窒素含有剤のプラズマに曝露する工程と同時に起こり、シアノ系還元種は、下流の炭素含有前駆体を還元ガス種のプラズマに曝露することにより生成され、還元ガス種および窒素含有剤のプラズマは、下流の炭素含有前駆体の上流に位置するリモートプラズマ源において生成される。いくつかの実施形態では、金属表面は、銅、ルテニウム、ニッケル、モリブデン、コバルト、またはこれらの組み合わせを含む。いくつかの実施形態では、金属表面上のグラフェンの厚さは、約5nm以下である。いくつかの実施形態では、基板は、半導体ウエハまたは半導電性ワークピースであり、基板の金属表面は、リモートプラズマ源に向いている。いくつかの実施形態では、グラフェンは、誘電材料または他の非金属材料に堆積することなく、基板の金属表面の金属上に選択的に堆積する条件下で堆積する。いくつかの実施形態では、この方法はさらに、基板の金属表面上のグラフェンを約200℃~約400℃の温度でアニーリングする工程を含む。
本明細書では、基板の金属表面上にグラフェンを堆積させるための装置も提供される。この装置は、反応チャンバと、反応チャンバ内で金属表面を含む基板を支持するように構成された基板支持体と、反応チャンバ上流のリモートプラズマ源であって、基板の金属表面はリモートプラズマ源に向く、リモートプラズマ源と、リモートプラズマ源から下流の、反応チャンバ内の1または複数のガス出口と、を備える。この装置はさらに、1または複数のガス出口を通じて、1または複数の炭化水素前駆体を反応チャンバの中に基板に向けて流す動作と、リモートプラズマ源において水素原料ガスから水素のラジカルを生成する動作と、水素のラジカルを反応チャンバの中に基板に向けて導入する動作であって、水素のラジカルは、1または複数の炭化水素前駆体と反応して基板の金属表面上にグラフェンを堆積させる、動作と、を実施するための命令が設定されたコントローラを備える。
いくつかの実施形態では、1または複数の炭化水素前駆体の各々は、アルケン基またはアルキン基を含む。いくつかの実施形態では、基板に隣接する環境における全てまたは実質的に全ての水素のラジカルは、基底状態の水素のラジカルである。いくつかの実施形態では、コントローラは、基板の金属表面におけるグラフェン堆積の間、基板を約500℃以下の温度に維持する動作を実施するための命令が設定される。いくつかの実施形態では、コントローラはさらに、金属表面にグラフェンを堆積させる前に基板の金属表面を処理する動作であって、金属表面を還元ガス種のプラズマに曝露することにより実施される動作を実施するための命令が設定される。いくつかの実施形態では、基板の金属表面を処理するように設定されたコントローラはさらに、金属表面をシアノ系ラジカル種に曝露するように設定される。いくつかの実施形態では、金属表面をシアノ系ラジカル種に曝露する工程は、金属表面を還元ガス種のプラズマに曝露する工程と同時に起こり、シアノ系ラジカル種は、シアノ基を有する下流の炭素含有前駆体を還元ガス種のプラズマに曝露することにより生成され、還元ガス種のプラズマは、下流の炭素含有前駆体の上流に位置するリモートプラズマ源において生成される。いくつかの実施形態では、還元ガス種のプラズマは、還元ガス種および窒素含有剤のプラズマであり、金属表面をシアノ系ラジカル種に曝露する工程は、金属表面を還元ガス種および窒素含有剤のプラズマに曝露する工程と同時に起こり、シアノ系ラジカル種は、下流の炭素含有前駆体を還元ガス種のプラズマに曝露することにより生成され、還元ガス種および窒素含有剤のプラズマは、下流の炭素含有前駆体の上流に位置するリモートプラズマ源において生成される。いくつかの実施形態では、金属表面は、銅、ルテニウム、ニッケル、モリブデン、コバルト、またはこれらの組み合わせを含む。いくつかの実施形態では、基板は、半導体ウエハまたは半導電性ワークピースである。
本明細書では、半導体デバイスも提供される。半導体デバイスは、感温性下地層を有する半導体基板を含み、感温性下地層は、感温性限界、および感温性下地層に堆積したグラフェン膜を有する。
いくつかの実施形態では、感温性下地層は、遷移金属を含む。いくつかの実施形態では、感温性限界は、約400℃~約700℃である。
本明細書では、基板の金属表面上にグラフェンを堆積させる方法も提供される。この方法は、金属表面を備える基板を反応チャンバ内に提供する工程と、基板の金属表面上にグラフェンを堆積させる工程とを含み、基板は堆積中に、約200℃~約400℃の温度で維持される。
いくつかの実施形態では、金属表面上にグラフェンを堆積させる工程は、金属表面をリモート水素プラズマに曝露する工程を含み、1または複数の炭化水素前駆体は、基板の金属表面に隣接する環境に提供される。いくつかの実施形態では、グラフェンは、基板の誘電材料または他の非金属材料に堆積することなく、基板の金属表面の金属上に選択的に堆積する。
本明細書では、基板の金属表面上にグラフェンを堆積させる方法も提供される。この方法は、金属表面を備える基板を反応チャンバ内に提供する工程と、基板の金属表面上にグラフェンを堆積させる前に金属表面を処理する工程であって、金属表面を処理する工程は、金属表面をシアノ系ラジカル種に曝露する工程と同時に、金属表面を還元ガス種のプラズマに曝露する工程を含む、工程と、基板の金属表面上にグラフェンを堆積させる工程と、を含む。
いくつかの実施形態では、基板は堆積中に、約200℃~約400℃の温度に維持される。いくつかの実施形態では、還元ガス種のプラズマは、還元ガス種および窒素含有剤のプラズマであり、シアノ系ラジカル種は、下流の炭素含有前駆体を還元ガス種および窒素含有剤のプラズマに曝露することにより生成され、還元ガス種および窒素含有剤のプラズマは、下流の炭素含有前駆体の上流に位置するリモートプラズマ源で生成される。
いくつかの実施形態による、グラフェンが堆積した金属表面を有する例示的な基板の断面概略図。
いくつかの実施形態による、デュアルダマシン構造の例示的なグラフェンバリア層の断面概略図。
いくつかの実施形態による、リモートプラズマ源を備える例示的なプラズマ処理装置の概略図。
いくつかの実施形態による、例示的な単層グラフェンおよび多層グラフェンのラマンスペクトルを示すグラフ。
いくつかの実施形態による、基板の金属表面上にグラフェンを堆積させる例示的方法のフロー図。
本開示において、用語「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「半製品集積回路」は、同義で用いられる。当業者は、用語「半製品集積回路」が、多くの段階の集積回路製造のいずれかの段階におけるシリコンウエハを意味しうることを理解するだろう。半導体デバイス産業で用いられるウエハまたは基板は通常、200mm、300mm、または450mmの直径を有する。以下の発明を実施するための形態は、本開示がウエハ上で実施されることを前提とする。しかし、本開示はそれに限定されない。ワークピースは、様々な形状、サイズ、および材料を有してよい。半導体ウエハに加えて、本開示を利用しうる他のワークピースは、プリント回路基板などの様々な物品を含む。
半導体用途において大面積のグラフェン膜の合成に対する関心が高まっている。しかし、半導体集積に適した条件下での十分な数量のグラフェン製造に関して、多くの困難がある。多くの製造法は、微細な欠陥を伴うグラフェン成長の難しさによる低表面カバレッジに悩まされている。そのため、大面積のグラフェン膜、特に半導体ウエハ上に大面積グラフェン膜を製造するためのスケーラビリティは、特に問題となる。さらに、グラフェン膜は通常、熱化学蒸着(CVD)によって成長する。熱CVD法は一般に、大面積で高品質のグラフェンの合成に好まれる。しかし、グラフェンの熱CVDは、700℃よりも高い温度(約800℃~約1000℃など)で実施されることが多く、半導体用途に適合しない。そのような高温下では、半導体および半導体ウエハ上の金属などの様々な材料が、物理的に損傷するだろう。
熱CVDは、グラフェンを堆積させる一般的な方法である。熱CVDプロセスは、適した基板上に安定した固体膜を形成するために、気体前駆体の活性化および化学反応という少なくとも2つの工程を含む。熱CVDにおいて、気体前駆体の活性化は、熱分解によって生じうる。高温において、炭化水素前駆体は熱分解し、基板表面上に吸着する。炭化水素ラジカルは化学反応性を有し、基板表面と相互に作用してよい。基板表面は、グラフェンの核生成および成長のための触媒として機能する金属表面であってよい。理論に制限されるものではないが、触媒金属表面は、炭素原子が他の炭素原子と結合することで、グラフェンの核生成および成長を促進できるように、炭化水素ラジカルを脱水素化してよい。銅などの様々な遷移金属は、グラフェンの核生成および成長のための触媒として認識されている。
炭化水素種の活性化およびグラフェンの成長は、温度およびグラフェンが成長する金属表面などの要素に依存しうる。加えて、グラフェンの成長は、金属表面上の炭素溶解性に依存しうる。金属が高い炭素溶解性を有する場合、炭素は金属においてより簡単に溶解し、金属表面上で析出する傾向がある。これは一般に、金属表面における複数の核生成サイトおよび予測不可能な数の分離した炭素のため、より均一性の少ないグラフェン層およびより微細構造の欠陥をもたらす。例えばニッケル基板は、高い炭素溶解性を有し、通常、複数層の低品質のグラフェンまたは不規則な炭素をもたらす。金属が低い炭素溶解性を有する場合は、炭素は金属において溶解しにくく、金属表面における広範囲の炭素吸着原子の表面移動、およびバルク金属への最小拡散をもたらす。これは一般に、より制御された成長であるため、より均一なグラフェン層およびより少ない微細構造の欠陥につながる。例えば、銅基板は低い炭素溶解性を有し、高品質グラフェンのエピタキシャル成長をもたらす。高品質グラフェンは、単層、二層、または複数層のグラフェン膜として成長してよい。
プラズマ強化化学蒸着(PECVD)は、グラフェンを堆積させる別の方法である。熱CVDは、熱分解によって炭化水素前駆体を活性化したが、PECVD法では、プラズマによって生成された励起電子が炭化水素前駆体のイオン化、励起、および電離を引き起こす。プラズマは、in-situで、または遠隔的に形成されてよい。通常、炭化水素前駆体(例えば、メタン)はプラズマで活性化され、基板がプラズマに曝露される。プラズマは、高周波(RF)プラズマ源、マイクロ波(MW)プラズマ源、表面波(SW)プラズマ源、またはリモートプラズマ源を用いて生成されてよい。例として、反応チャンバに水素分子およびメタンガスが導入され、直接RFプラズマが点火されて、基板上のグラフェン成長を促進してよい。PECVDについて、いくつかのPECVD法におけるグラフェン成長は、熱CVD法よりも低い温度で実施されてよく、その温度は、約400℃~約600℃であってよい。また、いくつかのPECVD法におけるグラフェン成長は、誘電材料などの非金属基板上で実現されてよい。つまり、プラズマによる方法は、金属触媒なしでグラフェンを堆積させてよい。プラズマによる方法は、金属触媒支援なしの低温でグラフェンを堆積できるが、多くのプラズマによる方法は、大面積で高品質のグラフェンを堆積させるという課題に直面する。
リモート水素プラズマを用いるグラフェン堆積
図1Aは、いくつかの実施形態による、グラフェンが堆積した金属表面を有する例示的な基板の断面概略図を表す。基板100は、あらゆるウエハ、半導体ウエハ、半製品集積回路、プリント回路基板、表示画面、または他の適したワークピースでありうる。いくつかの実施形態では、基板100は、シリコン(Si)基板などの半導体基板である。基板100は、金属表面101を備えうる。下記のように、金属表面101は、感温性下地層とも呼ばれうる。いくつかの実施形態では、金属表面101は、遷移金属などの任意の適した金属を含みうる。例えば、金属表面101は、銅(Cu)、ルテニウム(Ru)、ニッケル(Ni)、モリブデン(Mo)、コバルト(Co)、またはこれらの組み合わせを含みうる。グラフェン膜102は、金属表面101の上に堆積できる。
本開示では、基板100の金属表面101におけるグラフェン膜102の堆積は、リモート水素プラズマCVDによって実現されてよい。リモート水素プラズマCVD法は、バックエンドライン(BEOL)半導体処理などの半導体処理に適合した低温でグラフェン膜102を堆積させてよい。いくつかの実施形態では、グラフェン膜102は、約500℃未満、約450℃未満、約400℃未満、約350℃未満、約300℃未満、または約200℃~約400℃の温度で堆積されてよい。以下に説明されるように、炭化水素前駆体は、基板100の金属表面101に流され、炭化水素前駆体流の上流のリモートプラズマ源において水素ラジカルが生成される。水素ラジカルは、炭化水素前駆体と相互作用してリモートプラズマ源から下流の炭化水素前駆体を活性化し、活性化した炭化水素前駆体は、金属表面101と相互作用してグラフェン膜102を堆積させる。いくつかの実施形態では、炭化水素前駆体はアルケン基またはアルキン基を含む。
本開示のいくつかの実施形態では、基板100は、感温性下地層101を備えうる。感温性下地層101は、感温性限界を有してよい。感温性下地層101の感温性限界を超えると、感温性下地層101は融解する、またはそうでなければ物理的に損傷する。感温性限界は、感温性下地層101の多くの材料について約400℃~約700℃であってよい。熱CVD法および多くの従来のプラズマによるCVD法は、感温性下地層101の感温性限界を超える。感温性下地層101の例は、銅、コバルト、およびルテニウムなどの遷移金属を含みうる。本開示では、感温性下地層101の上にグラフェン膜102が堆積される。いくつかの実施形態では、グラフェン膜102は、感温性下地層101を融解しない、または物理的に損傷しない十分な低温で堆積される。基板100は、半導体ウエハまたは半導体ワークピースであってよい。よって、グラフェン膜102は、完全ウエハレベルで基板100に大面積グラフェン膜として堆積されてよい。
グラフェンの合成に用いられる多くの従来のプラズマによるCVD法は、アルカン類(例えば、メタン)である炭化水素を活性化する。様々な従来のプラズマによるCVD法が用いられるときは、グラフェン堆積は必ずしも選択的でなく、金属、誘電体、および他の材料に堆積してよい。さらに、多くの従来のプラズマによるCVD法は、炭化水素前駆体でプラズマを点火することにより炭素ラジカルを生成する。プラズマがin-situで生成されるか遠隔的に生成されるかにかかわらず、基板は次に、炭素ラジカルを含むプラズマに曝露される。文中の用語「リモート」は一般に、基板がプラズマから遠く離れていることを意味する。前駆体ガス自体は通常、プラズマ発生領域に導入される。いくつかの例では、金属箔(例えば、銅箔)の表側はリモートプラズマ源に面し、プラズマ曝露中により多くの励起イオン/ラジカルに曝露されるため、リモートプラズマによるCVD法は、金属箔の裏側にグラフェンを堆積させる。表側での直接プラズマ曝露は、膜品質に悪影響を及ぼし、一般により多くの不規則な炭素成長をもたらす。従って、多くの従来のプラズマによるCVD法は、完全ウエハ堆積のための高品質なグラフェンを成長させることができない。
従来のプラズマによるCVD法に対して、本開示のリモート水素プラズマCVD法は、完全ウエハレベルで高品質のグラフェンを合成する。本明細書で用いられる「リモートプラズマ」は、プラズマ生成が基板から離れた場所で起こるプラズマである。ここで、本開示のリモート水素プラズマは水素ラジカルを含むが、炭素ラジカルを含まない。代わりに炭素ラジカルは、リモートプラズマ源から下流で生成される。これは、本開示の「リモートプラズマ」において、プラズマ発生領域に前駆体ガスが導入されないことを意味する。炭化水素前駆体は、反応チャンバに独立して流され、リモートプラズマ源から生成された水素ラジカルによって活性化される。また、炭素ラジカルは、アルケン基またはアルキン基を含む炭化水素前駆体から生成される。実際に、アルカン類(例えば、メタン)である炭化水素前駆体は、本開示では堆積しない。本開示のリモート水素プラズマCVD法を用いるときは、グラフェン堆積は、金属表面上に選択的に堆積する。グラフェンは、本開示では誘電体表面または他の非金属表面には堆積しない。
従来の熱CVD法に対して、本開示のリモート水素プラズマCVD法は、半導体用途に適した低温で高品質のグラフェン膜を堆積させることができる。例えば、高品質グラフェン膜は、ダマシン構造またはデュアルダマシン構造において効果的なバリア層として機能できる。さらに、高品質グラフェンは、金属表面上のキャップ層として機能し、表面散乱を低減することにより抵抗を低減できる。しかし、高品質グラフェン膜は、多くの産業用途で用いられてよいことが理解されるだろう。
図1Bは、いくつかの実施形態による、デュアルダマシン構造における例示的なグラフェンバリア層の断面概略図を表す。基板110は、トレンチおよびビア120が形成された誘電体層140を備えてよい。ビア120は、下方金属線130と上方金属線150との間に電気相互接続を提供してよい。基板110は、半導体基板であってよい。ビア120は、誘電体層140を介して凹部をエッチングし、銅などの金属で凹部を充填することにより形成されてよい。グラフェンバリア層122は、ビア120と誘電体層140との間に形成、設置、または位置決めされてよい。グラフェンバリア層122は、金属の拡散から誘電体層140および下にあるアクティブデバイスを保護するための効果的な拡散バリア層として機能してよい。そのためグラフェンバリア層122は、電流による金属原子のエレクトロマイグレーション、および、誘電体層140および下にあるアクティブデバイスへの金属原子の拡散を制限してよい。グラフェンバリア層122の導電性特性は、散乱性の低減により、下方金属線130および上方金属線150を含むビア120に接続した金属線の有効抵抗を低下させてもよい。グラフェンバリア層122は、本明細書に記載のリモート水素プラズマCVD法を用いて堆積されてよい。
上記の説明は、グラフェンを拡散バリア層として用いることに関するが、グラフェンは、その代わりにキャップ層として用いられてもよい。そのような例では、グラフェン膜は、上方金属線150の上に堆積されてよい。グラフェンは、本開示で説明された方法を用いて金属表面上に選択的に堆積されてよく、いくつかの実施形態では、グラフェンは上方金属線150の上のキャップ層である。
本開示の一態様は、本明細書に記載のグラフェン堆積法を実現するように構成された装置である。適した装置は、プロセス動作を実現するためのハードウェアと、本開示によりプロセス動作を制御するための命令を有するシステムコントローラとを備える。いくつかの実施形態では、前記のプロセス動作を実施するための装置は、リモートプラズマ源を備えうる。リモートプラズマ源は、直接プラズマよりも穏和な反応条件を提供する。適したリモートプラズマ装置の例は、2013年10月24日出願の米国特許出願第14/062,648号に記載され、その全てが全ての目的のために本明細書において参照により援用される。
図2は、いくつかの実施形態による、リモートプラズマ源を備える例示的なプラズマ処理装置の概略図を表す。プラズマ処理装置200は、反応チャンバ204と分離したリモートプラズマ源202を備える。リモートプラズマ源202は、マルチポートガス分配器とも呼ばれうるシャワーヘッド206を介して、反応チャンバ204と流体接続されている。ラジカル種は、リモートプラズマ源202で生成され、反応チャンバ204に供給される。1または複数の炭化水素前駆体は、リモートプラズマ源202から下流およびシャワーヘッド206から下流の反応チャンバ204に供給される。1または複数の炭化水素前駆体は、反応チャンバ204の化学蒸着区域208においてラジカル種と反応して、基板212の表面上にグラフェン膜を堆積させる。化学蒸着区域208は、基板212の表面に隣接する環境を含み、基板212の表面は、リモートプラズマ源202に面する。
基板212は、基板支持体または台座214の上に支持される。台座214は、化学蒸着区域208内で基板212を位置決めするために、反応チャンバ204内を移動してよい。図2に示された実施形態では、台座214は、化学蒸着区域208内で基板210を持ち上げた状態で示されている。台座214は、いくつかの実施形態では基板212の温度を調節してもよく、基板212における熱活性型表面反応のいくらか選択的な制御を提供できる。
図2は、外壁(例えば、石英ドーム)を備えるリモートプラズマ源202の周りに配置されたコイル218を示す。コイル218は、誘電結合プラズマ生成によりプラズマ領域224内でプラズマを生成および維持するために用いられうるプラズマ発生器制御装置222に電気接続されている。いくつかの実施形態では、プラズマ発生器制御装置222は、コイル218に電力を供給するための電源を備えてよく、プラズマ生成中の電力は、約1~6キロワット(kW)でありうる。いくつかの実施形態では、誘電結合プラズマ生成ではなく、プラズマ励起によって継続したラジカルの供給を生成するために、平行板用の電極もしくはアンテナ、または、容量結合プラズマ生成が用いられてよい。プラズマ領域224においてプラズマを点火および維持するのに用いられる機構にかかわらず、ラジカル種は成膜の間、プラズマ励起を用いて連続的に生成されてよい。いくつかの実施形態では、水素ラジカルは、定常状態の成膜の間、ほぼ定常状態の条件下で生成されるが、成膜の開始および終了時に過渡事象が生じてよい。
水素ラジカルの供給は、水素ガスまたは他の原料ガスがリモートプラズマ源202に供給されている間、プラズマ領域224内で連続的に生成されてよい。リモートプラズマ源202では、励起水素ラジカルが生成されてよい。励起水素ラジカルは、エネルギによって再励起もしくは再供給されない場合、または他のラジカルと再結合されない場合は、そのエネルギを失う、または緩和する。そのため、励起水素ラジカルは緩和して、かなりの低エネルギ状態または基底状態の水素ラジカルを形成してよい。かなりの低エネルギ状態または基底状態の水素ラジカル。
水素ガス(H2)または他の原料ガスは、1または複数の追加ガスによって希釈されてよい。これらの1または複数の追加ガスは、リモートプラズマ源202に供給されてよい。いくつかの実施形態では、水素ガスまたは他の原料ガスは、キャリアガスを含みうる1または複数の追加ガスと混合されて、ガス混合物を形成する。追加ガスの非限定的な例は、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)、および窒素(N2)を含みうる。1または複数の追加ガスは、リモートプラズマ源202内の定常状態のプラズマ条件を支持もしくは固定してよい、または、過渡プラズマの点火プロセスまたは消弧プロセスを支援してよい。いくつかの実施形態では、例えばヘリウムによって水素ガスまたは他の原料ガスを希釈することで、同時に起こるプラズマ着火なしでより高い全圧が可能になってよい。言い換えると、水素ガスとヘリウムとの希釈ガス混合物は、リモートプラズマ源202へのプラズマ電力を増加させることなく、より高い全ガス圧を可能にしてよい。特定の実施形態では、水素ガスは、ヘリウムなどのキャリアに提供される。例として、水素ガスは、約1~25%の水素または約1~10%の水素の濃度でヘリウムキャリアに提供されてよい。
図2に示されたように、原料ガス源226は、水素ガスまたは原料ガスを供給するためにリモートプラズマ源202と流体接続されている。加えて、追加ガス源228は、1または複数の追加ガスを供給するためにリモートプラズマ源202と流体接続されている。1または複数の追加ガスは、共反応ガスを含んでもよい。図2の実施形態は、原料ガスと1または複数の追加ガスとのガス混合物が別々のガス出口を通じて導入されている状態を表すが、ガス混合物は、リモートプラズマ源202に直接導入されてよいことが理解されるだろう。つまり、予混合された希釈ガス混合物が、1つのガス出口を通じてリモートプラズマ源202に供給されてよい。
励起水素、ヘリウムラジカル、および緩和ガス/ラジカルなどのガスは、リモートプラズマ源202からシャワーヘッド206を通って反応チャンバ204に流れる。シャワーヘッド206および反応チャンバ204内のガスは一般に、継続的なプラズマ励起に曝されない。いくつかの実施形態では、シャワーヘッド206は、イオンフィルタおよび/または光子フィルタを備える。イオンおよび/または光子のフィルタリングは、反応チャンバ204内の基板損傷、望ましくない分子の再励起、および/または、炭化水素前駆体の選択的着火もしくは分解を低減してよい。シャワーヘッド206は、反応チャンバ204にガス流を拡散するために複数のガスポート234を有してよい。いくつかの実施形態では、複数のガスポート234は、互いに離隔してよい。いくつかの実施形態では、複数のガスポート234は、リモートプラズマ源202と反応チャンバ204とを分離するプレートを貫通して延びる、一定間隔で離隔した流路またはスルーホールの配列として配置されてよい。複数のガスポート234は、リモートプラズマ源202から反応チャンバ204に励起ラジカルを円滑に分配および拡散してよい。
一般的なリモートプラズマ源は、反応槽から遠く離れている。その結果、例えば壁衝突事象による、ラジカルの消失および再結合は、大幅に活性種を減少させてよい。一方で、いくつかの実施形態では、複数のガスポート234の寸法は、一般的な処理条件下における平均自由行程またはガス流滞留時間の観点から、反応チャンバ204へのラジカルの自由通行を助けるように構成されてよい。いくつかの実施形態では、複数のガスポート234の開口は、シャワーヘッド206の露出表面積の約5%~約20%を占めてよい。いくつかの実施形態では、複数のガスポート234は各々、約3:1~10:1または約6:1~約8:1の軸長さ対直径比を有してよい。かかるアスペクト比は、励起状態のラジカル種のほとんどが基底状態のラジカル種に緩和するのに十分な時間を提供しながら、複数のガスポート234を通るラジカル種の壁衝突頻度を低減してよい。いくつかの実施形態では、複数のガスポート234の寸法は、シャワーヘッド206を通るガスの滞留時間が、励起状態のラジカル種の一般的な励起緩和時間よりも長くなるように構成されてよい。水素原料ガスの励起状態のラジカル種は、図2において・Hで示され、水素原料ガスの基底状態のラジカル種は、図2において・Hで示されてよい。
いくつかの実施形態では、複数のガスポート234から出た励起状態のラジカル種は、反応チャンバ204の内部に含まれた緩和領域238に流れてよい。緩和領域238は、化学蒸着領域208の上流だがシャワーヘッド206の下流に位置する。シャワーヘッド206から出た励起状態のラジカル種の実質的に全てまたは少なくとも90%は、緩和領域238において緩和状態のラジカル種に転換するだろう。言い換えると、緩和領域238に入るほとんど全ての励起状態のラジカル種(例えば、励起水素ラジカル)は、緩和領域238から出る前に緩和状態のラジカル種(例えば、基底状態の水素ラジカル)に減勢される、または転換する。いくつかの実施形態では、緩和領域238の処理条件または形状は、緩和領域238を通って流れるラジカル種の滞留時間(例えば、平均自由行程および平均分子速度によって決定される時間)が、緩和領域238から流れ出る緩和状態のラジカル種をもたらすように構成されてよい。
シャワーヘッド206から緩和領域238へのラジカル種の供給によって、1または複数の炭化水素前駆体が化学蒸着領域208に導入されてよい。1または複数の炭化水素前駆体は、ガス分配器またはガス出口242を通じて導入されてよく、ガス出口242は、前駆体供給源240と流体接続されてよい。緩和領域238は、シャワーヘッド206とガス出口242との間の空間に含まれてよい。ガス出口242は、1または複数の炭化水素前駆体流が緩和領域238から流れるガス混合物と平行方向に導入されるように、互いに離隔した開口を備えてよい。ガス出口242は、シャワーヘッド206および緩和領域238から下流に設置されてよい。ガス出口242は、化学蒸着領域208および基板212から上流に設置されてよい。化学蒸着領域208は、反応チャンバ204の内部の、ガス出口242と基板212との間に設置される。
実質的に全ての1または複数の炭化水素前駆体流は、シャワーヘッド206に隣接する励起状態のラジカル種との混合を阻止されてよい。緩和状態または基底状態のラジカル種は、基板212に隣接する領域において1または複数の炭化水素前駆体と混合する。化学蒸着領域208は、緩和状態または基底状態のラジカル種が1または複数の炭化水素前駆体と混合する、基板212に隣接する領域を含む。緩和状態または基底状態のラジカル種は、グラフェンのCVD形成の間、気相の1または複数の炭化水素前駆体と混合する。
いくつかの実施形態では、共反応物がシャワーヘッド206から導入され、リモートプラズマ源202で生成されたラジカル種と共に反応チャンバ204に流されてよい。これは、リモートプラズマ源202に提供された共反応ガスのラジカルおよび/またはイオンを含んでよい。共反応物は、追加のガス源228から供給されてよい。いくつかの実施形態では、共反応物は、窒素ガス(N2)などの窒素含有剤を含んでよい。例えば、窒素のラジカルおよび/またはイオンが生成され、基板212の金属表面の前処理中に水素のラジカル種と共に流されてよい。
ガス出口242は、1または複数の炭化水素前駆体の逆拡散または逆流を防ぐのに十分な距離だけシャワーヘッド206から離れてよい。これにより、水素のラジカル種が励起状態から緩和状態(例えば、基底状態)に転換するのに十分な時間を与えることができる。いくつかの実施形態では、ガス出口242は、複数のガスポート234から、約0.5インチ(約1.27センチメートル)~約5インチ(約12.7センチメートル)、または約1.5インチ(約3.81センチメートル)~約4.5インチ(約11.43センチメートル)、または約1.5インチ~約3インチ(約7.62センチメートル)の距離だけ離れてよい。
処理ガスは、ポンプ(図示せず)に流体接続された流出口248を通じて反応チャンバ204から除去されてよい。そのように、過剰な炭化水素前駆体、共反応物、ラジカル種、希釈剤、および排気ガスまたはパージガスは、反応チャンバ204から除去されてよい。いくつかの実施形態では、システムコントローラ250は、プラズマ処理装置200と動作連通する。いくつかの実施形態では、システムコントローラ250は、データシステム254(例えば、メモリ)に保持された命令を実行するように構成されたプロセッサシステム252(例えば、マイクロプロセッサ)を備える。いくつかの実施形態では、システムコントローラ250は、プラズマパラメータおよび/または条件を制御するためにプラズマ発生器制御装置222と連通されてよい。いくつかの実施形態では、システムコントローラ250は、台座の上昇および温度を制御するために台座214と連通されてよい。いくつかの実施形態では、システムコントローラ250は、他の処理条件(中でも、RF電力設定、周波数設定、デューティサイクル、パルス時間、反応チャンバ204内の圧力、リモートプラズマ源202内の圧力、原料ガス源226および追加のガス源228からのガス流量、前駆体供給源240および他の供給源からのガス流量、台座214の温度、ならびに、反応チャンバ204の温度など)を制御してよい。
コントローラ250は、プラズマ処理装置200の動作の処理条件を制御するための命令を含んでよい。コントローラ250は通常、1または複数のメモリデバイスおよび1または複数のプロセッサを備えるだろう。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラボードなどを備えてよい。適した制御動作を実施するための命令は、プロセッサにおいて実行される。これらの命令は、コントローラ250と関連付けられたメモリデバイスに格納されてよい、または、ネットワークを通じて提供されてよい。
特定の実施形態では、コントローラ250は、本明細書に記載のプラズマ処理装置200の全てまたはほとんどの動作を制御する。例えば、コントローラ250は、グラフェンの堆積、また必要に応じて、グラフェンを含む製造フローの他の動作に関連するプラズマ処理装置200の全てまたはほとんどの動作を制御してよい。コントローラ250は、タイミング、ガス組成、ガス流量、チャンバ圧、チャンバ温度、RF電力レベル、基板位置、および/または、他のパラメータを制御するための命令のセットを含むシステム制御ソフトウェアを実行してよい。いくつかの実施形態では、コントローラ250に関連付けられたメモリデバイスに格納された他のコンピュータプログラム、スクリプト、またはルーチンが用いられてよい。基板212に隣接する環境において比較的穏和な反応条件を提供するために、RF電力レベル、プラズマ領域224へのガス流量、化学蒸着領域208へのガス流量、および、プラズマ点火のタイミングなどのパラメータは、コントローラ250によって調節および維持できる。加えて、基板位置を調節することで、基板212に隣接する環境における高エネルギラジカル種の存在がさらに低減してよい。マルチステーションリアクタにおいて、コントローラ250は、異なる装置ステーションのための異なる命令または同じ命令を含んでよいため、装置ステーションは独立してまたは同期して動作できる。
いくつかの実施形態では、コントローラ250は、ガス出口242を通じて1または複数の炭化水素前駆体を反応チャンバ204に流す動作、リモートプラズマ源202に原料ガスを提供する動作、1または複数の炭化水素前駆体の上流のリモートプラズマ源202において、原料ガスの1または複数のラジカル種を生成する動作、1または複数のラジカル種をリモートプラズマ源202から反応チャンバ204に導入して、1または複数の炭化水素前駆体と反応させ、基板212の金属表面上にグラフェンを堆積させる動作などの動作を実施するための命令を含んでよい。反応チャンバ204内の基板212に隣接する環境における1または複数のラジカル種は、基底状態の水素ラジカルであってよい。いくつかの実施形態では、コントローラ250は、グラフェンの堆積前に基板212の金属表面を処理するための命令を含んでよい。いくつかの実施形態では、コントローラ250は、基板212の温度を約400℃以下、または約200℃~約400℃に維持するための命令を含んでよい。いくつかの実施形態では、1または複数の炭化水素前駆体の各々は、アルケン基またはアルキン基を含む。
いくつかの実施形態では、装置200は、コントローラ250に関連付けられたユーザインタフェースを備えてよい。ユーザインタフェースは、表示画面、装置200および/または処理条件の画像ソフトウェア画面、およびユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
上記の動作を制御するためのコンピュータプログラムコードは、任意の適したコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン、またはその他)によって作成されうる。コンパイル済みオブジェクトコードまたはスクリプトは、プログラムで識別されたタスクを実行するためにプロセッサによって実行される。
プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタルの入力接続によって提供されてよい。プロセスを制御するための信号は、処理システムのアナログおよびデジタルの出力接続における出力である。
一般に、本明細書に記載の方法は、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えるシステムで実施できる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と一体化されてよい。一般に、これらの電子機器は、システムの様々な構成部品または副部品を制御できるコントローラと呼ばれる。コントローラは、処理要件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、RF発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールならびに/または特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたあらゆるプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1または複数の層、材料(例えば、シリコンカーバイド)、表面、回路、および/または、ウエハダイの製造時における1または複数の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えばコントローラは、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または性能の基準を調査して、現行の処理のパラメータを変更してよい、または現行の処理に続く処理工程を設定してよい、または新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1または複数の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにコントローラは、例えば互いにネットワーク接続された1または複数の別々のコントローラを含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1または複数の集積回路と連通する、チャンバ上の1または複数の集積回路だろう。
本明細書に記載のグラフェン堆積に加えて、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる任意の他の半導体処理システムを含んでよい。
上述のように、コントローラは、ツールによって実施される処理工程に応じて、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1または複数と連通してよい。
グラフェンの特性評価にはラマン分光法を用いることができる。ラマン分光法は、グラフェン層の数だけでなく、グラフェンの不規則量を決定するのにも適しうる。ラマンスペクトルにおけるグラフェンの特定の特徴を識別することにより、グラフェンを不規則な炭素層、または非晶質の炭素層と区別できる。
図3は、いくつかの実施形態による、例示的な単層グラフェンおよび多層グラフェンのラマンスペクトルを示すグラフを表す。グラフェンは、ラマンスペクトルにおいて約1580cm-1のGピーク、および約2680cm-1の2Dピークの存在によって特徴付けられる(2Dピークは、Gピークの強度以上)。2DピークがGピークよりも大幅に強度が小さい場合は、堆積した膜はグラフェンとして特性評価されない。しかし、不規則な炭素または非晶質炭素は、ラマンスペクトルにおいて約1380cm-1のDピークの存在によって特徴付けることができる。不規則が増加するにつれて、Dピークのラマン強度は通常増加する。
ラマン分光法は、グラフェン層の数を決定するのにも用いることができる。いくつかの実施形態では、2Dピークの強度対Gピークの強度比(I2D/IG)は、グラフェン層の数に対応しうる。具体的には、I2D/IGが2よりも大きい場合は、堆積したグラフェン膜は、単層グラフェンに相当する。I2D/IG比が、図3に示されたように1よりもわずかに大きい、または1よりもわずかに小さい場合、堆積したグラフェン膜はそれぞれ、二層グラフェンまたは数層グラフェンに相当してよい。
本開示において、リモート水素プラズマCVDによって金属表面に堆積したグラフェン膜は、約10nm以下、約5nm以下、約3nm以下、または約1nm以下の厚さを有する。グラフェン膜の厚さは、堆積する金属表面に依存できる。例えば、グラフェン膜は、銅に堆積したときは単層または数単層の厚さであってよいため、厚さは約1nmよりも小さくてよい。グラフェン膜は、単層グラフェン、二層グラフェン、または数層グラフェンであってよい。これは、グラフェン膜が銅などの金属上に堆積する場合に生じうる。別の例では、グラフェン膜は、コバルトなどの他の金属上に堆積したときは、数ナノメートル(例えば、約2~3nm)の厚さであってよい。
図4は、いくつかの実施形態により、基板の金属表面上にグラフェンを堆積させる例示的方法のフロー図を表す。プロセス400の動作は、異なる順序で、および/または、異なる動作、より少ない動作、または追加の動作を伴って実施されてよい。プロセス400の動作は、図2に示されたプラズマ処理装置を用いて実施されてよい。いくつかの実施形態では、プロセス400の動作は、少なくとも部分的に、1または複数の非一時的コンピュータ可読媒体に格納されたソフトウェアにより実施されてよい。
プロセス400のブロック410において、基板の金属表面は、必要に応じてグラフェンの堆積前に処理されてよい。グラフェン堆積は、グラフェンが成長する金属表面の平滑度および純度に依存できる。表面処理技術は、基板を研磨し、不純物を除去するために、金属表面に施されてよい。基板の研磨は、いくつかの実施形態ではライトエッチングによって実施されてよい。不純物の除去は、例えば金属酸化物を除去する化学処理によって実施されてよい。加えて、またはそれに代えて、不純物の除去は、化学機械研磨(CMP)プロセスからの残留物または汚染物質の除去を含んでよい。いくつかの実施形態では、金属表面処理は、拡散バリア堆積またはエッチング停止堆積の前に生じてよい。
いくつかの実施形態では、基板の金属表面の処理は、還元ガス種のプラズマへの金属表面の曝露を含むことができる。金属表面の処理は、少なくともプラズマへの曝露による不純物の除去および/または金属酸化物の還元を含みうる。いくつかの実施形態では、プラズマは、還元ガス種のイオンおよびラジカルを含みうる。還元ガス種は、例えば、水素ガス(H2)、アンモニア(NH3)、またはこれらの組み合わせを含みうる。そのため金属表面は、H2プラズマ、NH3プラズマ、またはH2/NH3プラズマによって処理されてよい。プラズマは、直接(in-situ)プラズマ、またはリモートプラズマであってよい。いくつかの実施形態では、還元ガス種のプラズマへの金属表面の曝露は、リモート水素プラズマへの金属表面の曝露を含む。
いくつかの実施形態では、金属表面の処理はさらに、シアノ系ラジカル種への金属表面の曝露を含む。いくつかの他の実施形態では、金属表面の処理は、還元ガス種への金属表面の曝露の代わりに、シアノ系ラジカル種への金属表面の曝露を含む。シアノ系ラジカル種は、グラフェン成長よりも前に、金属表面を平滑にするためにライトエッチングを実施してよい。シアノ系ラジカル種への金属表面の曝露は、還元ガス種のプラズマへの金属表面の曝露よりも前または後に生じうる。これは、多段階前処理プロセスと呼ぶことができる。多段階前処理プロセス、またはそのうちの少なくともいくつかの工程は、グラフェンを堆積させるためのプラズマ処理装置と同じまたは異なる装置で実施されてよい。シアノ系ラジカル種への金属表面の曝露は、還元ガス種のプラズマへの金属表面の曝露と同時に起こりうる。これは、単段階前処理プロセスと呼ぶことができる。単段階前処理プロセスは、グラフェンを堆積させるためのプラズマ処理装置と同じまたは異なる装置において実施されてよい。
多段階前処理プロセスにおいて、シアノ系ラジカル種は、プラズマを点火することにより生成されてよく、プラズマは、直接(in-situ)プラズマまたはリモートプラズマであってよい。シアノ系ラジカル種は、少なくとも炭素含有原料ガスおよび窒素含有原料ガスを含むガス混合物、または、炭素-窒素(CN)結合を有する前駆体を含むガス混合物から生成されてよい。そのため、金属表面処理はさらに、少なくとも炭素含有原料ガスおよび窒素含有原料ガス、または、炭素-窒素結合を有する前駆体からの、シアノ系ラジカル種を含むプラズマの生成を含みうる。例えば、炭化水素前駆体、窒素ガス、および水素ガスのガス混合物は、プラズマ発生器に供給され、ガス混合物のプラズマが点火されて、シアノ系ラジカル種を形成してよい。
単段階前処理プロセスにおいて、シアノ系ラジカル種は、下流の炭素含有前駆体を活性化することにより生成されてよい。下流の炭素含有前駆体の活性化は、還元ガス種のプラズマによる表面前処理と同時である。そのような例では、リモートプラズマ源は、下流の炭素含有前駆体の上流に位置し、還元ガス種のプラズマは、リモートプラズマ源で生成される。いくつかの実施形態では、下流の炭素含有前駆体は、炭化水素前駆体であってよい。そのため、下流の炭素含有前駆体は、グラフェンの堆積で用いられる炭化水素前駆体と化学的に同じであってよい、または異なってよい。そのような場合には、還元ガス種のプラズマは、還元ガス種および窒素含有剤のプラズマである。例えば、還元ガス種は、水素ガスを含むことができる。窒素含有剤は、窒素ガスを含むことができる。よって、還元ガス種および窒素含有剤のプラズマは、リモートH2およびN2プラズマになりうる。プラズマにおける還元ガス種の濃度は、窒素含有剤の濃度よりも大きくてよい。論理に限定されるものではないが、窒素含有剤のイオン/ラジカルは、下流の炭素含有前駆体と相互作用して、シアノ系ラジカル種を形成するとされる。シアノ系ラジカル種は、金属表面を平滑にするためにライトエッチンを実施でき、還元ガス種のプラズマは、金属酸化物を金属表面上の金属に還元できる。いくつかの他の実施形態では、下流の炭素含有前駆体は、1または複数のCN結合を含む前駆体ガスであってよい。そのような前駆体は、還元ガス種のプラズマによって活性化されてよく、還元ガス種のプラズマは、上流のリモートプラズマ源で生成されたリモートプラズマである。いくつかの例では、還元ガス種のプラズマは、リモート水素プラズマである。論理に限定されるものではないが、水素のイオン/ラジカルは、1または複数のCN結合を有する下流の炭素含有前駆体と相互作用して、シアノ系ラジカル種を形成するとされる。
ブロック410の処理動作は、多段階前処理プロセスおよび単段階前処理プロセスの点から説明されてよいが、金属表面の前処理は、そのような技術に限定されないことが理解されるだろう。基板の金属表面は、グラフェン堆積より前に、周知の任意の適した表面処理技術を用いて前処理されてよい。
プロセス400のブロック420において、金属表面を含む基板は反応チャンバに提供される。いくつかの実施形態では、基板は、ブロック410の処理の間に既に反応チャンバに提供されていてよい。基板は、半導体用途で用いられる半導体基板であってよい。金属表面は、遷移金属などの任意の適した金属を含むことができる。例えば、金属表面は、銅、ルテニウム、ニッケル、モリブデン、コバルト、またはこれらの組み合わせを含むことができる。金属表面は、グラフェンの核生成および成長を促進するための触媒として機能できる。本開示のグラフェン堆積は、金属表面の特定の金属に選択的であってよい。言い換えれば、本開示のグラフェン堆積は、誘電体表面または他の非金属表面では生じなくてよい。
反応チャンバは、基板を支持するための基板支持体または台座を備えてよい。リモートプラズマ源は、シャワーヘッドを介して反応チャンバに流体接続されてよい。基板の金属表面は、リモートプラズマ源に向いてよい。前駆体ガスラインは、1または複数のガス出口を介して反応チャンバに別々に流体接続されてよい。1または複数のガス出口は、リモートプラズマ源の下流に設置されてよい。1または複数のガス出口は、炭化水素前駆体を反応チャンバに供給し、リモートプラズマ源は、反応チャンバへの供給のために水素ラジカルを生成してよい。
プロセス400のブロック430において、1または複数の炭化水素前駆体は、反応チャンバの中に、基板に向けて流される。1または複数の炭化水素前駆体の各々は、アルケン基またはアルキン基を含む。これは、炭化水素前駆体が、1または複数の炭素-炭素二重結合および/または炭素-炭素三重結合などの、1または複数の不飽和炭素結合を含むことを意味する。アルケン基またはアルキン基を有する炭化水素前駆体の例は、トルエン、ベンゼン、エチレン、プロピレン、ブテン、ペンタジエン(例えば、1,4ペンタジエン)、ヘキセン、アセチレン、プロピン、ブチン、またはペンチンを含むが、これらに限定されない。いくつかの実施形態では、1または複数の炭化水素前駆体の各々は、少なくとも2つの炭素原子、少なくとも3つの炭素原子、少なくとも4つの炭素原子、少なくとも5つの炭素原子、少なくとも6つの炭素原子、または少なくとも7つの炭素原子を有する炭素鎖を含んでよい。
1または複数の炭化水素前駆体は、反応チャンバに流体接続した1または複数のガス出口を通じて反応チャンバに流れてよい。1または複数のガス出口は、リモートプラズマ源から下流に位置する。1または複数の炭化水素前駆体のプラズマは、反応チャンバで生成されない、または、リモートプラズマ源で生成されない。むしろ、1または複数の炭化水素前駆体は、リモートプラズマ源で生成されたプラズマから独立して反応チャンバに流される。
1または複数の炭化水素前駆体は、金属表面に吸着するために基板に向けて流される、または、少なくとも基板の金属表面に隣接する環境に位置する。いくつかの実施形態では、1または複数の炭化水素前駆体は、ブロック440およびブロック450に記載されたプラズマ生成およびプラズマ曝露と同時に反応チャンバに流される。いくつかの実施形態では、1または複数の炭化水素前駆体は、ブロック440およびブロック450に記載されたプラズマ生成およびプラズマ曝露より前に反応チャンバに流される。
いくつかの実施形態では、1または複数の炭化水素前駆体は、他の種(特に、キャリアガス)と共に基板の金属表面に隣接する環境に供給される。1または複数の炭化水素前駆体は、堆積反応表面から上流で不活性キャリアガスと混合されうる。例示的な不活性キャリアガスは、アルゴン(Ar)およびヘリウム(He)を含むが、これらに限定されない。いくつかの実施形態では、1または複数の炭化水素前駆体は、複数の炭化水素前駆体の混合物として供給される。複数の炭化水素前駆体は、結果として生じるグラフェンにおいて主鎖またはマトリックスを形成するために、等モルで、または、必要に応じて比較的類似した割合で存在してよい。他の実施形態では、複数の炭化水素前駆体の相対量は、等モル性から実質的に偏っている。
プロセス400のブロック440では、1または複数の炭化水素前駆体の上流に位置するリモートプラズマ源において、水素原料ガスから水素のラジカルが生成される。具体的には、水素のラジカルは、1または複数の炭化水素前駆体を反応チャンバに導入するための1または複数のガス出口から上流のリモートプラズマ源で生成される。リモートプラズマ源は、プラズマ生成に適した任意のプラズマ源(誘導結合プラズマ源または容量結合プラズマ源)であってよい。いくつかの実施形態では、水素原料ガスは水素ガス(H2)である。いくつかの実施形態では、水素ガスは、1または複数の追加ガス(ヘリウム(He)など)と共にリモートガス源に流される。特定の実施形態では、水素原料ガスは、ヘリウムなどのキャリアガスに提供される。例として、水素ガスは、約1~25%の水素または1~10%の水素の濃度で、ヘリウムキャリアに提供されてよい。そのためいくつかの例では、リモートプラズマ源においてH2/Heプラズマが生成される。
プロセス400のブロック450において、水素のラジカルは、反応チャンバの中に基板に向けて導入され、水素のラジカルは、1または複数の炭化水素前駆体と反応して、基板の金属表面上にグラフェンを堆積させる。水素のラジカルは、励起ラジカルが再結合せずに緩和ラジカルに転換するような処理条件下で、反応チャンバに供給される。圧力、ヘリウムなどのキャリアガスの割合、シャワーヘッドのガスポートの形状、シャワーヘッドと1または複数のガス出口との間の距離、および他の条件は、水素原子が再結合せずに低エネルギ状態(例えば、基底状態)のラジカルとして基板に接触するように構成される。いくつかの実施形態では、基板に隣接する環境における全てまたは実質的に全ての水素のラジカルは、基底状態の水素のラジカルである。そのように、基板は、表面成長の損傷を最小限にするリモート水素プラズマに曝露される。
水素のラジカルは、生成直後は励起エネルギ状態にあってよい。例えば、励起エネルギ状態の水素は、少なくとも10.2eVのエネルギ(第1の励起状態)を有することができる。水素の励起ラジカルは、グラフェン成長中に表面成長損傷を引き起こす可能性がある。いくつかの実施形態では、励起水素ラジカルがそのエネルギを失ったとき、または緩和したときは、励起水素ラジカルは、実質的に低エネルギ状態の水素ラジカル、または、基底状態の水素ラジカルになってよい。いくつかの実施形態では、処理条件は、励起水素ラジカルがエネルギを失って、または緩和して、著しい低エネルギ状態または基底状態の水素ラジカルを形成するように提供されてよい。例えば、リモートプラズマ源または関連部品は、リモートプラズマ源から基板に拡散する水素ラジカルの滞留時間が、励起水素ラジカルのエネルギ緩和時間よりも長くなるように設計されてよい。励起水素原子ラジカルのエネルギ緩和時間は、約1×10-3秒にほぼ等しくてよい、またはそれよりも短くてよい。
基板の金属表面に隣接する環境は、1または複数の炭化水素前駆体を含んでよい。加えて、基板の金属表面に隣接する環境は、低エネルギ状態(例えば、基底状態)の水素のラジカルを含んでよい。基板の金属表面に隣接する環境は、金属表面だけでなく、基板の露出面の直上の空間も含む。実際に、低エネルギ状態の水素のラジカルによる炭化水素前駆体の活性化は、金属表面上で、または、基板の金属表面上方の一定距離で生じてよい。いくつかの実施形態では、基板の金属表面上方の距離は、基板の金属表面上方の最大約100ミリメートルであってよい。通常、基板の金属表面に隣接する環境の反応条件は、基板の金属表面全体にわたって一般に均一であるが、いくらかの変化が許容されてよい。
いくつかの実施形態では、水素原子ラジカルの全て、または実質的に全て、または大部分は、基底状態でありうる。例えば、基板の金属表面に隣接する水素原子ラジカルの少なくとも約90%または95%は、基底状態である。本明細書で用いられる水素のラジカルは、「水素ラジカル」および「水素原子ラジカル」と呼ばれてもよい。水素原子ラジカルの大部分が基底状態である状態は、様々な技術によって実現できる。図2で説明された装置などのいくつかの装置が、この状態を実現するように設計されている。基底状態の水素原子ラジカルを実現するための処理条件は、高エネルギ状態(例えば、基底状態よりも上の状態)の相当量のイオン、電子、またはラジカル種を有さなくてよい。相当量のイオンまたは高エネルギラジカルの存在は、基板上に表面成長損傷を引き起こし、結果として、低品質のグラフェンまたは不規則な炭素成長をもたらす可能性がある。いくつかの実施形態では、基板の金属表面に隣接する環境のイオン濃度は、約107/cm3以下である。基底状態の水素原子ラジカルは、表面成長の損傷を制限するために金属表面に隣接する環境における穏和な条件を提供しながら、1または複数の炭化水素前駆体を活性化させるのに十分なエネルギを提供してよい。
1または複数の炭化水素前駆体は、水素のラジカルから下流の反応チャンバに流される。水素のラジカルは、1または複数の炭化水素前駆体を導入するための1または複数のガス出口から上流に設置されたリモートプラズマ源で生成される。水素のラジカルが1または複数の炭化水素前駆体に到達する時までに、水素のラジカルは、1または複数の炭化水素前駆体と混合または相互作用すると、低エネルギ状態または基底状態になる。
論理に制限されるものではないが、堆積反応においてより動力学的に好ましい反応機構の1つは、活性炭化水素前駆体をもたらす水素引き抜きを含む。論理に制限されるものではないが、低エネルギ状態または基底状態の水素ラジカルは、活性アルカン類(例えば、メタン)の形成をもたらす炭化水素分子においてアルキン基またはアルケン基と相互作用してよい。いくつかの例では、炭化水素前駆体は、より小さい鎖状炭化水素分子またはラジカルに分解する。活性アルカン類は、活性部位として少なくとも1つの炭素ラジカルを含み、活性部位は、グラフェンにおいて炭素-炭素結合を形成するために共に反応できる。活性部位での結合および架橋は、結果として生じるグラフェン膜において主鎖またはマトリックスを形成できる。金属表面は、活性炭化水素前駆体間の反応を促進する触媒として機能してよい。
炭化水素前駆体は、消極的傍観者として機能するのではなく、グラフェンの組成に大きく貢献する。いくつかの実施形態では、グラフェンにおける実質的に全て、または大部分の原子は、1または複数の炭化水素前駆体によって提供され、リモート水素プラズマからの少量の水素または他の元素は、膜質量の約5原子%未満または約2原子%未満を提供する。その場合、堆積反応を促すのに用いられる低エネルギ水素原子ラジカルは、堆積したグラフェンの質量に実質的に貢献しない。
基板の金属表面に隣接する環境の温度は、堆積反応を促進する任意の適した温度であってよい。いくつかの実施形態では、基板の金属表面に隣接する環境の温度は、グラフェンの堆積中に基板が支持される台座の温度によって主に制御できる。いくつかの実施形態では、動作温度は、約500℃未満、約450℃未満、約400℃未満、約350℃未満、約300℃未満、約200℃~約400℃、または約200℃~約300℃であってよい。かかる温度は、半導体用途に適してよい。いくつかの実施形態では、温度は、グラフェンが堆積する金属表面の金属に依存してよい。例えば、銅は400℃以下の温度で維持されてよいが、ルテニウムは450℃以下の温度で維持されてよい。
基板の金属表面に隣接する環境の圧力は、反応チャンバにおけるグラフェン成長を促進するために任意の適した圧力であってよい。いくつかの実施形態では、圧力は、約10トル(約1333.22パスカル)以下、または約5トル(約666.612パスカル)以下であってよい。例えば圧力は、約1トル~約2トル(約133.322パスカル~約266.645パスカル)であってよい。
グラフェンは、リモートプラズマ源から下流に提供された1または複数の炭化水素前駆体と水素のラジカルとの反応により、金属表面上に選択的に堆積する。低エネルギ状態(例えば、基底状態)の水素のラジカルによって提供された比較的穏和な反応条件は、1または複数の炭化水素前駆体を活性化して炭素ラジカルを形成する。そのため、炭素ラジカルは、プラズマが生成されるリモートプラズマ源の外側で形成される。基板の金属表面に隣接する環境における炭素ラジカルの量は、グラフェン成長のために多すぎる核生成部位を有することを制限するように制御されてよい。論理に制限されるものではないが、過剰な数の核生成部位は、グラフェン成長中の過剰な数の欠陥に相当する可能性がある。
グラフェンは、銅、ルテニウム、ニッケル、モリブデン、コバルト、またはその組み合わせなどの遷移金属上に選択的に堆積してよい。いくつかの実施形態では、金属表面は銅を含む。いくつかの実施形態では、金属表面上のグラフェンは比較的薄く、約数単層の厚さであってよい。いくつかの実施形態では、グラフェンの厚さは、約10nm以下、約5nm以下、約3nm以下、または約1nm以下である。グラフェンの厚さは、堆積する金属表面に依存してよい。例えば、グラフェンの厚さは、銅に堆積したときは約1nm未満であってよい。グラフェンは、単層グラフェン、二層グラフェン、または数層グラフェンであってよい。グラフェンのラマンスペクトルは、強度がわずかなDピーク、および、Gピーク以上である2Dピークを有することにより特徴付けられてよい。Dピークの強度は、2DピークおよびGピークよりも大幅に小さいだろうことが理解されるだろう。
いくつかの実施形態では、プロセス400はさらに、基板の金属表面上のグラフェンのアニーリングを含んでよい。グラフェンのアニーリングは、グラフェン結晶構造から欠陥を除去するために高温で生じてよい。これにより、高品質のグラフェンの形成が確実になる。いくつかの実施形態では、高温は、約200℃以上、約300℃以上、約400℃以上、約200℃~約400℃であってよい。アニーリングのための高温は、金属表面の金属、および、バックエンドライン半導体処理に適合する温度限界に依存してよい。例えば高温は、銅について最高約400℃であってよい。グラフェンのアニーリングは、Dピークが低下し、2Dピークが増加する欠陥の減少により、グラフェンの品質の著しい向上をもたらしてよい。いくつかの実施形態では、グラフェンのアニーリングは、アルゴン(Ar)、ヘリウム(He)、窒素(N2)、またはその組み合わせなどの不活性ガスを含む不活性ガス雰囲気で生じる。
前記の説明では、本開示の十分な理解を提供するためにいくつかの特定の詳細が記載されている。開示の実施形態は、これらの特定の詳細の一部または全部なしで実施されてよい。他の例では、開示の実施形態を必要以上に曖昧にしないように、周知のプロセス動作は詳細には説明されていない。開示の実施形態は、特定の実施形態と共に説明されているが、開示の実施形態に限定する意図はないことが理解されるだろう。
前記の実施形態は、理解を明確にするためにいくらか詳細に説明されたが、添付の特許請求の範囲内で特定の変更および補正が行われてよいことが明らかだろう。本実施形態のプロセス、システム、および装置を実行する多くの別の方法があることに注意されたい。従って、本開示は、制限的でなく例示的とみなされるべきであり、本明細書に記載の詳細に限定されるべきでない。

Claims (20)

  1. 基板の金属表面上にグラフェンを堆積させる方法であって、
    金属表面を備える基板を反応チャンバに提供する工程と、
    前記反応チャンバの中に、前記基板に向けて1または複数の炭化水素前駆体を流す工程と、
    前記1または複数の炭化水素前駆体の上流に位置するリモートプラズマ源において、水素原料ガスから水素のラジカルを生成する工程と、
    前記反応チャンバの中に、前記基板に向けて前記水素のラジカルを導入する工程であって、前記水素のラジカルは、前記1または複数の炭化水素前駆体と反応して、前記基板の前記金属表面上にグラフェンを堆積させる、工程と、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記1または複数の炭化水素前駆体の各々は、アルケン基またはアルキン基を含む、方法。
  3. 請求項2に記載の方法であって、
    前記1または複数の炭化水素前駆体の各々は、トルエン、ベンゼン、エチレン、プロピレン、ブテン、ペンテン、ペンタジエン、ヘキセン、アセチレン、プロピン、ブチン、またはペンチンを含む、方法。
  4. 請求項1に記載の方法であって、
    前記基板に隣接した環境における前記水素のラジカルの全て、または実質的に全ては、基底状態の水素のラジカルである、方法。
  5. 請求項1に記載の方法であって、
    前記基板は、前記基板の前記金属表面におけるグラフェンの堆積中は、約200℃~約400℃の温度で維持される、方法。
  6. 請求項1に記載の方法であって、さらに、
    前記金属表面上にグラフェンを堆積させる前に、前記基板の前記金属表面を処理する工程であって、前記金属表面を処理する工程は、前記金属表面を還元ガス種のプラズマに曝露する工程を含む、方法。
  7. 請求項6に記載の方法であって、
    前記金属表面を処理する工程は、さらに、前記金属表面をシアノ系ラジカル種に曝露する工程を含む、方法。
  8. 請求項7に記載の方法であって、
    前記金属表面を処理する工程は、さらに、少なくとも炭素含有原料ガスおよび窒素含有原料ガスから、前記シアノ系ラジカル種を含むプラズマを生成する工程を含み、前記金属表面を前記シアノ系ラジカル種に曝露する工程は、前記金属表面を前記還元ガス種の前記プラズマに曝露する工程の前または後に起こる、方法。
  9. 請求項7に記載の方法であって、
    前記金属表面を前記シアノ系ラジカル種に曝露する工程は、前記金属表面を前記還元ガス種の前記プラズマに曝露する工程と同時に起こり、前記シアノ系ラジカル種は、シアノ基を有する下流の炭素含有前駆体を前記還元ガス種の前記プラズマに曝露することにより生成され、前記還元ガス種の前記プラズマは、前記下流の炭素含有前駆体の上流に位置するリモートプラズマ源で生成される、方法。
  10. 請求項7に記載の方法であって、
    前記還元ガス種の前記プラズマは、還元ガス種および窒素含有剤のプラズマであり、前記金属表面を前記シアノ系ラジカル種に曝露する工程は、前記金属表面を前記還元ガス種および前記窒素含有剤の前記プラズマに曝露する工程と同時に起こり、前記シアノ系ラジカル種は、下流の炭素含有前駆体を前記還元ガス種の前記プラズマに曝露することにより生成され、前記還元ガス種および前記窒素含有剤の前記プラズマは、前記下流の炭素含有前駆体の上流に位置するリモートプラズマ源で生成される、方法。
  11. 請求項1に記載の方法であって、
    前記金属表面は、銅、ルテニウム、ニッケル、モリブデン、コバルト、またはこれらの組み合わせを含む、方法。
  12. 請求項1に記載の方法であって、
    前記基板は、半導体ウエハまたは半導電性ワークピースであり、前記基板の前記金属表面は、前記リモートプラズマ源に向いている、方法。
  13. 請求項1に記載の方法であって、
    前記グラフェンは、誘電材料または他の非金属材料に堆積することなく、前記基板の前記金属表面の金属上に選択的に堆積する条件下で堆積される、方法。
  14. 請求項1に記載の方法であって、さらに、
    前記基板の前記金属表面上の前記グラフェンを、約200℃~約400℃の温度でアニーリングする工程を含む、方法。
  15. 基板の金属表面上にグラフェンを堆積させるための装置であって、
    反応チャンバと、
    金属表面を備える基板を支持するように構成された、前記反応チャンバ内の基板支持体と、
    前記反応チャンバ上流のリモートプラズマ源であって、前記基板の前記金属表面は、前記リモートプラズマ源に向いている、リモートプラズマ源と、
    前記リモートプラズマ源から下流の、前記反応チャンバ内の1または複数のガス出口と、
    コントローラであって、
    前記1または複数のガス出口を通じて、前記反応チャンバの中に、前記基板に向けて1または複数の炭化水素前駆体を流す動作と、
    前記リモートプラズマ源において、水素原料ガスから水素のラジカルを生成する動作と、
    前記反応チャンバの中に、前記基板に向けて前記水素のラジカルを導入する動作であって、前記水素のラジカルは、前記1または複数の炭化水素前駆体と反応して、前記基板の前記金属表面上にグラフェンを堆積させる、動作と、を実施するための命令が設定されたコントローラと、
    を備える、装置。
  16. 半導電性デバイスであって、
    感温性下地層を有する半導体基板であって、前記感温性下地層は、感温性限界を有する、半導体基板と、
    前記感温性下地層に堆積したグラフェン膜と、
    を備える、半導電性デバイス。
  17. 請求項16に記載の半導電性デバイスであって、
    前記感温性下地層は、遷移金属を含み、前記感温性限界は、約400℃~約700℃である、半導電性デバイス。
  18. 基板の金属表面上にグラフェンを堆積させる方法であって、
    金属表面を備える基板を反応チャンバに提供する工程と、
    前記基板の前記金属表面上にグラフェンを堆積させる工程であって、前記基板は、堆積中は約200℃~約400℃の温度に維持される、工程と、
    を含む、方法。
  19. 基板の金属表面上にグラフェンを堆積させる方法であって、
    金属表面を備える基板を反応チャンバに提供する工程と、
    前記金属表面上にグラフェンを堆積させる前に、前記基板の前記金属表面を処理する工程であって、前記金属表面を処理する工程は、前記金属表面をシアノ系ラジカル種に曝露する工程と同時に、前記金属表面を還元ガス種のプラズマに曝露する工程を含む、工程と、
    前記基板の前記金属表面上にグラフェンを堆積させる工程と、
    を含む、方法。
  20. 請求項19に記載の方法であって、
    前記還元ガス種の前記プラズマは、還元ガス種および窒素含有剤のプラズマであり、前記シアノ系ラジカル種は、下流の炭素含有前駆体を前記還元ガス種および前記窒素含有剤の前記プラズマに曝露することにより生成され、前記還元ガス種および前記窒素含有剤の前記プラズマは、前記下流の炭素含有前駆体の上流に位置するリモートプラズマ源で生成される、方法。
JP2022519665A 2019-09-30 2020-09-24 リモートプラズマを用いる選択的グラフェン堆積 Pending JP2022549368A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962908271P 2019-09-30 2019-09-30
US62/908,271 2019-09-30
PCT/US2020/052549 WO2021067118A1 (en) 2019-09-30 2020-09-24 Selective graphene deposition using remote plasma

Publications (1)

Publication Number Publication Date
JP2022549368A true JP2022549368A (ja) 2022-11-24

Family

ID=75338506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022519665A Pending JP2022549368A (ja) 2019-09-30 2020-09-24 リモートプラズマを用いる選択的グラフェン堆積

Country Status (6)

Country Link
US (1) US20220375722A1 (ja)
JP (1) JP2022549368A (ja)
KR (1) KR20220070031A (ja)
CN (1) CN114556518A (ja)
TW (1) TW202124273A (ja)
WO (1) WO2021067118A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210087823A (ko) * 2020-01-03 2021-07-13 삼성전자주식회사 선택적 그래핀 성장 방법
US11515163B2 (en) * 2021-01-06 2022-11-29 Applied Materials, Inc. Low temperature graphene growth
US20230017035A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Integrated methods for graphene formation
WO2023004329A1 (en) * 2021-07-23 2023-01-26 Lam Research Corporation Graphene-capped copper in dual damascene interconnect
US11967523B2 (en) * 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
WO2023076115A1 (en) 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5851804B2 (ja) * 2011-11-09 2016-02-03 東京エレクトロン株式会社 前処理方法、グラフェンの形成方法及びグラフェン製造装置
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
KR20190025051A (ko) * 2013-01-14 2019-03-08 캘리포니아 인스티튜트 오브 테크놀로지 그라펜을 형성시키는 방법 및 시스템
KR101667841B1 (ko) * 2014-04-08 2016-10-20 경남대학교 산학협력단 플라즈마 화학기상증착 프로세스의 전계제어기법을 이용한 그래핀 나노월 성장 방법
CL2016001858A1 (es) * 2016-07-21 2017-02-17 Univ Técnica Federico Santa Mar+Ia Método y sistema para producir grafeno sobre un sustrato de cobre por deposición de vapores químicos (ap_cvd) modificado
US10269706B2 (en) * 2016-07-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20180148832A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable carbon films using hot wire chemical vapor deposition
US10465291B2 (en) * 2017-09-26 2019-11-05 California Institute Of Technology Method and system for growth of graphene nanostripes by plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
KR20220070031A (ko) 2022-05-27
CN114556518A (zh) 2022-05-27
TW202124273A (zh) 2021-07-01
US20220375722A1 (en) 2022-11-24
WO2021067118A1 (en) 2021-04-08

Similar Documents

Publication Publication Date Title
JP2022549368A (ja) リモートプラズマを用いる選択的グラフェン堆積
US20220399230A1 (en) Graphene integration
CN112673123B (zh) 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US20230245924A1 (en) Selective deposition using graphene as an inhibitor
US20080202423A1 (en) Vacuum film-forming apparatus
SG188656A1 (en) Method of mitigating substrate damage during deposition processes
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN113039309A (zh) 使用钌前驱物的等离子体增强原子层沉积(peald)方法
TW202319341A (zh) 自由基活化碳膜沉積
TW202321506A (zh) 低溫下的奈米石墨烯之沉積及處理
TW202320126A (zh) 鈷覆蓋銅雙鑲嵌內連件上的石墨烯之選擇性沉積
CN118043495A (en) Deposition and treatment of nanographene at low temperatures

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230914