KR20220034935A - 패터닝 프로세스 제어 방법, 디바이스 제조 방법 - Google Patents

패터닝 프로세스 제어 방법, 디바이스 제조 방법 Download PDF

Info

Publication number
KR20220034935A
KR20220034935A KR1020227007695A KR20227007695A KR20220034935A KR 20220034935 A KR20220034935 A KR 20220034935A KR 1020227007695 A KR1020227007695 A KR 1020227007695A KR 20227007695 A KR20227007695 A KR 20227007695A KR 20220034935 A KR20220034935 A KR 20220034935A
Authority
KR
South Korea
Prior art keywords
layer
substrate
pattern
target
tilt
Prior art date
Application number
KR1020227007695A
Other languages
English (en)
Other versions
KR102422568B1 (ko
Inventor
돈젠 예로엔 반
나마라 엘리엇 제라드 엠씨
폴 크리스티안 하이넨
마리누스 요켐슨
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220034935A publication Critical patent/KR20220034935A/ko
Application granted granted Critical
Publication of KR102422568B1 publication Critical patent/KR102422568B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

패터닝 프로세스를 제어하는 방법이 개시된다. 일 구성에서, 기판 상의 구조체의 타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터가 획득된다. 틸트는 타겟 층의 평면에 대한 수직 방향으로부터 에칭 경로의 방향의 편차를 나타낸다. 틸트 데이터는 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스를 제어하기 위해 사용된다.

Description

패터닝 프로세스 제어 방법, 디바이스 제조 방법{METHOD OF CONTROLLING A PATTERNING PROCESS, DEVICE MANUFACTURING METHOD}
본 출원은 2017년 9월 18일에 출원된 EP 출원 제17191525.9호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 패터닝 프로세스를 제어하는 방법 및 디바이스 제조 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 일반적으로 기판의 타겟부 상에 원하는 패턴을 적용하는 기기이다. 리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 기능성이 있도록 설계된 기타 다른 디바이스의 제조에 사용될 수 있다. 그러한 경우, 마스크 또는 레티클이라고도 칭하는 패터닝 디바이스가 기능성이 있도록 설계된 디바이스의 개별 층상에 형성될 회로 패턴을 생성하는 데 사용될 수 있다. 이러한 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 다이 또는 수 개의 다이를 포함) 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로의 이미징을 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 종래의 리소그래피 장치는, 전체 패턴을 한번에 타겟부 상에 노광함으로써 각각의 타겟부가 조사되는 소위 스테퍼와, 주어진 방향("스캐닝"-방향)으로 방사선 빔을 통해 패턴을 스캐닝함과 동시에 이러한 방향에 평행 또는 반평행으로 기판을 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 패턴을 기판 상에 임프린트함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사하는 것도 가능하다.
반도체 디바이스와 같은 디바이스를 제조하는 것은 전형적으로 디바이스의 다양한 피처 및 종종 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층 및/또는 피처는 전형적으로 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마 및 이온 주입을 사용하여 제조되고 처리된다. 다수의 디바이스가 기판 상의 복수의 다이 상에 제조된 후 개별 디바이스로 분리될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스로 여겨질 수 있다. 패터닝 프로세스는 기판 상에 패턴을 제공하기 위해 리소그래피 장치를 사용하는 광학 및/또는 나노 임프린트 리소그래피 등의 패턴 전사 단계를 수반하지만, 통상 선택적으로 하나 이상의 관련 패턴 처리 단계, 예를 들면 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치에 의한 패턴의 에칭 등의 프로세스 등을 수반할 수 있다. 또한, 하나 이상의 계측 프로세스가 패터닝 프로세스에 수반된다.
계측 프로세스는 패터닝 프로세스 동안 다양한 단계에서 프로세스를 모니터링 및/또는 제어하기 위해 사용된다. 예를 들어 계측 프로세스는 기판의 하나 이상의 특성, 예컨대 패터닝 프로세스 동안 기판 상에 형성되는 피처의 상대적인 위치(예를 들어, 레지스트레이션, 오버레이, 정렬 등) 또는 치수(예를 들어, 선폭, 임계 치수(CD), 두께 등)를 측정하는 데에 이용되어, 이러한 하나 이상의 특성으로부터 예를 들면 패터닝 프로세스의 성능이 결정될 수 있다. 하나 이상의 특성이 수용불가능한 경우(예를 들어, 특성(들)에 대한 미리 결정된 범위를 벗어난 경우), 패터닝 프로세스에 의해 제조되는 기판이 수용가능한 특성을 가지도록, 패터닝 프로세스의 하나 이상의 변수가 예를 들어 하나 이상의 특성의 측정에 기초하여 설계되거나 변경될 수 있다.
리소그래피 및 기타 패터닝 프로세스 기술의 발전으로, 기능 소자의 치수는 지속적으로 감소하는 반면, 디바이스당 트랜지스터와 같은 기능 소자의 양은 수십 년에 걸쳐 꾸준히 증가하고 있다. 오버레이, 임계 치수(CD) 등의 측면에서 정확도에 대한 요구 사항이 점점 엄격해지고 있다. 패터닝 프로세스에서는 오버레이 오차, CD 오차 등과 같은 오차가 불가피하게 발생할 것이다. 예를 들어, 이미징 오차가 광학 수차, 패터닝 디바이스 가열, 패터닝 디바이스 오차 및/또는 기판 가열로부터 생성될 수 있고, 예를 들어 오버레이, CD 등으로 특성화될 수 있다. 또한, 에칭 단계 동안과 같이 패터닝 프로세스의 다른 부분에서 오차가 도입될 수도 있다.
반도체 디바이스를 제조하기 위해 사용되는 패터닝 프로세스에서 오차를 감소시키는 것이 바람직하다.
일 양태에 따르면, 패터닝 프로세스를 제어하는 방법이 제공되는데, 이러한 방법은: 기판 상의 구조체의 타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하는 단계 - 상기 틸트는 상기 타겟 층의 평면에 대한 수직 방향으로부터 상기 에칭 경로의 방향의 편차를 나타냄 -; 및 상기 틸트 데이터를 사용하여 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스를 제어하는 단계를 포함한다.
일 양태에 따르면, 디바이스 제조 방법이 제공되는데, 이러한 방법은: 기판 상에 타겟 층을 포함하는 구조체를 형성하는 단계; 타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하는 단계 - 상기 틸트는 상기 타겟 층의 평면에 대한 수직 방향으로부터 상기 에칭 경로의 방향의 편차를 나타냄 -; 및 상기 틸트 데이터를 사용하여 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스를 제어하는 단계를 포함한다.
이제 본 발명의 실시예에 관해, 대응하는 도면 부호가 상응하는 부분을 나타내는 첨부된 개략적인 도면을 참조로 하여 단지 예시의 목적으로 설명할 것이다.
도 1은 리소그래피 장치를 개략적으로 나타낸다.
도 2는 반도체 디바이스를 위한 생산 설비를 형성하기 위해 도 1의 리소그래피 장치를 다른 장치와 함께 사용하는 것을 나타낸다.
도 3a는 특정 조명 모드를 제공하는 제1 쌍의 조명 개구를 사용하는 일 실시예에 따라 타겟을 측정하는 데 사용하기 위한 측정 장치의 개략도이다.
도 3b는 주어진 조명 방향에 대한 타겟의 회절 스펙트럼의 개략적인 세부 사항이다.
도 3c는 회절 기반 오버레이 측정을 위한 측정 장치를 사용함에 있어서 추가 조명 모드를 제공하는 제2 쌍의 조명 개구의 개략도이다.
도 3d는 회절 기반 오버레이 측정을 위한 측정 장치를 사용함에 있어서 추가 조명 모드를 제공하는, 제1 쌍 및 제2 쌍의 개구를 조합한 제3 쌍의 조명 개구의 개략도이다.
도 4는 다중 주기적 구조체(예를 들어, 다중 격자) 타겟의 형태 및 기판 상의 측정 스폿의 개요를 개략적으로 나타낸 것이다.
도 5는 도 3의 장치에서 획득한 도 4의 타겟의 이미지를 개략적으로 나타낸다.
도 6은 계측 장치 및 계측 기술의 일례를 개략적으로 나타낸다.
도 7는 계측 장치의 일례를 개략적으로 나타낸다.
도 8는 계측 장치의 조명 스폿과 계측 타겟 간의 관계를 나타낸다.
도 9은 측정 데이터에 기초하여 하나 이상의 관심 변수를 도출하는 프로세스를 개략적으로 나타낸다.
도 10a는 예시적인 유닛 셀, 이와 연관된 동공 표현 및 이와 연관된 유도된 동공 표현을 개략적으로 나타낸다.
도 10b는 예시적인 유닛 셀, 이와 연관된 동공 표현 및 이와 연관된 유도된 동공 표현을 개략적으로 나타낸다.
도 10c는 유닛 셀의 하나 이상의 물리적 인스턴스를 포함하는 예시적인 타겟을 개략적으로 도시한다.
도 11은 에칭 유발 오버레이 오차의 소스를 개략적으로 나타낸다.
도 12는 타겟 층을 통한 에칭 경로의 틸트를 나타낸다.
도 13은 상부 층의 시프트에 의한 도 12의 틸트의 보상을 나타낸다.
도 14는 하부 층의 시프트에 의한 도 12의 틸트의 보상을 나타낸다.
도 15는 타겟 층 또는 주변 층에서의 응력 분포 또는 에칭 프로세스를 제어함으로써 도 12의 틸트가 제거되는 것을 나타낸다.
도 16-18은 다수의 단계를 포함하는 에칭 프로세스의 단계들을 나타낸다.
도 19는 타겟 층 위에 있는 층을 통한 에칭 경로에서의 틸트에 의해 야기되는 겉보기 오버레이를 나타낸다.
도 20은, 도 16 내지 도 18의 에칭 프로세스에서 타겟 층의 에칭 제어 결과를, 타겟 층에서의 틸트의 직접 측정이 있는 경우(좌측)와 직접 측정 없는 경우(우측)에 대해 비교한 것이다.
도 21는 본 개시내용의 실시예를 구현할 수 있는 컴퓨터 시스템을 개략적으로 나타낸다.
본 발명의 실시예를 상세하게 설명하기 전에, 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 나타낸다. 장치는 다음을 포함한다:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치설정하도록 구성된 제1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하고 특정 파라미터들에 따라 기판을 정확히 위치설정하도록 구성된 제2 위치설정기(PW)에 연결되는 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및
- 기판(W)의 타겟부(C)(예를 들어, 하나의 다이 또는 그 이상의 다이를 포함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS).
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위해 굴절형, 반사형, 자기형, 전자기형, 정전형 또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다.
지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 지탱한다. 이는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에 유지되는지의 여부와 같은 기타 다른 조건에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다. 본 명세서에서 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 생성하기 위해 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-시프팅 피처 또는 소위 어시스트 피처를 포함하는 경우, 기판의 타겟부에서의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 점에 주목해야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성되는 디바이스(또는 다수의 디바이스)의 특정 기능 층에 해당할 것이다. 패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 패널을 포함한다.
본 명세서에서 사용된 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 적합하거나 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 반사굴절형, 자기형, 전자기형 및 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
도시된 바와 같이, 장치는 투과형(예를 들어, 투과형 마스크를 채택)이다. 대안적으로, 장치는 반사형(예를 들어, 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이를 채택하거나, 반사형 마스크를 채택)일 수 있다.
리소그래피 장치는 2개(듀얼 스테이지) 또는 그 이상의 기판 테이블(및/또는 둘 이상의 마스크 테이블)을 갖는 형태일 수 있다. 이러한 "다중 스테이지" 기기에서는 추가의 테이블을 병렬적으로 사용할 수 있으며, 또는 하나 이상의 다른 테이블을 노광용으로 사용하면서 하나 이상의 테이블 상에서 준비 단계를 수행할 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우도록, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부가 덮일 수 있는 유형일 수도 있다. 액침액은 또한 리소그래피 장치의 다른 공간, 예를 들어, 마스크와 투영 시스템 사이에 적용될 수 있다. 액침 기술은 투영 시스템의 개구수를 증가시키기 위해 당해 기술 분야에서 잘 알려져 있다. 본 명세서에서 사용되는 "액침"이라는 용어는 기판과 같은 구조체가 액체에 잠겨야 함을 의미하는 것이 아니라 오히려 액체가 노광 중에 투영 시스템과 기판 사이에 위치한다는 것을 의미한다.
조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 방사선 소스 및 리소그래피 장치는 별개의 개체일 수 있다. 그러한 경우에, 방사선 소스는 리소그래피 장치의 일부를 형성하는 것으로 여겨지지 않으며, 방사선 빔은 예를 들어 적절한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로 방사선 소스(SO)로부터 조명기(IL)로 전달된다. 이와 다른 경우, 예를 들어 방사선 소스가 수은 램프인 경우, 소스는 리소그래피 장치에 통합된 부분일 수 있다. 방사선 소스(SO) 및 조명기(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.
조명기(IL)는 방사선 빔의 각도 세기 분포를 조정하기 위한 조정기(AD)를 포함할 수 있다. 일반적으로, 조명기의 동공면 내의 세기 분포의 적어도 외측 반경 및/또는 내측 반경방향 치수(일반적으로 각각 외측-σ 및 내측-σ로 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 집속기(IN) 및 집광기(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 단면에 원하는 균일성과 세기 분포를 갖도록 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블(MT)) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크(MA)) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WTa/WTb)은 예를 들어 방사선 빔(B)의 경로에 다양한 타겟부(C)를 위치설정하도록 정확하게 이동될 수 있다. 유사하게, 제1 위치설정기(PM) 및 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가, 예를 들어 마스크 라이브러리로부터의 기계적 회수 후에, 또는 스캔 중에, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치설정하는 데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 제1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(개략적 위치설정) 및 숏-스트로크 모듈(미세 위치설정)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WTa, WTb)의 이동은 제2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 사용하여 실현될 수 있다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 숏-스트로크 액츄에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크는 전용화된 타겟부를 점유하지만, 이들은 타겟부들(필드들) 사이 및/또는 타겟부들 내의 디바이스 영역들(다이들) 사이의 공간에 위치할 수도 있다. 이들은 스크라이브-레인 정렬 마크로 알려져 있는데, 개별적인 제품 다이들이 결국 이러한 라인을 따라 스크라이빙함으로써 서로로부터 절단될 것이기 때문이다. 유사하게, 둘 이상의 다이가 마스크(MA) 상에 제공되는 상황에서, 마스크 정렬 마크는 다이 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나로 사용될 수 있다:
1. 스텝 모드에서는, 방사선 빔에 부여된 전체 패턴이 한번에 타겟부(C) 상에 투영되는 동안, 마스크 테이블(MT) 및 기판 테이블(WT)은 실질적으로 정지 상태로 유지된다(즉, 단일 정적 노광). 그 다음, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광으로 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기적으로 스캐닝된다(즉, 단일 동적 노광). 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 배율(축소율) 및 이미지 반전 특성에 의해 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서 타겟부의 (비-스캐닝 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램 가능한 패터닝 디바이스를 유지하면서 실질적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동 또는 스캐닝된다. 이러한 모드에서는, 일반적으로 펄스형 방사선 소스가 채용되고, 프로그램 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 중에 연속적인 방사선 펄스 사이에서 필요에 따라 업데이트된다. 이러한 동작 모드는 상술한 바와 같은 유형의 프로그램 가능한 미러 어레이 등의 프로그램 가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
상술된 사용 모드들의 조합 및/또는 변형 또는 완전히 다른 사용 모드들이 또한 채용될 수 있다.
2개의 기판 테이블 및 기판 테이블이 그 사이에서 교환될 수 있는 2개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 갖는 이른바 듀얼 스테이지 타입의 리소그래피 장치이다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되는 동안, 다양한 준비 단계가 수행될 수 있도록 다른 기판이 측정 스테이션에서 나머지 기판 테이블 상에 로딩된다. 준비 단계는 높이 센서를 사용하여 기판의 표면 높이를 매핑하고 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 단계를 포함할 수 있다. 이러한 측정에는 시간이 걸리고, 2개의 기판 테이블을 제공함으로써 장치의 처리량을 상당히 증가시킬 수 있다. 기판 테이블이 측정 스테이션 및 노광 스테이션에 있는 동안 위치 센서(IF)가 기판 테이블의 위치를 측정할 수 없다면, 기판 테이블의 위치가 양 스테이션에서 추적될 수 있도록 제2 위치 센서가 제공될 수 있다.
장치는 기술된 다양한 액추에이터 및 센서의 모든 움직임 및 측정을 제어하는 리소그래피 장치 제어 유닛(LACU)(206)를 더 포함한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실제로 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다. 예를 들어, 하나의 처리 서브시스템이 기판 위치설정기(PW)의 서보 제어에 전용화될 수 있다. 별개의 유닛들이 개략 및 미세 액추에이터 또는 상이한 축들을 다룰 수 있다. 또 다른 유닛이 위치 센서(IF)의 판독에 전용화될 수 있다. 장치의 전반적인 제어는 이들 서브시스템 처리 유닛, 작업자 및 리소그래피 제조 프로세스에 수반되는 다른 장치들과 통신하는 중앙 처리 장치에 의해 제어될 수 있다.
도 2는 도면부호 200에 반도체 제품을 위한 산업 생산 설비와 관련하여 리소그래피 장치(LA)를 도시한다. 리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202에 측정 스테이션(MEA)이 도시되고, 204에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206에 도시된다. 생산 설비 내에서, 장치(200)는 예를 들어, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 도포하기 위한 코팅 장치(208)를 또한 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및/또는 현상 장치(212)가 제공된다.
패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226에 예시되는 것과 같은 하나 이상의 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 세정 및/또는 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 주입 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 필요할 수 있다. 실제로 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다.
알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속하는 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품(기판(234))일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더욱이, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
이전 및/또는 후속하는 패터닝 단계는 앞서 언급한 바와 같이 다른 리소그래피 장치에서도 수행될 수 있으며, 상이한 유형의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이 등의 파라미터에 있어서 매우 까다로운 디바이스 제조 프로세스의 일부 층은 이들 파라미터에 있어서 덜 까다로운 다른 층보다 더 진보된 리소그래피 툴에서 수행될 수 있다. 따라서 일부 층은 액침형 리소그래피 툴에서 노광될 수 있고, 다른 층은 '건식' 툴에서 노광될 수 있다. 일부 층은 DUV 파장에서 동작하는 툴에서 노광될 수 있지만 다른 층은 EUV 파장 방사선을 사용하여 노광된다.
전체 설비는 계측 데이터, 설계 데이터, 프로세스 레시피 등을 수신하는 감시 제어 시스템(238)의 제어 하에 작동될 수도 있다. 감시 제어 시스템(238)은 기판의 하나 이상의 배치(batch)에 대해 제조 프로세스를 구현하기 위해 각각의 장치에 명령을 발한다.
도 2에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240) 또한 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 장치의 공통적인 예는 스캐터로미터, 예를 들어 각도 분해 스캐터로미터 또는 분광식 스캐터로미터인데, 이것은 장치(222)에서의 에칭 이전에 220에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어, 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다고 결정될 수도 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 잘 알려져 있는 바와 같이, 장치(240)로부터의 계측 결과(242)는, 제어 유닛(LACU)(206)이 시간에 걸쳐 작은 조정들을 행함으로써, 리소클러스터에서의 패터닝 동작의 정확한 성능을 유지하기 위한 신호(252)를 생성하기 위해 진보된 프로세스 제어(APC) 시스템(250)에서 사용될 수 있고, 이로써 제품이 사양으로부터 벗어나 재작업이 요구될 위험이 최소화된다. 계측 장치(240) 및/또는 다른 계측 장치(도시되지 않음)는 처리된 기판(232, 234) 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다.
진보된 프로세스 제어(APC) 시스템(250)은 예를 들어 개별적인 리소그래피 장치들을 교정하고 상이한 장치들이 보다 상호 교환적으로 사용될 수 있게 하도록 구성될 수 있다. 최근, 안정성 모듈의 구현을 통해 장치의 초점 및 오버레이(층간 정렬) 균일성에 대한 개선이 이루어졌으며, 주어진 피처 크기 및 칩 애플리케이션에 최적화된 프로세스 윈도우를 생성하여 더 작고 보다 진보된 칩의 생성이 계속될 수 있게 되었다. 일 실시예에서 이러한 안정성 모듈은 예를 들어 매일 일정한 간격으로 시스템을 미리 규정된 베이스라인으로 자동 재설정한다. 이러한 안정성 모듈이 통합된 리소그래피 및 계측 방법에 대한 자세한 내용은 US2012008127A1에서 찾을 수 있다.
계측을 가능하게 하기 위해서, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별하게 설계되고, 주기적 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 부분, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체(예를 들어, 바이폴라 트랜지스터(BPT), 비트 라인 콘택(BLC) 등의 구조체)이다.
일 실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 주기적인 구조적 피처가 솔리드 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 일 실시예에서, 타겟은 하나 이상의 2-D 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 하나 이상의 주기적 구조체가 솔리드 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 대안적으로 바, 필러 또는 비아들은 기판 내로 에칭될 수 있다(예를 들어, 기판 상의 하나 이상의 층으로).
일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 오버레이이다. 오버레이는 0차 회절 차수(정반사에 대응)가 차단되고 더 높은 차수만이 처리되는 암시야 계측법을 이용하여 측정될 수 있다. 암시야 계측법의 예는 국제 특허 공개 WO 2009/078708 및 WO 2009/106279에서 찾을 수 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다. 이러한 기법의 추가적인 발전사항은 미국 특허 공개 US 2011-0027704, US 2011-0043791 및 US 2012-0242970에 기술되어 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다. 회절 차수의 암시야 검출을 이용하는 회절 기반 오버레이는 보다 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스폿보다 작을 수 있고 기판 상의 디바이스 제품 구조체에 의해 둘러싸일 수 있다. 일 실시예로서, 하나의 방사선 캡처로 다수의 타겟이 측정될 수 있다.
예를 들어 오버레이를 측정하기 위한 실시예에 사용하기에 적합한 계측 장치가 도 3(a)에 개략적으로 도시되어 있다. 타겟(T)(격자 등의 주기적 구조체를 포함) 및 회절 광선이 도 3(b)에 더욱 상세하게 예시되어 있다. 이러한 계측 장치는 독립형 디바이스이어도 되고, 또는 예컨대 측정 스테이션에서 리소그래피 장치(LA)에 또는 리소그래피 셀에 통합될 수도 있다. 장치 도처에서 여러 개의 브랜치를 갖는 광축은 점선 O로 표시되어 있다. 이 장치에서, 출력(11)(예컨대, 레이저 또는 크세논 램프 등의 소스 또는 소스에 연결된 개구)에 의해 방출된 방사선은 렌즈(12, 14) 및 대물 렌즈(16)를 포함하는 광학 시스템에 의해 프리즘(15)을 통해 기판(W) 상으로 지향된다. 이들 렌즈는 4F 배열의 이중 시퀀스로 배치된다. 기판 이미지를 검출기 상에 제공한다면 상이한 렌즈 배열이 이용될 수 있다.
일 실시예로서, 이러한 렌즈 배열은 공간 주파수 필터링을 위해 중간 동공면의 액세스를 허용할 수 있다. 따라서, 방사선이 기판 상에 입사하는 각도 범위는, 여기에서 (공액) 동공면으로 지칭되는, 기판 평면의 공간 스펙트럼을 제공하는 평면에서의 공간 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 동공면의 역-투영 이미지(back-projected image)인 평면에서, 예를 들어 렌즈(12)와 렌즈(14) 사이에 적합한 형태의 개구 플레이트(13)를 삽입함으로써 행해질 수 있다. 예시된 실시예에서, 개구 플레이트(13)는 상이한 조명 모드가 선택될 수 있도록 13N 및 13S로 표시된 상이한 형태를 갖는다. 본 예에서의 조명 시스템은 축외 조명 모드를 형성한다. 제1 조명 모드에서, 개구 플레이트(13N)는, 단지 설명을 목적으로 "북쪽"으로서 지정된 방향으로부터의 축외 조명을 제공한다. 제2 조명 모드에서, 개구 플레이트(13S)는 유사한 조명을 제공하지만 "남쪽"으로 표시된 반대 방향으로부터 조명을 제공하기 위해 이용된다. 상이한 개구를 사용함으로써 다른 조명 모드도 가능하다. 원하는 조명 모드의 외부의 임의의 불필요한 방사선은 원하는 측정 신호와 간섭할 것이기 때문에 동공면의 나머지는 어두운 것이 바람직하다.
도 3(b)에 도시된 바와 같이, 타겟(T)은 기판(W)이 대물 렌즈(16)의 광축(O)에 실질적으로 수직인 상태로 배치된다. 축(O)에서 벗어난 각도로 타겟(T) 상에 충돌하는 조명 광선(I)은 하나의 0차 광선(실선 0) 및 2개의 1차 광선(일점쇄선 +1과 이점쇄선 -1)을 발생시킨다. 오버필된 소형 타겟(T)을 이용하면, 이들 광선은 계측 타겟(T) 및 기타 피처를 포함한 기판의 영역을 커버하는 다수의 평행 광선 중의 단지 하나가 된다. 플레이트(13)의 개구가 한정된 폭(유용한 방사선 양을 허용하는데 필요한 폭)을 가지므로, 입사 광선(I)은 실제로는 일점 범위의 각도를 점유할 것이고, 회절 광선 0차와 +1/-1차가 다소 확산될(spread out) 것이다. 소형 타겟의 포인트 확산 함수에 따라, 각각의 차수 +1과 -1은 도시된 바와 같이 단일의 이상적인 광선이 아니라 일정 범위의 각도에 걸쳐 추가로 확산될 것이다. 주기적 구조체 피치 및 조명 각도는 대물 렌즈에 진입하는 1차 광선이 중앙의 광축과 근접하게 정렬되도록 설계되거나 조정될 수 있다는 것에 유의하기 바란다. 도 3(a) 및(b)에 예시된 광선은 순전히 이들이 도면에서 보다 용이하게 구별될 수 있도록 하기 위해 다소 축에서 벗어난 것으로 도시되어 있다. 기판(W) 상의 타겟에 의해 회절된 적어도 0차와 +1차 회절 광선은 대물 렌즈(16)에 의해 집광되고, 프리즘(15)을 통해 역으로 지향된다.
도 3(a)로 돌아가서, 제1 조명 모드와 제2 조명 모드 둘 모두가 북쪽(N)과 남쪽(S)으로 표시된 정반대 측의 개구를 지정하는 것으로 예시되어 있다. 입사 광선(I)이 광축의 북쪽측으로부터의 것인 때에는, 즉 제1 조명 모드가 개구 플레이트(13N)를 이용하여 적용된 때에는, +1(N)으로 표시되는 +1차 회절 광선이 대물 렌즈(16)에 진입한다. 반대로, 제2 조명 모드가 개구 플레이트(13S)를 이용하여 적용된 경우에는, -1(S)로 표시되는 -1차 회절 광선이 렌즈(16)에 진입하는 광선이 된다. 따라서 일 실시예로서, 예를 들면 -1 및 +1 회절 차수 세기를 별도로 얻기 위해 타겟을 회전시키거나 조명 모드를 변경하거나 이미징 모드를 변경한 후에, 특정 조건 하에서 타겟을 두 번 측정함으로써 측정 결과를 얻는다. 주어진 타겟에 대해 이러한 세기를 비교하면 타겟에서의 비대칭의 측정을 제공하게 되며, 이러한 타겟에서의 비대칭은 리소그래피 프로세스의 파라미터, 에컨대 오버레이의 척도로 이용될 수 있다. 위에서 기술된 상황에서는 조명 모드가 변경된다.
빔 스플리터(17)는 회절 빔을 2개의 측정 분기로 분할한다. 제1 측정 브랜치에서, 광학 시스템(18)은 0차 및 1차 회절 빔을 이용하여 제1 센서(19)(예컨대, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(동공면 이미지)을 형성한다. 각각의 회절 차수는 센서 상의 상이한 포인트에 충돌하며, 이로써 이미지 처리가 차수를 비교하고 대비(contrast)할 수 있게 된다. 센서(19)에 의해 캡쳐된 동공면 이미지는 계측 장치를 포커싱하거나 및/또는 세기 측정치를 정규화하기 위해 이용될 수 있다. 동공면 이미지는 또한 재구성과 같은 다른 측정 목적을 위해 사용될 수 있는데, 이에 대해서는 추가로 설명할 것이다.
제2 측정 분기에서, 광학 시스템(20, 22)은 센서(23)(예컨대, CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성한다. 제2 측정 브랜치에서, 대물 렌즈(16)의 동공면에 공액 관계를 이루는 평면에 구경 조리개(21)가 제공된다. 구경 조리개(21)는 센서(23) 상에 형성된 타겟의 이미지가 -1차 또는 +1차 빔으로부터 형성되도록 0차 회절 빔을 차단하도록 기능한다. 센서(19, 23)에 의해 측정되는 이미지에 관한 데이터는 프로세서 및 컨트롤러(PU)에 출력되며, 이미지 프로세서 및 컨트롤러의 기능은 수행되는 측정의 특정한 타입에 좌우될 것이다. "이미지"라는 표현은 본 명세서에서는 넓은 의미로 사용된다는 것을 유의하기 바란다. 이와 같은 주기적 구조체 피처(예컨대, 격라 라인)의 이미지는 -1 및 +1 차수 중의 하나만이 제공되는 경우에는 형성되지 않을 것이다.
도 3에 도시된 개구 플레이트(13) 및 조리개(21)의 구체적인 형태는 단지 예에 불과하다. 또 다른 실시예에서는, 타겟의 축상 조명이 사용되고, 실질적으로 단지 하나의 1차 회절 방사선만을 센서에 통과시키기 위하여 축외 개구를 갖는 구경 조리개가 사용된다. 또 다른 실시예에서, 측정에 있어서 1차 빔 대신 또는 1차 빔에 추가하여 2차, 3차 및 더 높은 차수의 빔(도 3에 도시되지 않음)이 사용될 수 있다.
조명을 이들 상이한 타입의 측정에 적합화시키기 위해, 개구 플레이트(13)는 원하는 패턴을 제 위치에 놓이게 하기 위해 회전하는 디스크 둘레에 형성된 다수의 개구 패턴을 포함할 수 있다. 개구 플레이트(13N 또는 13S)가 단지 한 방향(셋업에 따라서는 X 또는 Y)으로 배향된 타겟의 주기적 구조체를 측정하기 위해 이용된다는 점에 주목해야 한다. 직교하는 주기적 구조체의 측정을 위해, 90 ° 및 270 °만큼 타겟의 회전이 구현될 수 있다. 상이한 개구 플레이트가 도 3(c) 및(d)에 도시되어 있다. 도 3(c)는 축외 조명 모드의 두 가지 추가적인 타입을 예시한다. 도 3(c)의 첫 번째 조명 모드에서 개구 플레이트(13E)는, 단지 설명의 목적으로 앞서 기술된 바와 같은 "북쪽"에 대하여 "동쪽"으로 지정된 방향으로부터 축외 조명을 제공한다. 도 3(c)의 두 번째 조명 모드에서 개구 플레이트(13W)는, "서쪽"으로 표기된 반대측 방향으로부터 유사한 조명을 제공하기 위해 이용된다. 도 3(d)는 축외 조명 모드의 두 가지 추가적인 타입을 예시한다. 도 3(d)의 첫 번째 조명 모드에서 개구 플레이트(13NW)는, 앞서 기술된 바와 같은 "북쪽" 및 "서쪽"으로 지정된 방향으로부터 축외 조명을 제공한다. 두 번째 조명 모드에서 개구 플레이트(13SE)는, 앞서 기술된 바와 같은 "남쪽" 및 "동쪽"으로 표기된 반대측 방향으로부터 유사한 조명을 제공하기 위해 이용된다. 이를 이용하는 것과 장치의 수많은 기타 변형예 및 응용예가 예를 들면 위에서 언급한 종래의 특허 공개 문헌에 기술되어 있다.
도 4는 기판 상에 형성된 예시적인 복합 계측 타겟(T)을 도시한다. 복합 타겟은 서로 근접하게 위치된 4개의 주기적 구조체(이 경우엔 격자)(32, 33, 34, 35)를 포함한다. 일 실시예로서, 주기적 구조체 레이아웃이 측정 스폿보다 작게 될 수 있다(즉, 주기적 구조체 레이아웃은 오버필된(overfilled) 상태). 따라서 일 실시예로서, 주기적 구조체는 모두 계측 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 있도록 서로 충분히 근접하게 위치된다. 이 경우, 4개의 주기적 구조체는 모두 동시에 조명되고 센서(19 및 23) 상에 동시에 이미징된다. 오버레이 측정에 전용화된 예로서, 주기적 구조체(32, 33, 34, 35)는 그 자체가 주기적 구조체들을 겹치게 쌓아 형성된 복합 주기적 구조체(예를 들어, 복합 격자)이고, 즉 주기적 구조체는 기판(W) 상에 형성된 디바이스의 상이한 층들에 패터닝되고 하나의 층의 하나 이상의 주기적 구조체가 다른 층의 하나 이상의 주기적 구조체와 겹쳐지게 된다. 이러한 타겟은 20㎛ × 20㎛ 또는 16㎛ × 16㎛ 이내의 외측 치수를 가질 수 있다. 나아가, 모든 주기적 구조체가 특정한 쌍의 층들 사이에 오버레이를 측정하는 데 이용된다. 타겟이 층들의 둘 이상의 쌍을 측정할 수 있도록 하기 위해, 주기적 구조체(32, 33, 34, 35)는, 복합 주기적 구조체의 상이한 부분들이 형성되는 상이한 층들 사이에서 오버레이의 측정을 용이하게 하도록 상이하게 바이어스된 오버레이 오프셋을 가질 수 있다. 따라서, 기판 상의 타겟을 위한 모든 주기적 구조체는 층들의 하나의 쌍을 측정하는 데 이용될 수 있고, 기판 상의 다른 동일한 타겟을 위한 모든 주기적 구조체는 층들의 다른 쌍을 측정하는 데 이용될 수 있으며, 여기서 상이한 바이어스는 층-쌍들 사이의 구별을 용이하게 한다.
도 4를 참조하면, 주기적 구조체(32, 33, 34, 35)는 X 및 Y 방향으로 입사하는 방사선을 회절시키도록, 도시된 바와 같이 그 배향이 다를 수 있다. 일례에서, 주기적 구조체(32 및 34)는 각각 +d, -d의 바이어스를 갖는 X-방향 주기적 구조체이다. 주기적 구조체(33 및 35)는 각각 오프셋 +d 및 -d를 갖는 Y-방향 주기적 구조체일 수 있다. 4개의 주기적 구조체가 도시되어 있지만, 다른 실시예에서는 원하는 정확도를 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 9 개의 복합 주기적 구조체의 3 x 3 어레이는 바이어스 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d를 가질 수 있다. 이들 주기적 구조체의 별개의 이미지가 센서(23)에 의해 캡쳐된 이미지에서 식별될 수 있다.
도 5는 도 3(d)로부터의 개구 플레이트(13NW 또는 13SE)를 이용하여, 도 3의 장치에서 도 4의 타겟을 사용하여, 센서(23) 상에 형성되어 센서에 의해 검출될 수 있는 이미지의 예를 도시한다. 센서(19)는 상이한 개별 주기적 구조체들(32 내지 35)을 분해할 수는 없지만, 센서(23)는 그렇게 할 수 있다. 어두운 직사각형은, 기판 상의 조명된 스폿(31)이 대응하는 원형 영역(41)으로 이미징되는, 센서 상의 이미지의 필드를 나타낸다. 이러한 직사각형 내에서, 직사각형 영역(42-45)은 주기적 구조체(32 내지 35)의 이미지를 나타낸다. 타겟은, 스크라이브 레인이 아니라 또는 스크라이브 레인에 더하여 디바이스 제품 피처들 사이에 배치될 수 있다. 주기적 구조체가 디바이스 제품 영역에 있는 경우 이러한 이미지 필드의 주변부에 디바이스 피처가 보일 수도 있다. 프로세서 및 컨트롤러(PU)는 패턴 인식을 사용해 이들 이미지를 처리하여 주기적 구조체(32 내지 35)의 별개 이미지(42 내지 45)를 식별한다. 이런 식으로, 이미지는 센서 프레임 내의 특정 위치에 매우 정밀하게 정렬될 필요가 없으며, 이는 전체적으로 측정 장치의 처리량을 크게 개선한다.
일단 주기적 구조체의 별개 이미지가 식별되면, 이러한 개별 이미지의 세기가, 예를 들어 식별된 영역 내에서 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 측정될 수 있다. 이미지들의 세기 및/또는 다른 특성을 서로 비교할 수 있다. 리소그래피 프로세스의 상이한 파라미터를 측정하기 위해 이러한 결과는 조합될 수 있다. 오버레이 성능은 이러한 파라미터의 일례이다.
일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 피처 폭(예컨대, CD)이다. 도 6은 피처 폭 결정을 가능하게 할 수 있는 고도로 개략적인 계측 장치(예를 들어, 스캐터로미터)를 도시한다. 이는 기판(W) 상에 방사선을 투영하는 광대역(백색광) 방사선 투영기(2)를 포함한다. 재지향된 방사선은, 예를 들어 좌측 하단의 그래프에 도시된 바와 같이, 정반사된 방사선의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일이 프로세서(PU)에 의해 재구성될 수 있으며, 이는 예를 들어, 정밀 결합파 분석 및 비선형 회귀 분석에 의해 또는 도 6의 우측 하단에 표시된 바와 같은 시뮬레이션된 스펙트럼 라이브러리와의 비교를 통해 이루어진다. 일반적으로, 이러한 재구성을 위한 구조체의 일반적인 형태가 알려져 있으며, 일부 변수는 구조체가 만들어지는 프로세스에 대한 정보로부터 추정되고, 측정된 데이터로부터 결정되어야 하는 구조체의 몇 가지 변수만이 남게 된다. 이러한 계측 장치는 수직 입사 계측 장치 또는 경사 입사 계측 장치로 구성될 수 있다. 나아가 재구성에 의한 파라미터 측정 이외에도, 각도 분해 산란계측이 제품 및/또는 레지스트 패턴에서의 피처의 비대칭 측정에 이용될 수 있다. 비대칭 측정의 특정한 응용예는 오버레이 측정을 위한 것이며, 여기서 타겟은 주기적 피처의 하나의 세트가 다른 세트 상에 중첩되어 있다. 이런 방식의 비대칭 측정의 개념은 예를 들어, 미국특허공보 US2006-066855호에 기재되어 있으며, 이는 원용되어 그 내용이 전체로서 본 명세서에 포함된다.
도 7은 대안적인 계측 장치의 일례를 나타낸다. 이러한 유형의 계측 장치의 작동 원리는 미국 특허 출원 US 2006-033921 및 US 2010-201963에 보다 상세하게 설명되어 있으며, 이러한 문헌의 전체 내용은 원용에 의해 본 명세서에 포함된다. 장치 도처에서 여러 개의 브랜치를 갖는 광축은 점선 O로 표시되어 있다. 이러한 장치에서, 광원(110)(예를 들어, 크세논 램프)에 의해 방출된 방사선은 렌즈 시스템(120), 개구 플레이트(130), 렌즈 시스템(140), 부분 반사면(150) 및 대물 렌즈(160)를 포함하는 광학 시스템에 의해 기판(W) 상으로 지향된다. 일 실시예에서, 이들 렌즈 시스템(120, 140, 160)은 4F 배열의 이중 시퀀스로 배열된다. 일 실시예에서, 방사선 소스(110)에 의해 방출된 방사선은 렌즈 시스템(120)을 사용하여 시준된다. 원하는 경우 다른 렌즈 배열을 사용할 수도 있다. 방사선이 기판 상에 입사하는 각도 범위는 기판 평면의 공간 스펙트럼을 제공하는 평면에서의 공간 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 동공면의 역-투영 이미지(back-projected image)인 평면에서, 렌즈(120)와 렌즈(140) 사이에 적합한 형태의 개구 플레이트(130)를 삽입함으로써 행해질 수 있다. 상이한 개구를 사용함으로써 상이한 세기 분포(예를 들어, 환형, 쌍극자 등)가 가능하다. 방사선의 파장, 편광 및/또는 간섭성과 같은 특성뿐만 아니라 반경 방향 및 주변 방향으로의 조명의 각도 분포 모두가 원하는 결과를 얻도록 조정될 수 있다. 예컨대, 하나 이상의 간섭 필터(130)(도 9 참조)가, 일례로, 400nm 내지 900nm의 범위 또는 200nm 내지 300nm와 같은 더 낮은 범위의 관심 파장을 선택하기 위해 소스(110)와 부분 반사면(150) 사이에 제공될 수 있다. 간섭 필터는 다양한 필터들의 세트를 포함하기보다는 튜닝가능한 것일 수 있다. 간섭 필터 대신 격자를 이용할 수 있다. 일 실시예에서, 하나 이상의 편광기(170)(도 9 참조)가 관심 편광을 선택하기 위해 소스(110)와 부분 반사면(150) 사이에 제공될 수 있다. 이러한 편광기는 상이한 필터들의 세트를 포함하기 보다는 튜닝가능한 것일 수 있다.
도 7에 도시된 바와 같이, 타겟(T)은 기판(W)이 대물 렌즈(160)의 광축(O)에 수직인 상태로 배치된다. 따라서, 소스(110)로부터의 방사선은 부분 반사면(150)에 의해 반사되고 대물 렌즈(160)를 통해 기판(W) 상에 타겟(T) 상의 조명 스폿(S)(도 8 참조)으로 포커싱된다. 일 실시예에서, 대물 렌즈(160)는 높은 개구수(NA), 바람직하게는 0.9 이상 또는 0.95 이상의 개구수를 갖는다. 액침 계측 장치는 (물과 같은 비교적 높은 굴절률을 갖는 유체를 이용하여) 심지어 1 이상의 개구수를 가질 수 있다.
축(O)에서 벗어난 각도로부터 조명 스폿에 포커싱된 조명 광선(170, 172)은 회절 광선(174, 176)을 발생시킨다. 이들 광선은 타겟(T)을 포함하는 기판의 영역을 커버하는 다수의 평행 광선 중 단지 하나에 불과하다는 점을 기억해야 한다. 조명 스폿 내의 각각의 요소는 계측 장치의 관측 시야 내에 있다. 플레이트(130)의 개구가 한정된 폭(유용한 방사선 양을 허용하는데 필요한 폭)을 가지므로, 입사 광선(170, 172)은 실제로는 일점 범위의 각도를 점유할 것이고, 회절 광선(174, 176)은 다소 확산될(spread out) 것이다. 소형 타겟의 포인트 확산 함수에 따라, 각각의 회절 차수는 도시된 바와 같이 단일의 이상적인 광선이 아니라 일정 범위의 각도에 걸쳐 추가로 확산될 것이다.
기판(W) 상의 타겟(T)에 의해 회절된 적어도 0차는 대물 렌즈(160)에 의해 집광되고, 부분 반사면(150)을 통해 역으로 지향된다. 광학 요소(180)는, 0차 및/또는 1차 회절 빔을 이용하여 센서(190)(예컨대, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(동공면 이미지)을 형성하는 광학 시스템(182)에 회절 빔의 적어도 일부를 제공한다. 일 실시예에서, 특정 회절 차수가 센서(190)에 제공되도록 소정 회절 차수를 필터링하기 위해 개구(186)가 제공된다. 일 실시예에서, 개구(186)는 실질적으로 또는 주로 0차 방사선이 센서(190)에 도달할 수 있게 한다. 일 실시예에서 센서(190)는 2차원 검출기일 수 있으며, 기판 타겟(T)의 2차원 각도 산란 스펙트럼을 측정할 수 있다. 센서(190)는, 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 예를 들어 프레임 당 40 밀리초의 노출 시간을 이용할 수 있다. 센서(190)는 단일 파장(또는 좁은 파장 범위)에서 재지향된 방사선의 세기를 측정할 수 있으며, 복수의 파장에서 개별적으로 세기를 측정하거나 또는 파장 범위에 걸쳐 통합된 세기를 측정하는 데에 사용될 수 있다. 또한, 센서는 횡단 자계 편광 및/또는 횡단 전계 편광을 갖는 방사선의 세기 및/또는 횡단 자계 편광 방사선과 횡단 전계 편광 방사선 간의 위상차를 개별적으로 측정하는 데에 사용될 수 있다.
선택적으로, 광학 요소(180)는 회절 빔의 적어도 일부를 측정 브랜치(200)에 제공하여 센서(230)(예를 들어 CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성하게 된다. 측정 브랜치(200)는 계측 장치를 포커싱하는 것(즉, 기판(W)이 대물 렌즈(160)와 초점이 맞는 상태가 될 수 있게 하는 것) 등의 다양한 보조 기능을 위해서 및/또는 도입부에서 언급된 유형의 암시야 이미징을 위해서 사용될 수 있다.
상이한 크기 및 모양의 격자에 대해 맞춤형 관측 시야를 제공하기 위해, 렌즈 시스템(140) 내에 소스(110)로부터 대물 렌즈(160) 로의 경로 상에 조정 가능한 시야 조리개(300)가 제공된다. 시야 조리개(300)는 개구(302)를 포함하고, 타겟(T)의 평면과 공액 관계인 평면에 위치하여, 조명 스폿이 개구(302)의 이미지가 된다. 이러한 이미지는 배율에 따라 스케일링될 수 있거나, 개구와 조명 스폿은 1 : 1 크기 관계일 수 있다. 조명을 상이한 타입의 측정에 적합화시키기 위해, 개구 플레이트(300)는 원하는 패턴을 제 위치에 놓이게 하기 위해 회전하는 디스크 둘레에 형성된 다수의 개구 패턴을 포함할 수 있다. 이와 달리 또는 이에 부가하여, 동일한 효과를 달성하기 위해 플레이트(300)의 세트가 제공되고 스왑될 수 있다. 부가적으로 또는 대안적으로, 변형 가능한 미러 어레이 또는 투과형 공간 광 변조기와 같은 프로그램가능한 개구 디바이스도 사용될 수 있다.
통상적으로, 타겟은 자신의 주기적 구조체 피처가 Y축에 평행하거나 X축에 평행하게 연장하는 상태로 정렬될 것이다. 그 회절 거동과 관련하여, Y 축에 평행한 방향으로 연장되는 피처를 갖는 주기적 구조체는 X 방향으로 주기성을 갖는 반면, X 축에 평행한 방향으로 연장되는 피처를 갖는 주기적 구조체는 Y 방향으로 주기성을 갖는다. 양 방향으로 성능을 측정하기 위해 두 가지 유형의 피처가 일반적으로 제공된다. 단순화를 위해 라인과 공간에 대해 언급할 것이지만, 주기적 구조체는 라인과 공간으로 형성될 필요는 없다. 또한, 각각의 라인 및/또는 라인 사이의 공간은 더 작은 하위 구조체로 형성된 구조체일 수 있다. 또한, 주기적 구조체는 예를 들어 주기적 구조체가 포스트 및/또는 비아 홀을 포함하는 경우 한번에 2차원으로 주기성을 갖고 형성될 수 있다.
도 8는 도 7의 장치에서 전형적인 타겟(30)의 평면도 및 조명 스폿(S)의 범위를 나타낸다. 주변 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위해, 일 실시예에서, 타겟(T)은 조명 스폿(S)의 폭(예를 들어, 직경)보다 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 달리 말하면, 타겟은 조명에 의해 '언더필(underfilled)'되고, 회절 신호는 타겟 자체의 외부에 있는 제품 피처 및 그 밖의 것들로부터의 어떠한 신호로부터도 실질적으로 구속을 받지 않는다. 이로써 타겟의 수학적 재구성이 단순화되는데, 이는 타겟이 실질적으로 무한하다고 간주될 수 있기 때문이다.
도 9은 계측법을 이용하여 얻어진 측정 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시하고 있다. 검출기(190)에 의해 검출된 방사선은 타겟(30')에 대해 측정된 방사선 분포(108)를 제공한다.
주어진 타겟(30')에 대해, 방사선 분포(208)는 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver)(210)를 이용하여 파라미터화된 수학적 모델(206)로부터 컴퓨팅/시뮬레이션될 수 있다. 파라미터화된 수학적 모델(206)은, 타겟을 구성하면서 타겟과 관련된 다양한 재료의 예시적인 층을 보여준다. 파라미터화된 수학적 모델(206)은 고려되고 있는 타겟 부분의 피처 및 층에 대한 하나 이상의 변수를 포함할 수 있으며, 이는 변경되거나 도출될 수 있다. 도 9에 도시된 바와 같이, 하나 이상의 변수는 하나 이상의 층의 두께(t), 하나 이상의 피처의 폭(w)(예를 들어, CD), 하나 이상의 피처의 높이(h), 하나 이상의 피처의 측벽 각도(α), 및/또는 피처들 간의 상대적인 위치(본원에서는 오버레이로 간주함)를 포함할 수 있다. 비록 도시되지는 않았지만, 하나 이상의 변수는 하나 이상의 층의 굴절률(예를 들어, 실수 또는 복소 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 동안의 레지스트 손실, 하나 이상의 피처의 기반구조(footing), 및/또는 하나 이상의 피처의 라인 엣지 러프니스를 더 포함할 수 있지만, 이에 한정되지는 않는다. 1차원 주기적 구조체 또는 2차원 주기적 구조체의 하나 이상의 파라미터의 하나 이상의 값, 예컨대 폭, 길이, 형상 또는 3차원 프로파일 특성의 값이, 패터닝 프로세스 및/또는 다른 측정 프로세스에 대한 지식으로부터 재구성 프로세스에 입력될 수 있다. 예를 들어, 변수의 초기 값은 측정 중인 타겟에 대한 CD, 피치 등의 값과 같은 하나 이상의 파라미터의 예상되는 값일 수 있다.
몇몇 경우에, 타겟은 유닛 셀의 복수의 인스턴스로 분할될 수 있다. 그러한 경우 타겟의 방사선 분포의 컴퓨팅을 용이하게 하기 위해, 모델(206)은 타겟의 구조체의 유닛 셀을 사용하여 컴퓨팅/시뮬레이션하도록 설계될 수 있으며, 여기서 유닛 셀은 전체 타겟에 걸쳐 인스턴스들로서 반복된다. 따라서, 모델(206)은 하나의 유닛 셀을 사용하여 컴퓨팅하고, 타겟의 방사선 분포를 결정하기 위해 적절한 경계 조건을 사용하여 전체 타겟을 근사하도록 그 결과들을 복사할 수 있다.
재구성 시에 방사선 분포(208)를 컴퓨팅하는 것에 부가하여 또는 대안적으로, 복수의 방사선 분포(208)가 고려 중인 타겟부의 변수의 복수의 변동에 대해 미리 컴퓨팅되어, 재구성 시에 사용되도록 방사선 분포의 라이브러리를 생성하게 될 수 있다.
그 후, 측정된 방사선 분포(108)는 212에서, 컴퓨팅된 방사선 분포(208)(그 근방의 시간에 컴퓨팅되거나 라이브러리로부터 획득됨)와 비교되어 양자 간의 차이를 결정한다. 차이가 있다면, 파라미터화된 수학적 모델(206)의 변수 중 하나 이상의 값이 변경될 수 있고, 새로이 컴퓨팅된 방사선 분포(208)가 획득되어 (예컨대, 라이브러리로부터 획득되거나 계산됨) 측정된 방사선 분포(108)와 비교되는데, 이는 측정된 방사선 분포(108)와 컴퓨팅된 방사선 분포(208) 사이에 충분한 매칭이 존재할 때까지 이루어진다. 그러한 시점에서, 파라미터화된 수학적 모델(206)의 변수의 값은 실제 타겟(30')의 기하학적 형상의 양호한 또는 최상의 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(108)와 컴퓨팅된 방사선 분포(208) 사이의 차이가 허용 임계치 내에 있을 때에, 충분한 매칭이 존재한다.
이러한 계측 장치에서, 측정 동작 중에 기판(W)을 유지하도록 하나 이상의 기판 지지체가 제공될 수 있다. 기판 지지체는 도 1의 기판 테이블(WT)의 형태와 유사하거나 동일할 수 있다. 계측 장치가 리소그래피 장치와 통합된 형태의 예에서, 이는 동일한 기판 테이블일 수도 있다. 측정 광학 시스템에 대해 기판을 정확하게 위치시키도록 개략적 위치설정기 및 미세 위치설정기가 제공될 수 있다. 다양한 센서 및 액츄에이터가, 예를 들어 관심 타겟의 위치를 획득하고, 이를 대물 렌즈 아래의 위치에 배치하기 위해 제공된다. 통상적으로 기판(W)에 걸친 다양한 위치에서 타겟에 대해 많은 측정들이 이루어진다. 기판 지지체는 다양한 타겟을 획득하기 위해 X 및 Y 방향으로 이동될 수 있고, 광학 시스템의 초점에 대한 타겟의 원하는 위치를 얻기 위해 Z 방향으로 이동할 수 있다. 예를 들어, 실제 광학 시스템이 실질적으로 정지 상태로 유지되고 (전형적으로는 X 및 Y 방향에 대한 것이지만, 아마도 Z방향에 대해서도), 오직 기판만 이동하는 경우 마치 대물렌즈가 기판에 대해 다른 위치로 이동되는 것처럼 동작을 생각하고 설명하는 것이 편리하다. 만약 기판과 광학 시스템의 상대적인 위치가 정확하다면, 실제로 이들 중 어느 것이 움직이는지는 원칙적으로 중요하지 않으며, 또는 둘 다 움직이거나, 광학 시스템의 나머지는 정지된 채 광학 시스템 중 일부만이 (예를 들어, Z방향 및/또는 비스듬한 방향으로) 이동하고 기판이 (예를 들어, X 및 Y방향으로, 선택적으로는 Z방향 및/또는 비스듬한 방향으로도) 이동하는 조합이든 무관하다.
일 실시예에서, 타겟의 측정 정확도 및/또는 감도는, 타겟 상에 제공된 방사선 빔의 하나 이상의 속성, 예를 들어 방사선 빔의 파장, 방사선 빔의 편광, 방사선 빔의 세기 분포(즉, 각도 또는 공간적 세기 분포) 등에 따라 달라질 수 있다. 따라서, 예를 들어 타겟의 양호한 측정 정확도 및/또는 감도를 바람직하게 획득하는 특정한 측정 전략이 선택될 수 있다.
적어도 하나의 패턴 전사 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)를 모니터링하기 위해, 패터닝된 기판을 검사하고 패터닝된 기판의 하나 이상의 파라미터를 측정/결정한다. 하나 이상의 파라미터는 예를 들어, 패터닝된 기판 내에 또는 기판 상에 형성된 연속되는 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성되는 피처의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 초점 또는 초점 오차, 광학 리소그래피 단계의 선량 또는 선량 오차, 광학 리소그래피 단계의 광학 수차, 배치 오차(예컨대, 엣지 배치 오차) 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체의 타겟 상에서 및/또는 기판 상에 제공된 전용화된 계측 타겟 상에서 수행된다. 측정은 레지스트의 현상 후, 에칭 전에 수행될 수 있거나 에칭 후에 수행될 수 있다.
일 실시예에서, 측정 프로세스로부터 획득된 파라미터는 측정 프로세스로부터 직접 결정된 파라미터로부터 도출된 파라미터이다. 예를 들어, 측정 파라미터로부터 획득한 도출된 파라미터는 패터닝 프로세스에 대한 엣지 배치 오차이다. 엣지 배치 오차는 패터닝 프로세스에 의해 생성된 구조체의 엣지 위치의 변동을 제공한다. 일 실시예에서, 엣지 배치 오차는 오버레이 값으로부터 도출된다. 일 실시예에서, 엣지 배치 오차는 오버레이 값과 CD 값의 조합으로부터 도출된다. 일 실시예에서, 엣지 배치는 오버레이 값, CD 값 및 국소적 변화(예를 들어, 개별 구조체들의 엣지 러프니스, 형상 비대칭 등)에 대응하는 값의 조합으로부터 도출된다. 일 실시예에서, 엣지 배치 오차는 오버레이와 CD 오차가 조합된 극값(예를 들어, 3 표준 편차, 즉 3σ)을 포함한다. 일 실시예에서, 구조체와 관련하여 패터닝 프로세스에 의해 제공된 패턴의 에칭을 통해 구조체의 일부를 제거하는 것에 의한 구조체의 생성 및 구조체의 "절개"를 수반하는 다중 패터닝 프로세스에서, 엣지 배치 오차는 다음과 같은 형태를 갖는다(또는 다음의 항 중 하나 이상을 포함한다):
Figure pat00001
, 여기서 σ는 표준 편차이며,
Figure pat00002
는 오버레이의 표준 편차에 해당하고,
Figure pat00003
는 패터닝 프로세스에서 생성된 구조체의 임계 치수 균일성(CDU)의 표준 편차에 해당하며,
Figure pat00004
는 (만약에 있다면) 패터닝 프로세스에서 생성된 절개부의 임계 치수 균일성(CDU)의 표준 편차에 해당하고,
Figure pat00005
는 기준 CD에 대한 피치에서의 CD의 차이인 광학 근접 효과(OPE) 및/또는 근접 바이어스 평균(PBA)의 표준 편차에 해당하고,
Figure pat00006
는 라인 엣지 러프니스(LER) 및/또는 국소적인 배치 오차(LPE)의 표준 편차에 해당한다. 위의 공식은 표준 편차에 관한 것이지만 분산 등의 다른 상응하는 통계 방식으로도 공식화될 수 있다.
주사 전자 현미경(SEM), 이미지 기반 측정 툴 및/또는 다양한 전문화된 툴의 이용을 포함하여, 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기술들이 있다. 논의된 바와 같이, 전문화된 계측 툴의 신속하고 비침투적인 형태로는 방사선 빔이 기판의 표면에 있는 타겟으로 지향되고 산란된(회절/반사된) 빔의 특성이 측정되는 툴이 있다. 기판에 의해 산란되는 방사선의 하나 이상의 특성을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이는 회절 기반 계측이라고 할 수 있다. 이러한 회절 기반 계측의 응용예 중 하나는 타겟 내에서의 피처 비대칭의 측정이다. 예를 들어 이것은 오버레이의 척도로 사용될 수 있지만 다른 응용예도 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 상호 반대측 부분들을 비교함으로써 측정될 수 있다(예를 들어, 주기적 격자의 회절 스펙트럼에서 -1 차 및 +1 차를 비교). 이는 전술한 바와 같이, 그리고 예를 들어 미국 특허 출원 공보 US 2006-066855에 기술된 바와 같이 수행될 수 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 회절 기반 계측의 다른 응용예는 타겟 내에서의 피처 폭(CD)의 측정이다. 이러한 기술은 도 6-9에 관해 앞서 설명한 장치 및 방법을 사용할 수 있다.
여기서는 이러한 기술들이 효과적이지만, 타겟 내에서 피처 비대칭(예를 들어, 오버레이, CD 비대칭, 측벽 각도 비대칭 등)을 도출하는 대안적인 측정 기술을 제공하는 것이 바람직하다. 이러한 기술은 특별히 설계된 계측 타겟에 효과적이거나, 아마도 디바이스 패턴 상에서 직접 피처 비대칭을 결정하는 데에 훨씬 더 중요할 수 있다.
도 10을 참조하면, 이러한 측정 기술의 원리는 오버레이 실시예와 관련하여 설명된다. 도 10(a)에는 타겟(T)의 기하학적 대칭 유닛 셀이 도시되어 있다. 타겟(T)은 유닛 셀의 단일한 물리적 인스턴스를 포함할 수 있거나 도 10(c)에 도시된 바와 같이 유닛 셀의 복수의 물리적 인스턴스를 포함할 수도 있다.
타겟(T)은 특별히 설계된 타겟 일 수 있다. 일 실시예에서, 타겟은 스크라이브 레인을 위한 것이다. 일 실시예에서, 타겟은 다이내(in-die) 타겟 일 수 있으며, 즉 타겟은 디바이스 패턴 사이에 (따라서 스크라이브 레인들 사이에) 있다. 일 실시예에서, 타겟은 디바이스 패턴 피처에 상응하는 피처 폭 또는 피치를 가질 수 있다. 예를 들어, 타겟 피처 폭 또는 피치는 디바이스 패턴의 최소 피처 크기 또는 피치의 300 % 이하, 디바이스 패턴의 최소 피처 크기 또는 피치의 200 % 이하, 디바이스 패턴의 최소 피처 크기 또는 피치의 150 % 이하, 또는 디바이스 패턴의 최소 피처 크기 또는 피치의 100 % 이하일 수 있다.
타겟(T)은 디바이스 구조체일 수 있다. 예를 들어, 타겟(T)은 메모리 디바이스의 일부일 수 있다(이는, 이하에서 추가로 논의되는 바와 같이 기하학적으로 대칭이거나 기하학적으로 대칭일 수 있는 하나 이상의 구조체를 종종 갖는다).
일 실시예에서, 타겟(T) 또는 유닛 셀의 물리적 인스턴스는 2400 제곱 미크론 이하의 면적, 2000 제곱 미크론 이하의 면적, 1500 제곱 미크론 이하의 면적, 1000 제곱 미크론 이하의 면적, 400 제곱 미크론 이하의 면적, 200 제곱 미크론 이하, 100 제곱 미크론 이하, 50 제곱 미크론 이하, 25 제곱 미크론 이하, 10 제곱 미크론 이하, 5 제곱 미크론 이하, 1 제곱 미크론 이하, 0.5 제곱 미크론 이하, 또는 0.1 제곱 미크론 이하의 면적을 가질 수 있다. 일 실시예에서, 타겟(T) 또는 유닛 셀의 물리적 인스턴스는 기판의 평면에 평행한 단면 치수가 50 미크론 이하, 30 미크론 이하, 20 미크론 이하, 15 미크론 이하, 10 미크론 이하, 5 미크론 이하, 3 미크론 이하, 1 미크론 이하, 0.5 미크론 이하, 0.2 미크론 이하, 또는 0.1 미크론 이하이다.
일 실시예에서, 타겟(T) 또는 유닛 셀의 물리적 인스턴스는 구조체의 피치가 5 미크론 이하, 2 미크론 이하, 1 미크론 이하, 500nm 이하, 400nm 이하, 300nm 이하, 200nm 이하, 150nm 이하, 100nm 이하, 75nm 이하, 50nm 이하, 32nm 이하, 22nm 이하, 16nm 이하, 10nm 이하, 7 nm 이하 또는 5 nm 이하이다.
일 실시예에서, 타겟(T)은 유닛 셀의 복수의 물리적 인스턴스를 갖는다. 따라서, 타겟(T)은 일반적으로 여기에 나열된 것 중에서 보다 높은 치수를 가질 수 있지만, 유닛 셀의 물리적 인스턴스는 여기에 나열된 것 중에서 보다 낮은 치수를 가질 것이다. 일 실시예에서, 타겟(T)은 유닛 셀의 50,000 개 이상의 물리적 인스턴스, 유닛 셀의 25,000 개 이상의 물리적 인스턴스, 유닛 셀의 15,000 개 이상의 물리적 인스턴스, 유닛 셀의 10,000 개 이상의 물리적 인스턴스, 유닛 셀의 5,000 개 이상의 물리적 인스턴스, 유닛 셀의 1000 개 이상의 물리적 인스턴스, 유닛 셀의 500 개 이상의 물리적 인스턴스, 유닛 셀의 200 개 이상의 물리적 인스턴스, 유닛 셀의 100 개 이상의 물리적 인스턴스, 유닛 셀의 50 개 이상의 물리적 인스턴스, 또는 유닛 셀의 10 개 이상의 물리적 인스턴스를 포함한다.
바람직하게는, 유닛 셀의 물리적 인스턴스 또는 유닛 셀의 복수의 물리적 인스턴스가 계측 장치의 빔 스폿을 집합적으로 채운다. 그러한 경우, 측정된 결과는 본질적으로 유닛 셀의 물리적 인스턴스(또는 그 복수의 인스턴스)로부터의 정보만을 포함한다. 일 실시예에서, 빔 스폿의 단면 폭은 50 미크론 이하, 40 미크론 이하, 30 미크론 이하, 20 미크론 이하, 15 미크론 이하, 10 미크론 이하, 5 미크론 이하, 또는 2 미크론 이하이다.
도 10(a)의 유닛 셀은 기판 상에 물리적으로 인스턴스화되거나 또는 인스턴스화될 적어도 2개의 구조체를 포함한다. 제1 구조체(1000)는 라인을 포함하고 제2 구조체(1005)는 타원형 형태를 포함한다. 물론, 제1 및 제2 구조체(1000, 1005)는 도시된 것과 다른 구조체일 수 있다.
또한, 이러한 예에서는, 기판 상으로의 별개의 전사로 인하여 제1 및 제2 구조체(1000, 1005) 사이에 예상 위치로부터의 상대적인 시프트가 있어 오버레이 오차를 갖게 될 수 있다. 이러한 예에서, 제1 구조체(1000)는 제2 구조체(1005)보다 기판 상의 더 높은 층에 위치한다. 따라서, 일 실시예에서, 제2 구조체(1005)는 패터닝 프로세스의 제1 실행에서 제1 하부 층에 생성될 수 있고, 제1 구조체(1000)는 패터닝 프로세스의 제2 실행에서 제1 하부 층보다 높은 제2 층에 생성될 수 있다. 여기서, 제1 및 제2 구조체(1000, 1005)가 상이한 층에 위치될 필요는 없다. 예를 들어, 이중 패터닝 프로세스(예를 들어, 그 일부로서 에칭 프로세스를 포함함)에서, 제1 및 제2 구조체(1000, 1005)는 본질적으로 단일 패턴을 형성하기 위해 동일한 층에서 생성될 수 있지만, 여전히 동일한 층 내에서의 상대적 배치의 측면에서 "오버레이" 문제가 있을 수 있다. 이러한 단일 층의 예에서, 제1 및 제2 구조체(1000, 1005) 모두는 예를 들어, 제1 구조체(1000)에 대해 도 10(a)에 도시된 바와 같은 라인의 형태를 가질 수 있지만, 제1 패턴 전사 프로세스에 의해 기판 상에 이미 제공되어 있는 제2 구조체(1005)의 라인은 제2 패턴 전사 프로세스에서 제공되는 구조체(1000)의 라인과 인터리빙될 수 있다.
중요하게는, 유닛 셀이 축 또는 점에 대하여 기하학적 대칭을 갖거나 가질 수 있다. 예를 들어, 도 10(a)의 유닛 셀은 예를 들어 축(1010)에 대한 반사 대칭 및 예를 들어 점(1015)에 대한 점/회전 대칭을 갖는다. 마찬가지로, 도 10(c)에서 유닛 셀의 물리적 인스턴스(및 이에 따라 유닛 셀의 물리적 인스턴스들의 조합)는 기하학적 대칭을 가진다는 점을 알 수 있다.
일 실시예에서, 유닛 셀은 특정한 특징(예를 들어, 오버레이)에 대한 기하학적 대칭을 갖는다. 본 명세서의 실시예는 기하학적으로 대칭일 때 0의 오버레이를 갖는 유닛 셀에 초점을 둔다. 그러나, 그 대신 유닛 셀은 특정한 기하학적 비대칭에 대해 0의 오버레이를 가질 수 있다. 그러면, 특정한 기하학적 비대칭이 있을 때 0의 오버레이를 갖는 유닛 셀을 기술하기 위해 적절한 오프셋과 계산이 사용될 것이다. 당연히, 유닛 셀은 특정한 특징 값에 따라 대칭성이 변화(예를 들어, 비대칭이 되거나, 더 비대칭적이 되거나, 또는 비대칭 상황으로부터 대칭적이 될 수 있음)될 수 있어야 한다.
도 10(a)의 예에서, 유닛 셀은 0의 오버레이에 대해 기하학적 대칭을 갖는다(다만 0의 오버레이일 필요는 없음). 이것은 화살표(1020 및 1025)로 표현되며, 제1 구조체(1000)의 라인이 제2 구조체(1005)의 타원형 형태와 균등하게 정렬됨을 알 수 있다(그리고 적어도 부분적인 정렬로도 유닛 셀이 도 10(a)에 도시된 바와 같이 기하학적 대칭을 가질 수 있음). 따라서 이러한 예에서 유닛 셀에 기하학적 대칭이 있으면 오버레이가 없다. 그러나, 오버레이 오차(예를 들어, 0이 아닌 오버레이)가 있으면, 유닛 셀은 더 이상 기하학적 대칭이 아니며, 정의에 의해 타겟은 더 이상 기하학적 대칭이 아니다.
또한, 타겟이 유닛의 복수의 물리적 인스턴스를 포함하는 경우, 유닛 셀의 인스턴스들은 주기적으로 배열된다. 일 실시예에서, 유닛 셀의 인스턴스들은 격자로 배열된다. 일 실시예에서, 주기적 배열은 타겟 내에 기하학적 대칭을 갖는다.
따라서 이러한 기술에서는, 이후에 더 논의되는 바와 같이, 관심 있는 피처 비대칭(예컨대, 0이 아닌 오버레이)을 결정할 수 있도록 피처 비대칭(예컨대, 0이 아닌 오버레이)에 관한 기하학적 대칭의 변화(예를 들어, 기하학적 비대칭으로의 변화, 또는 추가적인 기하학적 비대칭으로의 변화, 또는 기하학적 비대칭에서 기하학적 대칭으로의 변화)를 이용한다.
도 10(a)의 유닛 셀의 물리적 인스턴스를 포함하는 타겟은 예를 들어 도 7의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 타겟에 의해 재지향된 방사선은 예를 들어 검출기(190)에 의해 측정될 수 있다. 일 실시예에서, 재지향된 방사선의 동공, 즉 푸리에 변환 평면이 측정된다. 이러한 동공의 예시적인 측정이 동공 이미지(1030)로 도시되어 있다. 동공 이미지(1030)는 다이아몬드형 형상을 갖지만, 그러한 형상을 가질 필요는 없다. 본원에서 동공 및 동공면이라는 용어는 문맥상 달리 요구되지 않는 한(예를 들어, 특정 광학 시스템의 동공면이 식별 중인 경우) 그 공액면을 포함한다. 동공 이미지(1030)는 실질적으로, 재지향된 방사선의 동공의 광학적 특성(이러한 경우 세기)에 의해 특정되는 이미지이다.
편의상, 본 명세서의 논의는 관심 있는 광학적 특성으로서 세기에 초점을 둘 것이다. 그러나, 본 명세서의 기술은 위상 및/또는 반사율과 같은 하나 이상의 대안적인 또는 추가적인 광학적 특성과 함께 사용될 수 있다.
또한, 편의상, 본 명세서의 논의는 재지향된 방사선의 이미지 및 특히 동공 이미지의 검출 및 처리에 초점을 둔다. 그러나, 재지향된 방사선의 광학적 특성은 이미지와 다른 방식으로 측정 및 표현될 수 있다. 예를 들어, 재지향된 방사선은 하나 이상의 스펙트럼(예를 들어, 파장의 함수로서의 세기)의 관점에서 처리될 수 있다. 따라서, 재지향된 방사선의 검출된 이미지는 재지향된 방사선의 광학적 표현의 일례로서 간주될 수 있다. 따라서, 동공면 이미지의 경우, 동공 이미지는 동공 표현의 일례이다.
또한, 재지향된 방사선은 편광되거나 비-편광될 수 있다. 일 실시예에서, 측정 빔 방사선은 편광된 방사선이다. 일 실시예에서, 측정 빔 방사선은 선형 편광된다.
일 실시예에서, 동공 표현은 주로 또는 실질적으로 타겟으로부터의 재지향된 방사선의 하나의 회절 차수에 대한 것이다. 예를 들어, 방사선은 방사선의 특정 차수의 80 % 이상, 85 % 이상, 90 % 이상, 95 % 이상, 98 % 이상 또는 99 % 이상일 수 있다. 일 실시예에서, 동공 표현은 주로 또는 실질적으로 0차의 재지향된 방사선에 대한 것이다. 이것은, 예를 들어, 타겟의 피치, 측정 방사선의 파장, 및 선택적으로 하나 이상의 다른 조건으로 인해 타겟이 주로 0차를 재지향시킬 때 일어날 수 있다(다만 하나 이상의 더 높은 차수의 방사선이 있을 수 있음). 일 실시예에서, 동공 표현의 대부분은 0차의 재지향된 방사선이다. 일 실시예에서, 동공 표현은 0차 방사선에 대한 것이고 별도로 1차 방사선에 대한 것이며, 이들은 이후 선형적으로 결합될 수 있다(중첩). 도 7의 개구(186)는 방사선의 특정 차수, 예를 들어, 0차를 선택하는 데 사용될 수 있다.
제1 및 제2 구조체(1000, 1005)의 기하학적 대칭 유닛 셀에 대응하는 동공 이미지(1030)를 고려하면, 세기 분포는 동공 이미지 내에서 실질적으로 대칭이라는 것을 알 수 있다(예를 들어, 기하학적 구조체와 동일한 대칭 타입을 가짐). 이것은 동공 이미지(1030)로부터 대칭적인 세기 분포 부분을 제거함으로써 추가로 확인되고, 그 결과가 도출된 동공 이미지(1035)가 된다. 대칭적인 세기 분포 부분을 제거하기 위해, 특정 동공 이미지 픽셀(예를 들어, 하나의 픽셀)은, 이러한 특정 동공 이미지 픽셀에서의 세기로부터 대칭적으로 위치한 동공 이미지 픽셀의 세기를 감산함으로써 대칭적인 세기 분포 부분을 제거할 수 있으며, 그 역도 마찬가지이다. 일 실시예에서, 픽셀은 검출기(예를 들어, 검출기(190))의 픽셀에 대응할 수 있지만, 반드시 그럴 필요는 없다; 예를 들어, 동공 이미지 픽셀은 검출기의 복수의 픽셀일 수 있다. 일 실시예에서, 픽셀 세기가 감산되는 대칭점 또는 대칭축은 유닛 셀의 대칭점 또는 대칭축에 대응한다. 따라서, 예를 들어 동공 이미지(1030)를 고려하면, 예를 들어 도시된 특정 픽셀에서의 세기 Ii로부터, 대칭적으로 위치한 픽셀(즉, 축(1032)에 대해 대칭적으로 위치한 픽셀)로부터의 세기 Ii'를 감산함으로써 대칭적인 세기 분포 부분을 제거할 수 있다. 따라서, 대칭적인 세기 부분이 제거된 특정 픽셀에서의 세기 Si는 Si = Ii - Ii' 이다. 이것은 동공 이미지의 복수의 픽셀, 예를 들어 동공 이미지의 모든 픽셀에 대해 반복될 수 있다. 도출된 동공 이미지(1035)에서 알 수 있는 바와 같이, 대칭적인 유닛 셀에 대응하는 세기 분포는 실질적으로 완전히 대칭이다. 따라서, 대칭적인 유닛 셀 기하구조(및 적용가능한 경우, 유닛 셀의 인스턴스들의 특정 주기성)를 갖는 대칭적인 타겟은 계측 장치에 의해 측정될 때 대칭적인 동공 응답을 일으킨다.
이제 도 10(b)를 참조하면, 오버레이 오차의 일례가 도 10(a)에 도시된 유닛 셀과 관련하여 도시되어 있다. 이 경우, 제1 구조체(1000)는 제2 구조체(1005)에 대하여 X 방향으로 시프트된다. 특히, 제1 구조체(1000)의 라인에 중심을 둔 축(1010)은 도 10(b)에서 우측으로 축(1045)으로 시프트된다. 따라서, X 방향으로 오버레이 오차(1040)가 있다; 즉, X 방향 오버레이 오차이다. 물론, 제2 구조체(1005)가 제1 구조체(1000)에 대해 상대적으로 시프트될 수 있거나 또는 둘 다 서로에 대해 시프트될 수 있다. 어느 경우든 결과는 X 방향 오버레이 오차이다. 그러나, 이러한 유닛 셀 배열로부터 알 수 있는 바와 같이, 제1 구조체(1000)와 제2 구조체(1005) 사이의 Y 방향으로의 순전한 상대적인 시프트는 이러한 유닛 셀의 기하학적 대칭성을 변화시키지 않을 것이다. 그러나, 적절한 기하학적 배열을 이용하면, 아래에서 추가로 논의되는 바와 같이, 두 방향으로의 오버레이 또는 유닛 셀의 부분들의 서로 다른 조합들 사이의 오버레이는 대칭성을 변화시킬 수 있으며, 결정될 수도 있다.
도 10(a)에서 유닛 셀의 공칭 물리적 구성으로부터 유닛 셀의 물리적 구성의 변화의 결과로서(오버레이 오차(1040)로 표현됨), 유닛 셀이 기하학적으로 비대칭이 된다. 이는 상이한 길이의 화살표(1050 및 1055)로 알 수 있으며, 제2 구조체(1005)의 타원형 형태가 제1 구조체(1000)의 라인들에 대해 불균일하게 위치됨을 알 수 있다. 대칭성은, 동공 이미지(1030)의 대칭점 또는 대칭축, 즉 그러한 경우에 이제 축(1034)으로 도시된 축(1032)에 대해 검사된다.
도 10(b)의 유닛 셀의 물리적 인스턴스는 예를 들어 도 7의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 재지향된 방사선의 동공 이미지는 예를 들어 검출기(190)에 의해 기록될 수 있다. 이러한 동공 이미지의 일례가 동공 이미지(1060)로 도시되어 있다. 동공 이미지(1060)는 사실상 세기의 이미지이다. 동공 이미지(1060)는 다이아몬드형 형상을 갖지만, 그러한 형상을 가질 필요는 없다; 이는 원형 또는 임의의 다른 형상일 수 있다. 또한, 동공 이미지(1060)는 동공 이미지(1030)와 실질적으로 동일한 축 또는 좌표 위치를 갖는다. 즉, 이러한 실시예에서는, 도 10(a)의 유닛 셀에서 대칭축(1010) 및 도 10(b)의 유닛 셀에서 동일한 축이 동공 이미지(1030, 1060)의 대칭축(1032)과 정렬된다.
제1 및 제2 구조체(1000, 1005)의 기하학적 비대칭 유닛 셀에 대응하는 동공 이미지(1060)를 고려하면, 시각적으로 세기 분포는 동공 이미지 내에서 실질적으로 대칭인 것처럼 보인다. 그러나, 동공 이미지 내에 비대칭적인 세기 분포 부분이 존재한다. 이러한 비대칭적인 세기 분포 부분은 유닛 셀의 비대칭에 기인한다. 더욱이, 비대칭적인 세기 분포는 동공 이미지에서 대칭적인 세기 분포 부분보다 그 크기가 상당히 낮다.
따라서, 일 실시예에서, 비대칭적인 세기 분포 부분을 보다 효과적으로 분리하기 위해, 대칭적인 세기 분포 부분이 동공 이미지(1060)로부터 제거될 수 있고, 그 결과 도출된 동공 이미지(1065)가 된다. 도출된 동공 이미지(1035)의 획득과 마찬가지로, 특정 동공 이미지 픽셀(예를 들어, 하나의 픽셀)은, 이러한 특정 동공 이미지 픽셀에서의 세기로부터 대칭적으로 위치한 동공 이미지 픽셀의 세기를 감산함으로써 대칭적인 세기 분포 부분을 제거할 수 있고, 그 역도 마찬가지이며, 이는 앞서 살펴본 바와 같다. 따라서, 예를 들어 동공 이미지(1060)를 고려하면, 예를 들어 도시된 특정 픽셀에서의 세기 Ii로부터, 대칭적으로 위치한 픽셀(즉, 축(1032)에 대해 대칭적으로 위치한 픽셀)로부터의 세기 Ii'를 감산함으로써 대칭적인 세기 분포 부분을 제거하여 Si를 산출하게 된다. 이것은 동공 이미지의 복수의 픽셀, 예를 들어 동공 이미지의 모든 픽셀에 대해 반복될 수 있다. 도 10(a) 및 10(b)에는, Si의 전체 도출된 동공 이미지가 설명의 목적으로 도시되어 있다. 알 수 있는 바와 같이, 도 10(a) 또는 10(b)의 도출된 동공 이미지의 절반은 나머지 절반과 동일하다. 따라서, 일 실시예에서, 동공 이미지의 단지 절반만으로부터의 값들이 본 명세서에서 논의되는 추가적인 처리를 위해 사용될 수 있고, 따라서 본 명세서에서 추가적인 처리에 사용되는 도출된 이미지 동공은 동공에 대한 Si의 값들의 단지 절반일 수 있다.
도출된 동공 이미지(1065)에서 알 수 있는 바와 같이, 비대칭적인 유닛 셀의 물리적 인스턴스를 사용하여 측정된 세기 분포는 대칭이 아니다. 영역(1075 및 1080)에서 볼 수 있는 바와 같이, 대칭적인 세기 분포 부분이 제거되면 비대칭적인 세기 분포 부분이 보인다. 위에서 언급된 바와 같이, 전체 도출된 동공 이미지(1065)가 도시되어 있고, 따라서 비대칭적인 세기 분포 부분은 두 절반부에 도시되어 있다(각각의 절반에서 크기 및 분포의 관점에서 서로 동일하더라도).
따라서, 기하학적 영역에서의 비대칭은 동공에서의 비대칭에 대응한다. 따라서, 일 실시예에서, 유닛 셀의 물리적 인스턴스의 기하학적 대칭의 변화를 유발하는 (예컨대, 비대칭을 유발하거나, 추가적인 비대칭을 유발하거나, 또는 비대칭인 유닛 셀이 대칭이 되도록 하는) 물리적 구성 변화에 대응하는 파라미터를 결정하기 위해서, 유닛 셀의 물리적 인스턴스에 있어서 고유의 기하학적 대칭을 갖거나 또는 이러한 대칭이 가능한 주기적 타겟의 광학적 응답을 이용하는 방법이 제공된다. 특히, 일 실시예에서, 계측 장치에 의해 측정된 동공에서의 오버레이 유발 비대칭(또는 그 결여)이 오버레이를 결정하기 위해 이용될 수 있다. 즉, 동공 비대칭은 유닛 셀의 물리적 인스턴스 내에서 따라서 타겟 내에서 오버레이를 측정하는 데 사용된다.
기하학적 영역에서의 비대칭은 또한, 타겟 내의 타겟 층을 통한 에칭 경로에서의 틸트에 의해 유발될 수 있는데, 이러한 틸트는 타겟 층에 패턴을 형성하는 데 사용되는 에칭 프로세스의 오차 및/또는 타겟 층 및/또는 주위 층들에서의 응력에 의해 유발된다. 비대칭은 틸트를 측정하는 데 사용될 수 있는 동공에서 (또는 산란된 방사선의 다른 검출된 표현에서) 대응하는 비대칭을 유발할 것이다. 또한, 틸트에 의해 유발된 동공에서의 비대칭은 오버레이에 의해 유발된 비대칭과 다르므로, 오버레이와는 독립적으로 틸트를 추출하고 틸트와는 독립적으로 오버레이를 추출하는 것이 가능해진다. 측정된 틸트는 아래에서 더 상세히 설명되는 바와 같이 에칭 프로세스 및/또는 다른 패터닝 프로세스를 제어하는 데에 사용될 수 있다.
도 2에 도시된 바와 같이, 일 실시예에서, 에칭 스테이션(222)에 의해 수행되는 에칭 프로세스에서 제어를 위해 에칭 제어기(223)가 제공된다. 에칭 프로세스의 제어는: 기판에 걸쳐 요구되는 열 패턴, 에칭 프로세스에 사용되는 플라즈마의 요구되는 화학적 농도 패턴, 에칭 프로세스 동안 기판을 둘러싸는 요구되는 전기장 패턴, 에칭 프로세스 동안 하나 이상의 전극에 인가되는 전압 중 하나 이상의 에칭 파라미터를 제어하는 것을 포함할 수 있다. 이들 에칭 파라미터 각각은 에칭 방향 및/또는 에칭 레이트 및/또는 다른 에칭 인자에 따라 위치 의존적으로 변화하도록 변경될 수 있다. 에칭 파라미터들 중 적어도 하나를 변화시킴으로써, 에칭 프로세스에 의해 도입된 오차, 예컨대 틸팅된 에칭 경로에 의해 유발된 오차를 줄이거나 제거하도록 에칭 프로세스를 최적화하는 것이 가능하다.
도 11은 에칭 프로세스에 의해 오차가 어떻게 도입될 수 있는지를 나타낸다. 도 11은 기판의 엣지에 오버레이 오차가 어떻게 도입될 수 있는지 구체적으로 보여준다. 그러나, 에칭 프로세스는 기판의 전체에 걸쳐 또는 기판의 엣지 영역 이외의 영역 또는 엣지 영역에 부가하여 오차를 도입할 수 있다. 오버레이 오차는 에칭 프로세스에 의해 비대칭적인 방식으로 도입될 수 있다.
도 11의 좌측 상단에 도시된 바와 같이, 기판(220)은 전형적으로 패턴이 매립되어 있는 하부 층(310)을 포함한다. 하부 층(310)의 위에는 하나 이상의 디바이스 층(320)이 적용된다. 장치(200)에 의해 패턴이 조사되고 현상 장치(212)에 의해 물리적 레지스트 패턴으로 현상되는 포토 레지스트 층(340)이 도포되기 전에 하나 이상의 추가적인 층(330)이 적용될 수 있다. 도시된 바와 같이, 물리적 레지스트 패턴과 하부 층(310)의 패턴 사이에 어떠한 오버레이 오차도 없다.
에칭 스테이션(222)에서 화학 물질, 예를 들어 플라즈마는 포토레지스트 층(340)의 물리적 레지스트 패턴에 있는 갭으로 디바이스 층(320) 및 임의의 추가적인 층(330)을 에칭한다. 도 11의 중심 상단에 도시된 바와 같이, 화살표(350)로 도시된 에칭 방향이 기판(220)의 상면에 완벽하게 수직하지 않으면, 층(320, 330)은 직사각형으로 에칭되지 않고 평행 사변형으로 에칭된다. 평행 사변형은 상단에서 물리적 레지스트 패턴과 위치상으로 대응하지만, 물리적 레지스트 패턴과 하부 층(310)의 패턴 사이에 오버레이 오차가 없더라도, 평행 사변형은 그 하단에서 하부 층(310)의 패턴과 위치상으로 일치하지 않는다. 따라서, 층(330, 340)이 (추가적인 장치(226)에 의해) 제거되어 최종 기판에 이르거나 또는 추가 층의 적용을 위한 기판(232)에 이를 때, 하부 층(310)의 패턴과 디바이스 층(320)에 에칭된 패턴 사이에 오버레이 오차가 도입되며, 이는 도 11의 우측 도면에 도시된 바와 같다.
따라서, 도 11의 우측에 도시된 오버레이는 에칭 방향(350)이 기판(220)의 상면에 완벽하게 수직이라면 존재하지 않을 에칭 스테이션(222) 유발 오버레이 오차이다. 도 11의 중앙 하부 도면은 불완전한 에칭 방향(350)이 어떻게 유발될 수 있는지를 예시한다. 예를 들어 기판(220)의 엣지에서, 에칭 프로세스 동안 사용된 전기장(360)은, 기판(220)의 표면에서 완벽하게 수직하는 방향으로부터(즉, 기판(220)의 중심부에서), 기판(220)의 엣지에서 기판(220)의 상면에 수직한 방향에 대해 각도를 이루도록 변할 수 있다.
전기장의 방향(및 그에 따라 에칭 방향(350))을 변화시키도록 변경될 수 있는 한 가지 에칭 파라미터는 기판(220)의 외측 엣지를 둘러싸는 전극에 인가될 수 있는 전압이다. 이는, 에칭 프로세스(즉, 기판(220)의 외측 엣지에서의 에칭 방향(350))를 변화시켜 에칭 프로세스에 의해 유발된 오차를 줄이도록 변경될 수 있는 에칭 파라미터의 일례이다. 유사한 개념이 예를 들어 US 6,767,844(에칭 동안 기판을 둘러싸는 온도 제어된 초점 링에 관한 발명) 및 US 2006/0016561(기판의 전체 표면 상에 균일한 에칭 속도를 달성하기 위한 엣지 링 부재를 기술함)에 개시되어 있다.
에칭 유발 오버레이 오차의 다른 원인으로는, 에칭 동안 기판(220)의 표면에 걸친 화학적 에칭제의 농도의 국소적 변화, 에칭 동안 기판(220)에 존재하는 열 패턴 등이 있다. 에칭 파라미터들을 변화시킴으로써 기판(220)의 표면에 걸친 에칭 방향의 변동이 감소되거나 제거될 수 있다.
본 개시내용의 실시예는 오버레이 오차와 같은 에칭 유발 오차를 감소시키거나 보상하기 위한 방법을 제공한다.
일 실시예에 따르면, 패터닝 프로세스를 제어하는 방법이 제공된다. 이러한 방법은 도 12에 개략적으로 도시된 것과 같은 상황에 적용되는데, 이는 도 11에 도시된 구성의 단순화된 버전이다. 도 12는 하부층(401), 타겟 층(402) 및 상부 층(403)을 포함하는 3 층 구조체의 작은 영역의 측 단면도이다. 하부 층(401), 타겟 층(402) 및 상부 층(403) 각각은 간략화를 위해 단일의 균일한 층으로 도시되어 있지만, 실제로는 각각 하나 또는 다수의 층을 포함할 수 있다. 하부 층(401)은 하부 층(401)에 형성된 패턴의 일부인 하부 기준 피처(411)를 포함한다. 상부 층(403)은 상부 층(403)에 형성된 패턴의 일부인 상부 기준 피처(413)를 포함한다. 타겟 층(402) 또한 패턴을 포함한다. 이러한 패턴의 피처는 도 11을 참조하여 위에서 논의된 것과 같은 에칭 효과로 인해 틸팅된다. 틸팅된 피처는 타겟 층(402)에 패턴을 생성하기 위해 사용되는 에칭 단계가 따르게 되는 경로를 정하는데, 이는 에칭 경로(420)라 지칭된다. 에칭 경로(420)는 하부 기준 피처(411)를 상부 기준 피처(413)에 연결한다. 에칭 경로(420)는 하부 기준 피처(411)와 상부 기준 피처(413) 사이에 전기적 연결을 제공하는 비아와 같은 최종 제품 피처를 규정할 수 있다. 에칭 프로세스의 오차에 의해 유발되는 에칭 경로(420)에서의 틸트(θ)로 인하여, 하부 기준 피처(411)와 상부 기준 피처(413) 사이의 연결이 불완전해진다. 사실상, 틸트(θ)는 하부 층(401)과 타겟 층(402) 사이의 계면에서
Figure pat00007
의 오버레이 오차(OV)를 유발한다. 틸트(θ)는 타겟 층(402)의 평면에 대한 수직 방향(430)(도 12의 배향에서 연직 방향)으로부터 에칭 경로(420)의 방향의 편차이다.
이러한 방법은 타겟 층(402)을 통한 에칭 경로(420)에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하는 단계를 포함한다. 일 실시예에서, 방법은 틸트의 측정을 수행하는 단계를 포함한다. 일 실시예에서, 틸트의 측정은 틸트의 직접 측정이다. 대안적으로 또는 추가적으로, 틸트의 측정은 틸트의 비파괴 측정이다. 틸트 데이터는 패터닝 프로세스를 제어하기 위해 사용된다. 제어된 패터닝 프로세스는 타겟 층(402) 이후에 (즉, 그보다 나중에) 형성되는 추가적인 층에 패턴을 형성하는 데 사용된다. 제어를 위해 다양한 옵션을 사용할 수 있다. 제어의 선택은 측정된 틸트가 기판에 걸친 위치의 함수로서 얼마나 빨리 변화하는지에 따라 달라질 수 있다. 다른 제어 방법은 공간 분해능 측면에서 다른 능력을 가질 것이다. 간략화를 위해, 다음의 논의는 단일 에칭 경로 및 이러한 에칭 경로의 대응하는 단일 틸트에 관해 언급한다. 실제 응용예에서는 많은 에칭 경로와 잠재적으로 이와 관련된 서로 상이한 틸트가 존재할 것이다. 이러한 방법은 여러 위치에서 틸트를 측정할 수 있다. 이 방법은 예를 들어, 하나의 다이 또는 다수의 다이에 걸친 변동을 나타내는 측정된 틸트의 맵 또는 지문을 제공할 수 있다.
틸트의 측정을 수행하는 특히 효율적인 방법은, 도 10(a)-10(c)를 참조하여 위에서 논의된 바와 같이, 검출된 동공 표현(또는 산란된 방사선의 다른 검출된 표현)에서의 비대칭을 이용하는 것이다. 따라서, 틸트의 측정은 방사선으로 구조체를 조명하고 구조체에 의해 재지향된(산란된) 방사선을 검출하는 것을 포함할 수 있다. 검출된 방사선은 주로 0차 방사선을 포함할 수 있다. 도 10(a) 내지 10(c)를 참조하여 위에서 논의된 방법은, 틸트 정보 또는 오버레이 정보와 같은 비대칭 정보가 0차 방사선으로부터 도출될 수 있게 한다. 0차 방사선의 사용은 작은 특성 길이 스케일 또는 주기를 갖는 구조체 상에서 측정이 이루어질 수 있게 하여, 디바이스 구조체 또는 디바이스 구조체를 포함하는 기판 다이 내에 위치된 비-디바이스 구조체(즉, 디바이스 구조체와 동일한 정도의 크기인 특성 길이 스케일 또는 주기를 갖는 비-디바이스 구조체)에서 틸트를 측정할 수 있게 된다. 일 실시예에서, 틸트는 검출된 동공 표현과 같은 구조체에 의해 재지향된 방사선의 검출된 표현의 비대칭 성분, 예를 들어 동공 이미지에서의 세기 분포의 비대칭으로부터 추출된다. 비대칭은 거울 대칭 평면에 대한 것일 수 있다. 비대칭은 틸트와 상관되어 틸트가 추출될 수 있게 한다. 이러한 접근법은 또한 틸트 및/또는 오버레이와 동시에 층의 두께가 추출될 수 있게 한다. 층의 두께는 측정된 틸트를 보정하기 위해 후속적으로 형성된 층에 적용될 보정의 크기를 결정하기 위해 필요할 수 있다.
일 부류의 실시예에서, 측정된 틸트는, 틸트가 측정되었지만 구조체의 동일한 인스턴스 상에 있는 타겟 층(402) 이후에 형성되는 층에 패턴을 형성하는 데 사용되는 패터닝 프로세스를 제어하기 위해 사용된다. 이러한 시나리오가 도 13에 개략적으로 도시되어 있다. 이러한 예에서, 패터닝 프로세스는 상부 층(403)의 패턴을 하부 층(401)의 패턴에 대해 좌측으로 시프트시키도록 조정(제어)된다. 이러한 시프트는 다른 층들에 생성된 패턴들 간에 공칭 오버레이를 변화시키는 제어의 일례이다. 이러한 유형의 제어는 예를 들어 리소그래피 패턴 전사 단계를 제어함으로써 구현될 수 있다. 제어의 결과, 기준 피처(413)의 시프트의 결과로서 좌측으로 시프트된 틸팅된 에칭 경로(420)가 하부 기준 피처(411)와 더 양호하게 정렬된다.
대안적인 부류의 실시예에서, 측정된 틸트는, 구조체의 후속적으로 형성되는 인스턴스에서 또는 이러한 인스턴스 상에서 (예컨대, 상이한 기판 또는 웨이퍼 상에서) 층에 패턴을 형성하는 데 사용되는 패터닝 프로세스를 제어하기 위해 사용된다. 도 14 및 15는 이러한 제어의 예를 도시한다.
도 14의 예에서, 패터닝 프로세스는 하부 층(403)의 패턴을 상부 층(401)에 대해 우측으로 시프트시키도록 조정(제어)된다. 이러한 시프트는 상이한 층들(이 경우에는 하부 층(401) 및 상부 층(403))에 생성된 패턴들 사이에서 공칭 오버레이를 변화시키는 제어의 추가적인 예이다. 제어의 결과로서 틸팅된 에칭 경로(420)가 하부 기준 피처(411)와 더 양호하게 정렬된다.
따라서 제어 중인 패터닝 프로세스는 리소그래피 패턴 전사 단계를 포함할 수 있다. 리소그래피 패턴 전사 단계는, 예를 들어 도 1을 참조하여 전술한 바와 같이, 기판에 전사될 패턴을 규정하도록 방사선 빔의 단면에 패턴을 부여하기 위해 패터닝 디바이스(MA)를 사용할 수 있다. 이러한 유형의 실시예에서, 측정된 틸트(θ)는 리소그래피 패턴 전사 단계를 제어하기 위해 사용된다. 제어는 (도 13에 도시된 바와 같이) 구조체 상에서 타겟 층 이후에 형성된 층(303)에 패턴을 형성하는 동안 적용될 수 있다. 대안적으로 또는 추가적으로, 리소그래피 패턴 전사 단계가 구조체의 후속적으로 형성된 인스턴스에 적용될 때, 구조체의 후속적으로 형성된 인스턴스의 타겟 층(402) 이전에 형성된 층(401)에 패턴을 형성하는 동안에 또는 구조체의 후속적으로 형성된 인스턴스의 타겟 층(402) 이후에 형성된 층(403)에 패턴을 형성하는 동안에 제어가 적용될 수 있다.
일 실시예에서, 상기 리소그래피 패턴 전사 단계의 제어는 패터닝 디바이스(MA)를 수정하는 것을 포함한다. 완전히 새로운 패터닝 디바이스(MA)를 재생성하지 않고도 패터닝 디바이스(MA)를 수정할 수 있다. 일 실시예에서, 이러한 수정은 패터닝 디바이스(MA) 내에 국소적인 응력을 유도하기 위해 패터닝 디바이스(MA)를 선택적으로 가열함으로써 구현된다. 패터닝 디바이스(MA)가 어떻게 수정될 수 있는지에 관한 추가적인 세부사항은 WO 2017/067765A1에 제공되어 있으며, 이의 전체 내용은 원용에 의해 본 명세서에 포함된다. 패터닝 디바이스(MA)는 다이 내의 위치의 함수로서 빠르게 변화하는 측정된 틸트(즉, 비교적 높은 공간 주파수의 틸트 변동)를 보정하도록 수정될 수 있다.
위에서 언급한 바와 같이, 리소그래피 패턴 전사 단계의 제어는 리소그래피 패턴 전사 단계에 의해 층에 형성된 패턴과 다른 층에 있는 패턴 사이의 공칭 오버레이를 변화시키는 단계를 포함할 수 있다. 패터닝 디바이스(MA)를 수정하는 것에 의해 제어가 구현될 때, 공칭 오버레이의 변화는, 하나의 다이 내부를 포함하여, 기판에 걸친 위치의 함수로서 변동되어, 측정된 틸트의 위치의 함수로서 변동을 따르도록 이루어질 수 있다. 대안적으로 또는 추가적으로, 공칭 오버레이의 변화의 전부 또는 변화에 대한 기여분이 각각의 다이에 대해 균일하게 또는 심지어 전체 기판에 걸쳐 균등하게 적용될 수 있다. 오버레이에서 균일한 시프트를 적용하도록 리소그래피 패턴 전사 단계를 수정하는 기술은 당업계에 잘 알려져있다. 측정된 틸트를 보정하기 위해 오버레이에서 균일한 시프트를 적용하는 것은 기판에 걸친 위치에 따라 상대적으로 느리게 변화하는 틸트에 대한 기여분을 보정하는 데에 효과적일 수 있다. 오버레이에서 적용된 균일한 시프트는 다이마다 다를 수 있으므로, 각각의 다이는 그 다이에 대해 최적의 오버레이 시프트를 받게 된다.
대안적으로 또는 추가적으로, 리소그래피 패턴 전사 단계의 제어는: 방사선 빔에 의해 적용되는 선량, 방사선 빔의 초점, 방사선 빔에 적용되는 하나 이상의 광학 수차 중 하나 이상을 수정하는 것을 포함한다. 예를 들어, 틸팅된 에칭 경로의 존재 하에서 디바이스의 다른 층들 사이에서 신뢰할 수 있는 전기적 연결이 이루어질 가능성을 높이도록 선택된 피처들을 더 크게 만들기 위해 선량의 수정이 이용될 수 있다.
다른 실시예에서, 제어될 패터닝 프로세스는 재료 층이 증착되는 증착 단계를 포함한다. 증착은 예를 들어 도 2를 참조하여 전술한 코팅 장치(208)를 사용하여 구현될 수 있다. 따라서 패터닝 프로세스의 제어는 코팅 장치(208)의 제어를 포함할 수 있다. 일 실시예에서, 측정된 틸트는 구조체 상에 타겟 층(402) 이후에 형성된 층(403)을 형성하는 동안 증착 단계를 제어하는 데 사용된다. 대안적으로 또는 추가적으로, 증착 단계가 구조체의 후속적으로 형성된 인스턴스에 적용될 때, 구조체의 후속적으로 형성된 인스턴스의 타겟 층(402) 이전에 형성된 층(401)을 형성하는 동안에, 구조체의 후속적으로 형성된 인스턴스의 타겟 층(402)을 형성하는 동안에, 또는 구조체의 후속적으로 형성된 인스턴스의 타겟 층(402) 이후에 형성된 층(403)을 형성하는 동안에 제어가 적용될 수 있다. 일 실시예에서, 증착 프로세스의 제어는 증착 단계에 의해 증착된 재료의 층에서 응력 분포를 제어하는 단계를 포함한다. 이는 예를 들어 증착 동안 재료의 온도, 재료가 증착되는 속도, 또는 응력 분포에 영향을 미칠 수 있는 다른 요인을 제어함으로써 달성될 수 있다. 일 실시예에서, 증착 프로세스의 제어는 대안적으로 또는 추가적으로, 증착 단계에 의해 증착되는 재료의 층에서, 높이 분포, 밀도 분포, 조성 분포 중 하나 이상을 제어하는 것을 포함한다.
도 15의 예에서, 패터닝 프로세스는 에칭 경로(420)의 틸트 자체를 변화시키도록 조정(제어)된다. 도시된 예에서, 틸트는 완전히 제거된다. 틸트의 제거(또는 감소)는 하부 기준 피처(411)와 에칭 경로(420)의 더 양호한 정렬로 이어진다. 틸트는, 에칭 경로(420)를 형성하기 위해 사용된 에칭 프로세스를 제어하거나 타겟 층(402) 또는 타겟 층(402)을 둘러싸는 층들에서 응력 분포를 수정함으로써 변화될 수 있다.
일 실시예에서, 측정된 틸트는 기판 상에서 구조체의 후속적으로 형성된 인스턴스에 적용되는 에칭 프로세스를 제어하기 위해 사용된다. 이러한 제어는, 구조체의 후속적으로 형성된 인스턴스에서 타겟 층(402) 이전에 형성된 층(401)에 패턴을 형성하는 동안에, 구조체의 후속적으로 형성된 인스턴스에서 타겟 층(402)에 패턴을 형성하는 동안에, 또는 구조체의 후속적으로 형성된 인스턴스에서 타겟 층(402) 이후에 형성된 층(403)에 패턴을 형성하는 동안에 적용될 수 있다. 일 실시예에서, 측정된 틸트는 에칭 프로세스의 하나 이상의 에칭 파라미터를 제어하기 위해 사용된다. 일 실시예에서, 도 2를 참조하여 전술한 바와 같이, 에칭 프로세스는 에칭 스테이션(222)에 의해 수행되고 제어는 제어 신호를 에칭 제어기(223)에 전달함으로써 적용된다. 일 실시예에서, 에칭 프로세스의 제어는: 기판에 걸친 열 패턴, 상기 에칭 프로세스에 사용되는 플라즈마의 화학적 농도 패턴, 에칭 프로세스 동안 기판을 둘러싸는 전기장 패턴, 에칭 프로세스 동안 하나 이상의 전극에 인가되는 전압 중 하나 이상을 제어하는 것을 포함한다.
도 2에 도시되고 전술한 프로세스 흐름에서, 본 개시내용의 실시예들은, 에칭 스테이션(222)으로부터 출력되는 기판 상에서(경로 420), 에칭 후 세정 및/또는 어닐링 스테이션(224)로부터 출력되는 기판 상에서(경로 421), 및/또는 이보다 하류에 있는 처리 장치(226)으로부터 출력되는 기판 상에서(경로 422), 틸트의 측정을 수행함으로써 구현될 수 있다.
본 개시내용의 실시예에서, 틸트는 직접 측정된다. 따라서 틸트는 다른 구조체들 상에서 서로 상이한 시간에 수행한 측정을 비교할 필요 없이 결정된다. 예를 들어, 이러한 틸트의 측정은, 틸팅된 에칭 경로를 생성하는 타겟 층의 에칭 이전에 타겟 층 상에서 수행된 계측 측정과, 기판의 후속 처리에 영향을 미치도록 틸팅된 에칭 경로가 사용된 후에 또는 틸팅된 에칭 경로가 존재할 때 타겟 층 상에서 수행된 계측 측정 사이에 비교가 이루어질 필요가 없다. 이러한 틸트의 측정은 틸팅된 에칭 경로가 형성되기 전후에 이루어진 오버레이 측정의 비교를 필요로 하지 않는다.
틸트의 직접적인 측정은 다수의 에칭 단계가 오버레이 등의 오차에 누적 적으로 기여하는 경우에 특히 유리하다. 모든 다수의 에칭 단계 이전에 획득된 오버레이 값과 모든 다수의 에칭 단계 이후에 획득된 오버레이 값의 비교에 의존하는 대안적인 접근법은 에칭 단계 중 하나에서 틸트에 의해 유발된 오버레이와 또 다른 에칭 단계에 의해 유발된 오버레이를 구별할 수 없을 것이다. 따라서, 그러한 접근법을 사용하여 획득된, 에칭 단계들 중 하나에 의해 형성된 구조체들에서의 틸트의 임의의 예측은, 틸트가 나머지 에칭 단계들 중 하나에서는 상이할 수 있는 가능성 때문에 오차에 취약할 것이다. 예시적인 시나리오가 도 16-20을 참조하여 아래에서 논의된다.
도 16은 다중 에칭 프로세스 이전의 예시적인 구조체를 도시한다. 구조체는 하부 층(401), 타겟 층(402), 상부 층(403) 및 패터닝된 층(404)을 포함한다. 패터닝된 층(404)은 제1 에칭 단계에서 마스크로서 작용한다. 제1 에칭 단계는 도 17에 도시된 바와 같이 패턴을 상부 층(403)에 에칭한다. 이러한 실시예에서, 에칭된 상부 층은 하드 마스크 층(423)으로 지칭될 수 있다. 제1 에칭 단계는 하드 마스크 층(423)에서 틸팅된 에칭 경로를 형성한다.
제2 에칭 단계에서, 타겟 층(402)으로 패턴이 에칭된다. 하드 마스크 층(423) 내의 패턴인 상부 층(403)으로 에칭된 패턴은 타겟 층(403)으로 에칭되는 패턴을 규정한다. 따라서 하드 마스크 층(423)은 제2 에칭 단계에서 마스크로서 작용한다. 도 18은 제2 에칭 단계 완료 후의 구조체를 도시한다. 제2 에칭 단계는 타겟 층(402)에서 틸팅된 에칭 경로를 형성한다. 제1 에칭 단계에서 사용된 에칭 파라미터는 제2 에칭 단계에서 사용되는 에칭 파라미터와 상이할 수 있다. 따라서, 제1 에칭 단계에 의해 생성된 에칭 경로의 틸트는 제2 에칭 단계에 의해 생성된 에칭 경로의 틸트와 상이할 수 있다.
오버레이 측정은 도 16의 구조체와 그림 18의 구조체에 대해 수행될 수 있다. 도 16의 구조체의 오버레이 측정은 층들(404 및 401) 사이의 오버레이 오차를 산출할 수 있다. 도 18의 구조체의 오버레이 측정은 층(401)과 층(402)의 무게 중심 사이의 오버레이 오차를 산출할 수 있다. 2개의 측정을 조합하면 층(404)과 층(402)의 무게 중심 사이의 오버레이 오차를 산출하게 된다. 도 19를 참조하면, 이러한 측정은, 층(423)의 틸트가 층(402)의 틸트와 반대 방향이기 때문에 (따라서 틸트들이 서로를 보상하게 됨), 층(404)에 대한 층(402)의 시프트(440)가 층(402)의 틸트로 인해 실제로 발생된 것보다 더 작다는 점을 시사할 것이다. 이러한 결과에 기초하여 제2 에칭 단계를 제어하게 되면, 도 20에 나타난 우측 경로(441)에 도시된 바와 같이, 틸트가 타겟 층(402)에 남아 있게 될 것이다.
본 개시내용의 방법이 타겟 층(402)에서 틸트를 직접 측정하기 위해 적용된다면, 도 20에 나타난 좌측 경로(442)에 도시된 바와 같이, 이러한 오차를 피하고 타겟 층(402)에서의 틸트가 보다 정확히 줄어들 수 있다.
일 실시예에서, 방법은 타겟 층(402)을 통한 에칭 경로에서의 틸트의 측정과 독립적으로 구조체의 상이한 층들에서 패턴들 사이의 오버레이를 측정하는 단계를 더 포함한다. 도 16 내지 도 20을 참조하여 위에서 논의된 것과 같은 다수의 에칭 단계를 포함하는 패터닝 프로세스와 관련하여, 오버레이의 측정은 타겟 층(402)에서 측정된 틸트 및 관련된 층들에 대한 기하학적 정보(예를 들면, 두께)와 조합하여 사용되어, 타겟 층 이외의 층에서(예를 들어, 도 16 내지 20의 예에서는 하드 마스크 층(423)에서) 에칭 경로의 틸트를 추론할 수 있다. 추론된 틸트는 구조체의 후속적으로 형성된 인스턴스를 형성하는 동안 타겟 층 이외의 상기 층에 패턴을 형성하는 데 사용되는 패터닝 프로세스를 제어하기 위해 사용된다. 상기 예에서, 제1 에칭 프로세스에 의해 유발된 틸트가 추론될 수 있다. 추론된 틸트는, 타겟 층(402)에서의 틸트와 관련하여 위에서 논의된 임의의 방식으로 패터닝 프로세스를 제어하기 위해 사용될 수 있다(예를 들어, 틸트를 감소시키도록). 대안적으로 또는 추가적으로, 타겟 층(402)과 다른 층 사이의 공칭 오버레이는 타겟 층(402) 이외의 층에서의 추론된 틸트를 보상하기 위해 변화된다.
도 21 를 참조하면, 컴퓨터 시스템(3200)이 도시된다. 컴퓨터 시스템(3200)은 정보를 통신하기 위한 버스(3202) 또는 다른 통신 매커니즘과, 정보를 처리하기 위하여 버스(3202)와 커플링된 프로세서(3204)(또는 여러 프로세서들(3204 및 3205)을 포함한다. 컴퓨터 시스템(3200)은 프로세서(3204)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(3206)를 더 포함한다. 메인 메모리(3206)는 프로세서(3204)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(3200)은 프로세서(3204)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링된 판독 전용 메모리(ROM)(3208) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 정보 및 명령을 저장하기 위하여 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(3210)가 제공되고 버스(3202)에 커플링된다.
컴퓨터 시스템(3200)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(3202)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(3212)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(3214)는 정보 및 커맨드 셀렉션을 프로세서(3204)로 통신하기 위하여 버스(3202)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(3204)로 통신하고 디스플레이(3212) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(3216)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제1 축(예를 들어, x)과 제2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
컴퓨터 시스템(3200)은 프로세서(3204)가 메인 메모리(3206) 내에 저장된 하나 이상의 명령 중 하나 이상의 시퀀스를 실행하는 것에 응답하여, 여기서는 처리 유닛으로서의 기능을 수행하기에 적합할 수 있다. 이러한 명령들은 스토리지 디바이스(3210)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(3206)로 독출될 수 있다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하면, 프로세서(3204)는 본 명세서에서 설명되는 프로세스를 수행하게 된다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 배열로 되어 있는 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(3204)에 명령을 제공하는 데에 관여하는 임의의 유형의(tangible) 매체를 지칭한다. 이러한 매체는 비-휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 매체는 예를 들어, 스토리지 디바이스(3210)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 매체는 메인 메모리(3206)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(3202)를 포함하는 와이어를 포함하는 동축 케이블, 구리 배선, 및 광섬유(fiber optics)를 포함한다. 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 매체의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이, 실행을 위해 하나 이상의 명령의 하나 이상의 시퀀스를 프로세서(3204)에 전달하는 것에 관여할 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(3200)에 국소적인 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(3202)에 커플링된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고, 이러한 데이터를 버스(3202) 상에 배치할 수 있다. 버스(3202)는 데이터를 메인 메모리(3206)로 전달하며, 프로세서(3204)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(3206)에 의해 수신된 명령들은 프로세서(3204)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(3210)에 저장될 수 있다.
컴퓨터 시스템(3200)은 버스(3202)에 커플링된 통신 인터페이스(3218)를 더 포함할 수 있다. 통신 인터페이스(3218)는 로컬 네트워크(3222)에 연결된 네트워크 링크(3220)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(3218)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(3218)는 호환가능한 근거리 네트워크(LAN)에 데이터 통신 연결을 제공하기 위한 LAN 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(3218)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.
네트워크 링크(3220)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(3220)는 로컬 네트워크(3222)를 통해 호스트 컴퓨터(3224) 또는 인터넷 서비스 제공자(ISP)(3226)에 의하여 운영되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(3226)는, 현재 일반적으로 "인터넷(3228)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(3222)와 인터넷(3228) 양자 모두는 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(3200)으로의 또는 그로부터의 디지털 데이터를 전달하는, 다양한 네트워크들을 통과하는 신호와 통신 인터페이스(3218)를 통과하는 네트워크 링크(3220) 상의 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(3200)은 네트워크(들), 네트워크 링크(3220), 및 통신 인터페이스(3218)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(3230)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(3228), ISP(3226), 로컬 네트워크(3222) 및 통신 인터페이스(3218)를 통해 송신할 수 있다. 하나 이상의 실시예에 따르면, 이러한 하나의 다운로드된 애플리케이션은, 예를 들어 본 명세서에 개시된 방법을 제공한다. 수신된 코드는 수신될 때 프로세서(3204)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(3210), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(3200)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
예를 들어, 본 발명의 실시예는 위에서 개시된 것과 같은 방법을 기술하는 기계 판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 내부에 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다. 더 나아가, 기계 판독 가능한 명령은 두 개 이상의 컴퓨터 프로그램에서 구현될 수 있다. 두 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 매체에 저장될 수 있다.
본원에서 설명된 임의의 제어기는, 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 컴포넌트 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독될 때 각각 또는 조합하여 동작 가능할 수 있다. 제어기는 신호를 수신, 처리 및 송신하기 위한 임의의 적절한 구성을 각각 또는 조합하여 가질 수 있다. 하나 이상의 프로세서는 적어도 하나의 제어기와 통신하도록 구성된다. 예를 들어, 각각의 제어기는 전술한 방법들을 위한 기계 판독가능한 명령들을 포함하는 컴퓨터 프로그램들을 실행하기 위한 하나 이상의 프로세서들을 포함할 수 있다. 제어기는 그러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체 및/또는 그러한 매체를 수신하기 위한 하드웨어를 포함할 수 있다. 따라서 제어기는 하나 이상의 컴퓨터 프로그램의 기계 판독가능한 명령에 따라 동작할 수 있다.
본 명세서에서는, 집적회로(IC)의 제조에 있어서 계측 장치의 사용에 대해 특정하게 언급하였지만, 본원에서 설명된 계측 장치 및 프로세스는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 기타 응용예들을 가질 수 있음을 이해하여야 한다. 당업자라면, 이러한 다른 응용예와 관련하여, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예컨대 트랙(전형적으로 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴, 및/또는 하나 이상의 다양한 기타 툴에서 처리될 수 있다. 적용가능한 경우, 이러한 기판 처리 툴과 여타 기판 처리 툴에 본 명세서의 개시 내용이 적용될 수 있다. 또한, 예컨대 다층 IC를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수도 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예를 이용하는 것에 대해 특정하게 언급하였지만, 본 발명은 다른 응용예, 예를 들어 나노임프린트 리소그래피에서도 이용될 수 있고, 문맥이 허용하는 한 광학 리소그래피로 제한되지 않음이 인식될 것이다. 나노 임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿 또는 몰드이다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV)선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV)선(예컨대, 5-20 nm 범위의 파장을 가짐)을 포함하는 모든 유형의 전자기 방사선 뿐만 아니라 이온 빔 또는 전자 빔 등의 입자 빔을 포괄한다.
문맥이 허용하는 경우, "렌즈"라는 용어는 굴절형, 반사형, 자기형, 전자기형 및 정전형 광학 컴포넌트를 포함하는 다양한 타입의 광학 컴포넌트 중 어느 하나 또는 그 조합을 지칭할 수도 있다.
본 명세서에서, 임계치를 넘어가거나 통과한다는 것은, 특정 값 미만 또는 특정한 값 이하인 값을 가지는 어떤 것, 특정 값보다 높거나 특정 값 이상인 어떤 것, 예를 들어 파라미터에 기초하여 다른 것보다 높거나 낮게 랭킹된(예를 들어 정렬을 통해) 어떤 것 등을 포함할 수 있다.
본 명세서에서 오차의 정정함(correcting) 또는 정정(correction)은, 오차를 제거하거나 오차를 공차 범위 내로 감소시키는 것을 포함한다.
"최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 리소그래피 또는 패터닝 처리의 결과 및/또는 프로세스가 더 바람직한 특성, 예컨대 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같이 더 양호한 특성을 가지도록 리소그래피 장치, 패터닝 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 변수에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 변수에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.
시스템의 최적화 프로세스에서, 시스템 또는 프로세스의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최적화(예를 들어 최소화 또는 최대화)하는 시스템 또는 프로세스의 파라미터들(설계 변수)의 세트를 찾는 과정이 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템 또는 프로세스의 특정한 특성(평가 포인트)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중치가 부여된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차들 중 최대값(예를 들어 최악의 편차)일 수도 있다. 본 명세서에서 "평가 포인트"라는 용어는 시스템 또는 프로세스의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템 또는 프로세스의 설계 변수는 유한 범위로 한정되거나 및/또는 시스템의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 장치 또는 디바이스 제조 프로세스의 경우에, 이러한 제약들은 흔히 튜닝가능한 범위, 및/또는 패터닝 디바이스 제조성(manufacturability) 설계 규칙과 같은 하드웨어의 물리적 성질 및 특성과 연관되며, 평가 포인트는 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
이상에서 발명의 특정 실시예들이 설명되었지만, 이러한 개시내용은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 위에서 개시된 것과 같은 방법을 기술하는 기계 판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 내부에 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
블록도에서, 예시된 컴포넌트들은 이산적인 기능 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능이 도시된 바와 같이 조직화된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능은 도면에 도시된 것과 달리 조직화된 소프트웨어 또는 하드웨어 모듈에 의하여 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호혼합, 공동결합, 복제, 분리, 분산(예를 들어 데이터 센터 내에서 또는 지리적으로), 또는 다른 식으로 조직화될 수 있다. 본 명세서에서 설명된 기능은 유형의(tangible) 비-일시적 기계 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제3자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공될 수 있다.
명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "처리" "컴퓨팅" "계산" "결정" 등과 같은 용어를 활용한 설명은 또는 전용 컴퓨터 또는 유사한 전용 전자 처리/컴퓨팅 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 점이 이해된다.
독자는 본 발명이 여러 가지의 발명을 기술한다는 것을 이해해야 한다. 그와 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 출원인은 이러한 발명들을 단일 문서 내에 그룹화하였다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안된다. 일부 경우에, 실시예들은 본 명세서에 지적된 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 집합만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약으로 인해, 본 명세서에 개시된 일부 발명은 현재로서는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 보정함으로써 청구될 수 있다. 이와 마찬가지로 공간 제약으로 인해, 본 명세서의 요약서 및 발명의 요약 섹션은 이러한 모든 발명 또는 이러한 발명의 모든 양태에 대한 광범위한 나열을 포함하고 있는 것으로 간주되어서는 안 된다.
본 발명의 추가 실시예는 아래의 번호가 매겨진 실시예의 목록으로 제시된다:
1. 패터닝 프로세스를 제어하는 방법으로서,
기판 상의 구조체의 타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하는 단계 - 상기 틸트는 상기 타겟 층의 평면에 대한 수직 방향으로부터 상기 에칭 경로의 방향의 편차를 나타냄 -; 및
상기 틸트 데이터를 사용하여 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스를 제어하는 단계를 포함하는, 패터닝 프로세스를 제어하는 방법.
2. 제1실시예에 있어서, 상기 패터닝 프로세스는 에칭 프로세스를 포함하고 상기 틸트 데이터는 상기 에칭 프로세스를 제어하는 데에 사용되는, 패터닝 프로세스를 제어하는 방법.
3. 제2실시예에 있어서, 상기 에칭 프로세스의 제어는 상기 타겟 층 위에 있는 층에서 에칭 프로세스에 의한 패턴의 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
4. 제2실시예 또는 제3실시예에 있어서, 상기 에칭 프로세스의 제어는, 상기 구조체의 후속적으로 형성되는 인스턴스에 있는 층 또는 후속적으로 형성되는 인스턴스 상에 있는 층에서 에칭 프로세스에 의한 패턴의 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
5. 제2실시예 내지 제4실시예 중 어느 한 실시예에 있어서, 상기 에칭 프로세스의 제어는: 기판에 걸친 열 패턴, 상기 에칭 프로세스에 사용되는 플라즈마의 화학적 농도 패턴, 상기 에칭 프로세스 동안 기판을 둘러싸는 전기장 패턴, 상기 에칭 프로세스 동안 하나 이상의 전극에 인가되는 전압 중 하나 이상을 제어하는 것을 포함하는, 패터닝 프로세스를 제어하는 방법.
6. 제1실시예 내지 제5실시예 중 어느 한 실시예에 있어서,
상기 패터닝 프로세스는, 리소그래피 패턴 전사 단계에 의해 전사될 패턴을 규정하기 위해 패터닝 디바이스가 방사선 빔의 단면에 패턴을 부여하는 데에 사용되는 리소그래피 패턴 전사 단계를 포함하고;
상기 틸트 데이터는 상기 리소그래피 패턴 전사 단계를 제어하기 위해 사용되는, 패터닝 프로세스를 제어하는 방법.
7. 제6실시예에 있어서, 상기 리소그래피 패턴 전사 단계의 제어는 상기 타겟 층 위에 있는 층에서 리소그래피 패턴 전사 단계에 의한 패턴의 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
8. 제6실시예 또는 제7실시예에 있어서, 상기 리소그래피 패턴 전사 단계의 제어는, 상기 구조체의 후속적으로 형성되는 인스턴스에 있는 층 또는 후속적으로 형성되는 인스턴스 상에 있는 층에서 리소그래피 패턴 전사 단계에 의한 패턴의 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
9. 제6실시예 내지 제8실시예 중 어느 한 실시예에 있어서, 상기 리소그래피 패턴 전사 단계의 제어는 패터닝 디바이스를 수정하는 것을 포함하는, 패터닝 프로세스를 제어하는 방법.
10. 제6실시예 내지 제9실시예 중 어느 한 실시예에 있어서, 리소그래피 패턴 전사 단계의 제어는: 방사선 빔에 의해 적용되는 선량, 방사선 빔의 초점, 방사선 빔에 적용되는 하나 이상의 광학 수차를 수정하는 것을 포함하는, 패터닝 프로세스를 제어하는 방법.
11. 제6실시예 내지 제10실시예 중 어느 한 실시예에 있어서, 리소그래피 패턴 전사 단계의 제어는 리소그래피 패턴 전사 단계에 의해 층에 형성된 패턴과 다른 층에 있는 패턴 사이의 공칭 오버레이를 변화시키는 단계를 포함하는, 패터닝 프로세스를 제어하는 방법.
12. 제1실시예 내지 제11실시예 중 어느 한 실시예에 있어서, 상기 패터닝 프로세스는 재료의 층이 증착되는 증착 단계를 포함하고, 측정된 틸트는 상기 증착 단계를 제어하기 위해 사용되는, 패터닝 프로세스를 제어하는 방법.
13. 제12실시예에 있어서, 상기 증착 단계의 제어는 상기 타겟 층 위에 있는 층의 증착 단계에 의한 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
14. 제12실시예 또는 제13실시예에 있어서, 상기 증착 단계의 제어는, 상기 구조체의 후속적으로 형성되는 인스턴스에 있는 층 또는 후속적으로 형성되는 인스턴스 상에 있는 층의 증착 단계에 의한 형성 중에 적용되는, 패터닝 프로세스를 제어하는 방법.
15. 제12실시예 내지 제14실시예 중 어느 한 실시예에 있어서, 상기 증착 단계의 제어는, 상기 증착 단계에 의해 증착되는 상기 재료의 층에서, 응력 분포, 높이 분포, 밀도 분포, 조성 분포 중 하나 이상을 제어하는 것을 포함하는, 패터닝 프로세스를 제어하는 방법.
16. 제1실시예 내지 제15실시예 중 어느 한 실시예에 있어서, 상기 구조체는:
상기 타겟 층 위에 있는 층으로 패턴이 에칭되는 제1 에칭 단계; 및
상기 타겟 층으로 패턴이 에칭되는 제2 에칭 단계를 포함하는 에칭 프로세스에 의해 형성되고,
상기 타겟 층 위에 있는 층으로 에칭된 패턴은 상기 타겟 층으로 에칭된 패턴을 규정하는, 패터닝 프로세스를 제어하는 방법.
17. 제16실시예에 있어서, 상기 타겟 층을 통한 상기 에칭 경로에서의 틸트의 측정과 독립적으로 상기 구조체의 상이한 층들에서 패턴들 사이의 오버레이를 측정하는 단계를 더 포함하는, 패터닝 프로세스를 제어하는 방법.
18. 제17실시예에 있어서,
측정된 오버레이는, 제1 에칭 단계에 의해 에칭된 층에서 에칭 경로에서의 틸트를 추론하기 위해 타겟 층에서 측정된 틸트와 조합하여 사용되는, 패터닝 프로세스를 제어하는 방법.
19. 제18실시예에 있어서, 추론된 틸트는 구조체의 후속적으로 형성된 인스턴스의 형성 중에 제1 에칭 프로세스를 제어하기 위해 사용되는, 패터닝 프로세스를 제어하는 방법.
20. 제18실시예 또는 제19실시예에 있어서, 타겟 층과 다른 층 사이의 공칭 오버레이가 추론된 틸트를 보상하기 위해 변화되는, 패터닝 프로세스를 제어하는 방법.
21. 제1실시예 내지 제15실시예 중 어느 한 실시예에 있어서, 상기 타겟 층을 통한 상기 에칭 경로에서의 틸트의 측정과 독립적으로 상기 구조체의 상이한 층들에서 패턴들 사이의 오버레이를 측정하는 단계를 더 포함하는, 패터닝 프로세스를 제어하는 방법.
22. 제1실시예 내지 제21실시예 중 어느 한 실시예에 있어서, 상기 틸트의 측정은 틸트의 직접 측정을 포함하는, 패터닝 프로세스를 제어하는 방법.
23. 제1실시예 내지 제22실시예 중 어느 한 실시예에 있어서, 상기 틸트의 측정은 틸트의 비파괴 측정을 포함하는, 패터닝 프로세스를 제어하는 방법.
24. 제1실시예 내지 제23실시예 중 어느 한 실시예에 있어서, 상기 틸트의 측정을 수행하는 단계를 포함하는, 패터닝 프로세스를 제어하는 방법.
25. 제1실시예 내지 제24실시예 중 어느 한 실시예에 있어서, 상기 틸트의 측정은 방사선으로 상기 구조체를 조명하고 구조체에 의해 재지향된 방사선을 검출하는 것을 포함하는, 패터닝 프로세스를 제어하는 방법.
26. 제25실시예에 있어서, 검출된 방사선이 주로 0차 방사선인, 패터닝 프로세스를 제어하는 방법.
27. 제25실시예 또는 제26실시예에 있어서, 틸트는 구조체에 의해 재지향된 방사선의 검출된 표현의 비대칭 성분으로부터 추출되는 것인, 패터닝 프로세스를 제어하는 방법.
28. 제27실시예에 있어서, 상기 검출된 표현은 검출된 동공 표현을 포함하는 것인, 패터닝 프로세스를 제어하는 방법.
29. 제1실시예 내지 제28실시예 중 어느 한 실시예에 있어서, 상기 구조체는 디바이스 구조체를 포함하는, 패터닝 프로세스를 제어하는 방법.
30. 제1실시예 내지 제29실시예 중 어느 한 실시예에 있어서, 상기 구조체는 디바이스 구조체는 포함하는 기판 다이 내의 비-디바이스 구조체를 포함하는, 패터닝 프로세스를 제어하는 방법.
31. 디바이스 제조 방법으로서,
기판 상에 타겟 층을 포함하는 구조체를 형성하는 단계;
타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하는 단계 - 상기 틸트는 상기 타겟 층의 평면에 대한 수직 방향으로부터 상기 에칭 경로의 방향의 편차를 나타냄 -; 및
상기 틸트 데이터를 사용하여 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스를 제어하는 단계를 포함하는, 디바이스 제조 방법.
32. 명령을 구비한 비-일시적 컴퓨터 판독 가능 매체를 포함하는 컴퓨터 프로그램 제품으로서, 명령은 컴퓨터에 의해 실행될 때, 제1실시예 내지 제30실시예 중 어느 한 실시예의 방법을 구현하는 컴퓨터 프로그램 제품.
상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 본 발명은 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 커버하도록 의도된다는 점이 이해되어야 한다.
본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다. 본 발명의 상세한 설명의 이점을 취한 당업자라면 명백하게 알 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 반전되거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서에서 주석은 조직화의 목적일 뿐 본 발명의 범위를 한정하도록 사용되려는 것이 아니다.
본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)로 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그" 등은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 지시대상을 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소에 대해 언급하는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구를 사용함에도 불구하고, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및" 과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행조건이 결과의 필요 인과 조건이거나, 선행조건이 충분 인과 조건이거나, 또는 선행조건이 결과에 기여하는 인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 "Y 및 Z의 경우 X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행조건이 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행조건은 그 결과와 연결되며, 예를 들어 선행조건은 결과가 발생할 가능성과 관련된다. 복수 개의 속성 또는 기능이 복수 개의 대상물(예를 들어, 단계 A, B, C, 및 D를 수행하는 하나 이상의 프로세서)로 매핑된다는 진술은, 달리 표시되지 않는 한, 모든 이러한 속성 또는 기능이 이러한 모든 대상물로 매핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 매핑된다는 것 양자 모두(예를 들어, 모든 프로세서가 각각 단계 A-D를 수행한다는 것, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하며, 및 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우 양자 모두)를 망라한다. 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 집합 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 집합의 일부의 또는 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이 반드시 각각 그리고 모두를 의미하는 것은 아니다.
특정 미국 특허, 미국 특허 출원, 또는 다른 문헌(예를 들어, 논문)이 원용되어 통합된다는 범위에 대해서, 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌의 내용은 이러한 문헌과 본원에 언급된 진술 및 도면 사이에 상충이 존재하지 않는 범위에서 원용에 의해 본원에 통합된다. 이러한 상충이 있는 경우, 본 명세서에서 원용에 의해 통합되는 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌 내의 임의의 이러한 상충되는 내용은 구체적으로 본 명세서에 원용에 의해 통합되지 않는다.
앞선 설명은 예시적인 것으로 의도되며, 제한적인 것으로 의도되지 않는다. 따라서, 이하 제시되는 청구 범위로부터 벗어남이 없이, 기술된 본 발명에 대해 수정이 이루어질 수 있음은 당업자에게 명백할 것이다.

Claims (10)

  1. 명령을 구비하는 컴퓨터 판독가능한 기록 매체로서, 상기 명령은 컴퓨터 시스템에 의해 실행될 때 상기 컴퓨터 시스템으로 하여금 적어도:
    기판 상의 구조체의 타겟 층을 통한 에칭 경로에서의 틸트의 측정에서 비롯된 틸트 데이터를 획득하게 하되, 상기 틸트는 상기 타겟 층의 평면에 대한 수직 방향으로부터 상기 에칭 경로의 방향의 편차를 나타내며;
    상기 틸트 데이터를 사용하여 추가적인 층에서 패턴을 형성하는 데에 사용되는 패터닝 프로세스 또는 증착 단계를 구성하게 하되, 상기 패터닝 프로세스 또는 증착 단계를 구성하는 것은 서로 상이한 층들에 생성된 패턴들 간의 오버레이를 제어하는 것과 연관되는, 컴퓨터 판독가능한 기록 매체.
  2. 제1항에 있어서,
    상기 패터닝 프로세스는 에칭 프로세스를 포함하고 상기 틸트 데이터는 상기 에칭 프로세스를 구성하는 데에 사용되는, 컴퓨터 판독가능한 기록 매체.
  3. 제2항에 있어서,
    상기 에칭 프로세스를 구성하는 것은 상기 타겟 층 위에 있는 층에서 에칭 프로세스에 의한 패턴의 형성 중에 적용되는, 컴퓨터 판독가능한 기록 매체.
  4. 제2항 또는 제3항에 있어서,
    상기 에칭 프로세스를 구성하는 것은, 상기 구조체의 후속적으로 형성되는 인스턴스에 있는 층 또는 후속적으로 형성되는 인스턴스 상에 있는 층에서 에칭 프로세스에 의한 패턴의 형성 중에 적용되는, 컴퓨터 판독가능한 기록 매체.
  5. 제2항 또는 제3항에 있어서,
    상기 에칭 프로세스를 구성하는 것은: 기판에 걸친 열 패턴, 상기 에칭 프로세스에 사용되는 플라즈마의 화학적 농도 패턴, 상기 에칭 프로세스 동안 기판을 둘러싸는 전기장 패턴, 상기 에칭 프로세스 동안 하나 이상의 전극에 인가되는 전압 중 하나 이상을 구성하는 것을 포함하는, 컴퓨터 판독가능한 기록 매체.
  6. 제1항에 있어서,
    상기 패터닝 프로세스는, 리소그래피 패턴 전사 단계에 의해 전사될 패턴을 규정하기 위해 패터닝 디바이스가 방사선 빔의 단면에 패턴을 부여하는 데에 사용되는 리소그래피 패턴 전사 단계를 포함하고;
    상기 틸트 데이터는 상기 리소그래피 패턴 전사 단계를 구성하기 위해 사용되는, 컴퓨터 판독가능한 기록 매체.
  7. 제6항에 있어서,
    상기 리소그래피 패턴 전사 단계를 구성하는 것은 상기 타겟 층 위에 있는 층에서 리소그래피 패턴 전사 단계에 의한 패턴의 형성 중에 적용되는, 컴퓨터 판독가능한 기록 매체.
  8. 제6항 또는 제7항에 있어서,
    상기 리소그래피 패턴 전사 단계를 구성하는 것은 패터닝 디바이스를 수정하는 것을 포함하는, 컴퓨터 판독가능한 기록 매체.
  9. 제1항에 있어서,
    상기 패터닝 프로세스는 재료의 층이 증착되는 증착 단계를 포함하고, 측정된 틸트는 상기 증착 단계를 구성하기 위해 사용되는, 컴퓨터 판독가능한 기록 매체.
  10. 제9항에 있어서,
    상기 증착 단계를 구성하는 것은, 상기 증착 단계에 의해 증착되는 상기 재료의 층에서, 응력 분포, 높이 분포, 밀도 분포, 조성 분포 중 하나 이상을 제어하는 것을 포함하는, 컴퓨터 판독가능한 기록 매체.
KR1020227007695A 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법 KR102422568B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP17191525.9A EP3457212A1 (en) 2017-09-18 2017-09-18 Method of controlling a patterning process, device manufacturing method
EP17191525.9 2017-09-18
KR1020207005836A KR102373522B1 (ko) 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법
PCT/EP2018/071498 WO2019052747A1 (en) 2017-09-18 2018-08-08 METHOD OF CONTROLLING A PATTERN FORMATION PROCESS, DEVICE MANUFACTURING METHOD

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005836A Division KR102373522B1 (ko) 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법

Publications (2)

Publication Number Publication Date
KR20220034935A true KR20220034935A (ko) 2022-03-18
KR102422568B1 KR102422568B1 (ko) 2022-07-18

Family

ID=59895207

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227007695A KR102422568B1 (ko) 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법
KR1020207005836A KR102373522B1 (ko) 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207005836A KR102373522B1 (ko) 2017-09-18 2018-08-08 패터닝 프로세스 제어 방법, 디바이스 제조 방법

Country Status (7)

Country Link
US (1) US20200356013A1 (ko)
EP (1) EP3457212A1 (ko)
JP (1) JP6968982B2 (ko)
KR (2) KR102422568B1 (ko)
CN (2) CN115327861A (ko)
TW (1) TWI659257B (ko)
WO (1) WO2019052747A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US11360398B2 (en) 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
KR20210133364A (ko) * 2020-04-28 2021-11-08 삼성전자주식회사 반도체 장치의 제조를 위한 방법 및 컴퓨팅 장치
CN116635692A (zh) * 2020-11-24 2023-08-22 应用材料公司 用于计量的基于最佳化的图像处理
TWI787047B (zh) * 2022-01-11 2022-12-11 南亞科技股份有限公司 圖案形成方法
EP4361727A1 (en) * 2022-10-24 2024-05-01 ASML Netherlands B.V. Method of tilt metrology and associated apparatuses

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050066815A (ko) * 2003-12-27 2005-06-30 동부전자 주식회사 오버레이 측정방법 및 측정장치
US20080215276A1 (en) * 2006-12-07 2008-09-04 Hermes Microvision, Inc. In-line overlay measurement using charged particle beam system
JP2015201552A (ja) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20160103131A (ko) * 2013-12-30 2016-08-31 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670105B2 (en) * 1998-09-18 2003-12-30 Canon Kabushiki Kaisha Method of manufacturing diffractive optical element
JP3408217B2 (ja) * 1999-02-17 2003-05-19 キヤノン株式会社 微細構造の作成方法及び回折光学素子
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR20080029609A (ko) * 2006-09-29 2008-04-03 주식회사 하이닉스반도체 식각프로파일 휘어짐 방지를 위한 플라즈마 식각 장치
US8111376B2 (en) * 2007-05-30 2012-02-07 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US9081304B2 (en) * 2008-09-08 2015-07-14 Asml Netherlands B.V. Substrate, an inspection apparatus, and a lithographic apparatus
NL2003404A (en) * 2008-09-16 2010-03-17 Asml Netherlands Bv Inspection method and apparatus, substrate, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2007127A (en) * 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
CN104471484B (zh) * 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
CN106030411B (zh) * 2014-02-17 2018-02-06 Asml荷兰有限公司 确定边缘定位误差的方法、检测设备、图案形成装置、衬底及器件制造方法
US10073357B2 (en) * 2014-02-21 2018-09-11 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
EP2980646B1 (en) * 2014-07-30 2020-09-02 GenISys GmbH Process artefact compensation upon transfer of a mask layout onto a mask substrate
KR101986258B1 (ko) * 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
KR102010941B1 (ko) * 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10670975B2 (en) * 2015-12-17 2020-06-02 Asml Netherlands B.V. Adjustment of a metrology apparatus or a measurement thereby based on a characteristic of a target measured
US10546790B2 (en) * 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050066815A (ko) * 2003-12-27 2005-06-30 동부전자 주식회사 오버레이 측정방법 및 측정장치
US20080215276A1 (en) * 2006-12-07 2008-09-04 Hermes Microvision, Inc. In-line overlay measurement using charged particle beam system
KR20160103131A (ko) * 2013-12-30 2016-08-31 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
JP2015201552A (ja) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20170018407A1 (en) * 2014-04-09 2017-01-19 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
CN111095115B (zh) 2022-08-23
JP2020534558A (ja) 2020-11-26
KR102373522B1 (ko) 2022-03-10
KR102422568B1 (ko) 2022-07-18
EP3457212A1 (en) 2019-03-20
KR20200030605A (ko) 2020-03-20
TW201921094A (zh) 2019-06-01
TWI659257B (zh) 2019-05-11
US20200356013A1 (en) 2020-11-12
CN111095115A (zh) 2020-05-01
WO2019052747A1 (en) 2019-03-21
JP6968982B2 (ja) 2021-11-24
CN115327861A (zh) 2022-11-11

Similar Documents

Publication Publication Date Title
KR102485767B1 (ko) 전산 계측
CN109073998B (zh) 用于确定图案化工艺的参数的方法和设备
KR102459765B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR102373522B1 (ko) 패터닝 프로세스 제어 방법, 디바이스 제조 방법
KR102483364B1 (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 감소시키는 방법, 계측 프로세스를 교정하는 방법, 및 계측 타겟을 선택하는 방법
KR102363699B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
JP2020533622A (ja) パターニングプロセスパラメータを決定するための方法及びメトロロジ装置
TW201931020A (zh) 判定所關注參數之值之方法、清理含有關於所關注參數之資訊之信號之方法、器件製造方法
CN111727407A (zh) 确定用于量测设备的最佳聚焦高度的方法
KR102423516B1 (ko) 계측 프로세스 최적화 방법
KR102549352B1 (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 줄이는 방법, 계측 프로세스를 교정하는 방법, 계측 타겟을 선택하는 방법
US10585048B2 (en) Method of determining a value of a parameter of interest of a target formed by a patterning process
KR20190046962A (ko) 공정 장치를 모니터링하는 장치 및 방법
TW201921140A (zh) 判定圖案轉印程序之參數之方法及器件製造方法
JP2020518845A (ja) 光学メトロロジの性能を測定するための方法、基板、及び装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant