KR20200125990A - 비선형 광학계를 갖는 검사 장치 - Google Patents

비선형 광학계를 갖는 검사 장치 Download PDF

Info

Publication number
KR20200125990A
KR20200125990A KR1020207028477A KR20207028477A KR20200125990A KR 20200125990 A KR20200125990 A KR 20200125990A KR 1020207028477 A KR1020207028477 A KR 1020207028477A KR 20207028477 A KR20207028477 A KR 20207028477A KR 20200125990 A KR20200125990 A KR 20200125990A
Authority
KR
South Korea
Prior art keywords
order
optical system
prism
beams
nonlinear
Prior art date
Application number
KR1020207028477A
Other languages
English (en)
Other versions
KR102527672B1 (ko
Inventor
담 마리너스 요하네스 마리아 반
리처드 칼 짐머만
Original Assignee
에이에스엠엘 네델란즈 비.브이.
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이., 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200125990A publication Critical patent/KR20200125990A/ko
Application granted granted Critical
Publication of KR102527672B1 publication Critical patent/KR102527672B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4792Polarisation of scatter light

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

검사 장치 또는 리소그래피 장치는 광학 시스템과 검출기를 포함하고 있다. 광학 시스템은 비선형 프리즘 광학계를 포함하고 있다. 광학 시스템은 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성되어 있다. 검출기는 0차 및 1차 회절 차수 빔들의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성되어 있다. 하나 이상의 회절 차수의 검출된 제1 및 제2 편광에 기초하여, 리소그래피 장치의 작동 매개변수가 조정되어 리소그래피 장치의 정확도 또는 정밀도를 개선할 수 있다. 광학 시스템은 복수의 비선형 프리즘 광학계를 포함할 수 있다. 예를 들어, 광학 시스템은 복수의 월라스턴 프리즘을 포함할 수 있다.

Description

비선형 광학계를 갖는 검사 장치
관련 출원에 대한 상호 참조
본 출원은 2018년 4월 6일에 출원된 미국 가특허출원 제62/653,786호의 우선권을 주장하며, 이 출원은 전체적으로 본 명세서에서 인용 참조된다.
본 발명은 검사 장치, 예를 들어, 리소그래피 장치와 시스템을 위한 검사 장치용 광학 시스템에 관한 것이다.
리소그래피 장치는 기판 상으로, 통상적으로는 기판의 타겟 부분 상으로 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어, 집적 회로(IC)의 제조에 사용될 수 있다. 이 경우에, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스가 사용되어 IC의 개별 층 상에 형성될 회로 패턴을 생성할 수 있다. 이 패턴은 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 일부, 하나의 다이 또는 수 개의 다이를 포함하는) 타겟 부분 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판 상에 제공된 방사선 감응 물질 (레지스트)의 층 상으로의 이미지화를 통해 이루어진다. 일반적으로, 단일 기판은 연속적으로 패터닝된 인접한 타겟 부분들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는 전체 패턴을 한 번에 타겟 부분 상으로 노광함으로써 각 타겟 부분이 조사되는 소위 스테퍼, 및 패턴을 주어진 방향 ("스캐닝" 방향)으로 방사선 빔을 통해 스캐닝하는 반면에 동시에 이 스캐닝 방향에 평행하게 또는 반(anti) 평행하게 타겟 부분을 스캐닝함으로서 각 타겟 부분이 조사되는 소위 스캐너를 포함한다. 패턴을 기판 상으로 임프린트(imprint)함으로써 패턴을 패터닝 디바이스로부터 기판으로 전사하는 것 또한 가능하다.
리소그래피 공정을 모니터링하기 위하여, 패터닝된 기판의 매개변수가 측정된다. 매개변수는, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 연속적인 층들 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 선 폭을 포함할 수 있다. 이 측정은 제품 기판 및/또는 전용 계측 타겟에서 수행될 수 있다. 주사 전자 현미경 및 다양한 특수 툴의 사용을 포함하는, 리소그래피 공정에서 형성된 미세 구조체를 측정하기 위한 다양한 기술이 있다. 빠르고 비침습성 형태의 특수 검사 툴은, 방사선의 빔이 기판의 표면 상의 타겟을 직접 향하고 산란된 또는 반사된 빔의 특성이 측정되는 스캐터로미터이다. 빔이 기판에 의해 반사되거나 산란되기 전과 후의 빔의 특성을 비교함으로써 기판의 특성이 결정될 수 있다. 이는, 예를 들어 반사된 빔을 알려진 기판 특성과 관련된 알려진 측정 라이브러리에 저장된 데이터와 비교함으로써 이루어질 수 있다. 분광 스캐터로미터는 광대역 방사선 빔을 기판 상으로 향하게 하며 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼 (파장의 함수로서의 세기)을 측정한다. 대조적으로, 각도 분해 스캐터로미터는 단색 방사선 빔을 이용하며 산란 방사선의 세기를 각도의 함수로써 측정한다.
이러한 광학 스캐터로미터는, 현상된 감광성 레지스트의 임계 치수 또는 패터닝된 기판 내에 또는 그 위에 형성된 2개의 층 사이의 오버레이 오차(OV)와 같은 매개 변수를 측정하기 위해 사용될 수 있다. 기판의 특성은 빔이 기판에 의해 반사되거나 산란되기 전과 후의 조명 빔의 특성을 비교함으로써 결정될 수 있다.
반도체 디바이스가 점점 더 작아지고 정교해짐에 따라 제조 공차는 계속해서 엄격해지고 있다. 따라서. 계측 측정을 지속적으로 개선할 필요가 있다. 스캐터로미터의 한 가지 예시적인 사용은 임계 치수(CD) 계측을 위한 것이며, 이는 반도체 웨이퍼와 같은 패터닝된 구조체에서의 측정에 특히 유용하다. 광학 CD 계측 기술은 돔 스캐터로메트리(dome scatterometry), 스펙트럼 리플렉노메트리(spectral reflectometry) 및 스펙트럼 엘립소메트리(spectral ellipsometry)를 포함하고 있다. 이 모든 기술은 상이한 입사 방향에 대한 상이하게 편광된 광의 반사 세기를 측정하는 것에 기반을 두고 있다. 이러한 기술은 높은 소광비 또는 편광 순도를 필요로 한다. 편광 빔 스플리터(polarizing beamsplitter)(PBS)는 편광 상태에 의하여 광을 분할하여 s-편광은 반사시키면서 p-편광은 투과시킨다. 완벽한 PBS는 p-편광의 100%를 투과시키고 100%의 s-편광을 반사시키지만, 실제 PBS는 s-편광과 p-편광의 혼합물을 투과시키고 반사시킨다. p-편광과 s-편광의 비율은 소광비(extinction ratio)로 불린다. 광학 CD는 높은 소광비를 필요로 한다.
스캐터로미터의 또 다른 예시적인 용도는 오버레이(OV) 계측을 위한 것이며, 이는 웨이퍼 상의 층의 적층체의 정렬을 측정하는 데 유용하다. 리소그래피 프로세스를 제어하여 기판 상에 디바이스 피처를 정확하게 배치하기 위하여, 정렬 마크 또는 타겟이 일반적으로 기판 상에 제공되며, 리소그래피 장치는 하나 이상의 정렬 시스템을 포함하고 있으며, 이 정렬 시스템에 의하여 기판 상에서의 마크의 위치가 정확하게 측정되어야 한다. 한 공지된 기술에서, 스캐터로미터는 웨이퍼 상의 타겟으로부터의 회절 광을 측정한다. "암시야" 스캐터로메트리를 이용한 회절 기반 오버레이는 (정반사에 대응하는) 0차 회절을 차단하며 하나 이상의 높은 회절 차수만 처리하여 타겟의 그레이 스케일 이미지(gray scale image)를 생성한다. 이 암시야 기술을 사용하는 회절 기반 오버레이는 더 작은 타겟에 대한 오버레이 측정을 가능하게 할 수 있고, 미세 회절 기반 오버레이(μDBO)로 알려져 있으나, μDBO는 매우 높은 명암비를 요구할 수 있다.
각 제품 및 공정은 계측 타겟의 설계의 주의 및 오버레이 측정을 수행할 적절한 계측 "레시피"의 선택을 필요로 한다. 일부 계측 기술은 원하는 조명 조건 하에서 타겟이 조명되는 동안 계측 타겟의 회절 패턴 및/또는 암시야 이미지를 캡처한다. 이 조명 조건은 방사선의 파장, 각도 세기 분포 (조명 프로파일) 그리고 편광과 같은 다양한 조명 매개변수에 의하여 계측 레시피에서 한정될 수 있다.
일부 실시예에서, 검사 장치는 광학 시스템 및 검출기를 포함하고 있다. 일부 실시예에서, 광학 시스템은 비선형 프리즘 광학계를 포함하고 있다. 일부 실시예에서, 광학 시스템은 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이도록 구성되어 있다. 일부 실시예에서, 광학 시스템은 각 회절 차수 빔의 제1 편광과 제2 편광을 분리하도록 구성되어 있다. 일부 실시예에서, 검출기는 0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성되어 있다.
일부 실시예에서, 광학 시스템은 검사 장치의 퓨필 평면에 있다. 일부 실시예에서, 비선형 프리즘 광학계는 복굴절 광학계이다. 일부 실시예에서, 비선형 프리즘 광학계는 0차 및 1차 회절 차수 빔의 각각으로부터 정상 광선과 이상 광선을 분리하도록 구성되어 있다. 일부 실시예에서, 0차 및 1차 회절 차수 빔의 각각의 제1 편광은 수평 편광 성분이며, 0차 및 1차 회절 차수 빔의 각각의 제2 편광은 수평 편광 성분에 직교하는 수직 편광 성분이다.
일부 실시예에서, 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하고 있다. 일부 실시예에서, 복수의 비선형 프리즘 광학계는 복수의 월라스턴 프리즘을 포함하고 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제1 유형 및 제2 유형을 포함하고 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 갖고 있는 2개의 제1 유형의 월라스턴 프리즘을 포함하고 있다. 예를 들어, 제1 웨지 각도 및 대응하는 제1 발산 각도는 45°일 수 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제2 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖고 있는 2개의 제2 유형의 월라스턴 프리즘을 포함하고 있다. 예를 들어, 제2 웨지 각도 및 대응하는 제2 발산 각도는 15°일 수 있다. 일부 실시예에서, 제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크다. 일부 실시예에서, 2개의 제1 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다. 일부 실시예에서, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다.
일부 실시예에서, 미세 회절 기반 오버레이를 측정하기 위한 리소그래피 장치는 제1 조명 광학 시스템, 투영 광학 시스템 및 스캐터로미터를 포함하고 있다. 일부 실시예에서, 제1 조명 광학 시스템은 회절 패턴을 조명하도록 구성되어 있다. 일부 실시예에서, 투영 광학 시스템은 회절 패턴의 이미지를 기판 상으로 투영하도록 구성되어 있다. 일부 실시예에서, 스캐터로미터는 리소그래피 장치의 매개변수를 결정하도록 구성되어 있다.
일부 실시예에서, 스캐터로미터는 제2 조명 광학 시스템, 대물 광학 시스템, 및 검사 장치를 포함하고 있다. 일부 실시예에서, 제2 조명 광학 시스템은 적어도 하나의 방사선의 빔을 전달하도록 구성되어 있다. 일부 실시예에서, 대물 광학 시스템은 적어도 하나의 방사선의 빔을 기판 상으로 집속하도록 구성되어 있다. 일부 실시예에서, 검사 장치는 기판으로부터의 반사된 방사선 빔을 검출하도록 구성되어 있다.
일부 실시예에서, 스캐터로미터의 검사 장치는 광학 시스템 및 검출기를 포함하고 있다. 일부 실시예에서, 광학 시스템은 비선형 프리즘 광학계를 포함하고 있다. 일부 실시예에서, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성되어 있다. 일부 실시예에서, 검출기는 0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성되어 있다.
일부 실시예에서, 비선형 프리즘 광학계는 복굴절 광학 요소, 월라스턴 프리즘, 노마스키 프리즘, 세너몬트 프리즘, 로숀 프리즘, 글랜-톰슨 프리즘 또는 글랜-푸코 프리즘이다. 일부 실시예에서, 광학 시스템은 중성 밀도 필터를 포함하고 있다. 일부 실시예에서, 중성 밀도 필터는 1차 회절 차수의 세기에 대해 0차 회절 차수의 세기를 정규화하도록 구성되어 있다. 일부 실시예에서, 광학 시스템은 검사 장치의 퓨필 평면에 있으며, 검출기는 단일 암시야 검출기이다. 일부 실시예에서, 0차 및 1차 회절 차수 빔의 각각의 제1 편광은 수평 편광 성분이며, 0차 및 1차 회절 차수 빔의 각각의 제2 편광은 수평 편광 성분에 직교하는 수직 편광 성분이다.
일부 실시예에서, 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하고 있다. 일부 실시예에서, 복수의 비선형 프리즘 광학계는 복수의 월라스턴 프리즘을 포함하고 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제1 유형 및 제2 유형을 포함하고 있다.
일부 실시예에서, 복수의 월라스턴 프리즘은 제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 갖고 있는 2개의 제1 유형의 월라스턴 프리즘을 포함하고 있다. 예를 들어, 제1 웨지 각도 및 대응하는 제1 발산 각도는 45°일 수 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제2 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖고 있는 2개의 제2 유형의 월라스턴 프리즘을 포함하고 있다. 예를 들어, 제2 웨지 각도 및 대응하는 제2 발산 각도는 15°일 수 있다. 일부 실시예에서, 제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크다. 일부 실시예에서, 2개의 제1 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다. 일부 실시예에서, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다.
일부 실시예에서, 복수의 월라스턴 프리즘은 2×2 매트릭스 어레이로 투명 플레이트 상에 배열되어 있다. 일부 실시예에서, 복수의 월라스턴 프리즘은 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 받아들이도록 구성되어 있다.
일부 실시예에서, 수평 편광 성분 및 수평 편광 성분에 직교하는 수직 편광 성분은 대응하는 복수의 월라스턴 프리즘에 의하여 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 분리된다. 일부 실시예에서, 각 서브-빔의 수평 편광 성분과 수직 편광 성분은 검출기에 의해 8개의 개별 빔 스폿으로 이미지화된다.
일부 실시예에서, 미세 회절 기반 오버레이를 측정하는 방법은 비선형 프리즘 광학계를 포함하는 광학 시스템에 의하여, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔들 양자 모두의 제1 및 제2 편광을 분리하는 것을 포함하고 있다. 일부 실시예에서, 본 방법은 검출기에 의하여, 0차 및 1차 회절 차수 그리고 각 회절 차수의 제1 및 제2 편광을 동시에 검출하는 것을 포함한다. 일부 실시예에서, 본 방법은 계측 또는 리소그래피 장치의 정확도 또는 정밀도를 개선하기 위해 회절 타겟의 관심 대상 매개변수를 조정하는 것을 포함하고 있다. 일부 실시예에서, 본 방법은 리소그래피 장치의 정확도, 정밀도, 타이밍, 효율 및/또는 생산성을 개선하기 위해 하나 이상의 회절 차수의 검출된 제1 및 제2 편광에 기초하여 리소그래피 장치의 매개변수를 조정 또는 최적화하는 것을 포함하고 있다. 일부 실시예에서, 본 방법은 리소그래피 장치의 정확도 또는 정밀도를 개선하기 위해 하나 이상의 회절 차수의 검출된 제1 및 제2 편광에 기초하여 리소그래피 장치의 작동 매개변수를 조정하는 것을 포함하고 있다.
일부 실시예에서, 본 방법은 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 분리하는 것을 포함하고 있다. 일부 실시예에서, 본 방법은 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 수평 편광 성분과 수평 편광 성분에 직교하는 수직 편광 성분을 격리하는 것을 포함하고 있다. 일부 실시예에서, 본 방법은 단일 암시야 검출기 상의 각각의 0차 및 1차 회절 차수 서브-빔의 수평 및 수직 편광 성분을 8개의 개별 빔 스폿으로 이미지화하는 것을 포함하고 있다.
본 발명의 다양한 실시예의 구조 및 작동뿐만 아니라 본 발명의 추가 특징 및 이점은 첨부 도면을 참조하여 아래에서 상세히 설명된다. 본 발명은 본 명세서에서 설명된 특정 실시예에 제한되지 않는다는 것이 주목할 사항이다. 이러한 실시예는 단지 예시 목적으로 본 명세서에 제시된다. 부가적인 실시예는 본 명세서에 포함된 교시에 기초하여 관련 기술(들)에서 숙련된 자들에게 명백할 것이다.
본 명세서 내에 포함되고 명세서의 일부를 형성하는 첨부 도면은 본 발명을 예시하는 것이며, 발명의 상세한 설명과 함께 본 발명의 원리를 설명하고 관련 기술(들)의 숙련된 자들이 본 발명을 만들고 사용할 수 있도록 하는 역할을 더 한다.
도 1a는 예시적인 실시예에 따른 반사식 리소그래피 장치의 개략적인 도면이다.
도 1b는 예시적인 실시예에 따른 투과식 리소그래피 장치의 개략적인 도면이다.
도 2는 예시적인 실시예에 따른 반사식 리소그래피 장치의 더욱 상세한 개략적인 도면이다.
도 3은 예시적인 실시예에 따른 리소그래피 셀의 개략적인 도면이다.
도 4 및 도 5는 예시적인 실시예에 따른 스캐터로미터의 개략적인 도면이다.
도 6은 예시적인 실시예에 따른, 검사 장치용 광학 시스템의 개략적인 도면이다.
도 7은 예시적인 실시예에 따른, 검사 장치용 예시적인 광학 시스템의 개략적인 도면이다.
도 8은 예시적인 실시예에 따른, 광학 시스템의 개략적인 도면이다.
도 8은 예시적인 실시예에 따른, 광학 시스템의 개략적인 도면이다.
도 10은 예시적인 실시예에 따른 광학 시스템의 개략적인 도면이다.
본 발명의 특징 및 장점은 도면과 관련될 때 아래에서 제시되는 상세한 설명으로부터 더욱 명백해질 것이며, 동일한 도면 부호들은 도면 전반에 걸쳐 대응하는 요소를 식별한다. 도면에서, 유사한 참조 번호는 일반적으로 동일하고, 기능적으로 유사하며, 및/또는 구조적으로 유사한 요소를 나타낸다. 부가적으로, 일반적으로 참조 번호의 가장 좌측의 숫자(들)는 참조 번호가 처음 나타난 도면을 식별한다. 달리 나타내지 않는 한, 본 명세서의 전체에 걸쳐 제공된 도면은 축척대로 그려진 도면(to-scale drawings)으로서 해석되어서는 안된다.
본 명세서는 본 발명의 특징을 포함하는 하나 이상의 실시예를 개시한다. 개시된 실시예(들)는 단지 본 발명을 예시한다. 본 발명의 범위는 개시된 실시예(들)에 제한되지 않는다. 본 발명은 여기에 첨부된 청구범위에 의해 한정된다.
설명된 실시예(들) 그리고 본 명세서에서의 "일 실시예", "실시예", "예시적인 실시예", 등에 대한 언급은 설명된 실시예(들)가 특정 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 반드시 특정 특징, 구조 또는 특성을 포함하지 않을 수 있다는 것을 나타낸다. 또한, 이러한 어구들이 반드시 동일한 실시예를 언급하는 것은 아니다. 또한, 특정 특징, 구조 또는 특성이 실시예와 관련하여 설명될 때, 명시적으로 설명되었는지의 여부에 관계없이 다른 실시예와 관련하여 이러한 특징, 구조 또는 특성을 달성하는 것이 당업자의 지식 내에 있다는 점이 이해된다.
"아래에(beneath)", "밑에(below)", "하부(lower)", "위(above)", "상에(on)", "상부(upper)" 등과 같은 공간적으로 상대적인 용어는 설명의 용이함을 위해 본 명세서에서 사용되어 한 요소 또는 특징의 도면에 도시된 바와 같은 또 다른 요소 또는 특징에 대한 관계를 설명할 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향에 더하여 사용 또는 작동 중인 디바이스의 상이한 배향을 포함하기 위한 것이다. 장치는 달리 배향될 수 있으며 (90도 회전되거나 다른 배향으로), 그에 따라 본 명세서에서 사용되는 공간적으로 상대적인 설명어(descriptor)는 마찬가지로 해석될 수 있다.
본 명세서에 사용된 바와 같은 용어 "약"은 특정 기술에 기초하여 달라질 수 있는 주어진 양의 값을 나타낸다. 특정 기술에 기초하여, 용어 "약"은, 예를 들어 값의 10 내지 30% (예를 들어, ±10%, ±20% 또는 ±30%) 내에서 변하는 주어진 양의 값을 나타낼 수 있다.
본 발명의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 발명의 실시예는 또한 기계-판독 가능한 매체에 저장된 명령으로서 구현될 수 있으며, 이는 하나 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독 가능한 매체는 정보를 기계 (예를 들어, 컴퓨팅 디바이스)에 의해 판독 가능한 형태로 저장 또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계-판독 가능한 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호 (예를 들어, 반송파, 적외선 신호, 디지털 신호 등) 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 명령은 특정 동작을 수행하는 것으로 본 명세서에서 설명될 수 있다. 그러나 이러한 설명은 단지 편의를 위한 것이라는 점 그리고 이러한 동작은 실제로 펌웨어, 소프트웨어, 루틴, 명령 등을 실행하는 컴퓨팅 디바이스, 프로세서, 컨트롤러 또는 다른 디바이스에 기인한다는 점이 인식되어야 한다.
그러나 이러한 실시예를 더욱 상세히 설명하기 전에 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
예시적인 리소그래피 시스템
도 1a 및 도 1b는 각각 본 발명의 실시예가 구현될 수 있는 리소그래피 장치(100)와 리소그래피 장치(100')의 개략적이 도면이다. 리소그래피 장치(100) 및 리소그래피 장치(100') 각각은 방사선 빔(B)(예를 들어, 심자외 또는 극자외 방사선)을 조정하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스 (예를 들어, 마스크, 레티클, 또는 동적 패터닝 디바이스)(MA)를 지지하도록 구성되고, 패터닝 디바이스(MA)를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 지지 구조체 (예를 들어, 마스크 테이블)(MT); 및 기판 (예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판(W)을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 테이블 (예를 들어, 웨이퍼 테이블)(WT)을 포함하고 있다. 리소그래피 장치(100 및 100')는 또한 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템(PS)을 갖고 있다. 리소그래피 장치(100)에서, 패터닝 디바이스(MA)와 투영 시스템(PS)은 반사식이다. 리소그래피 장치(100')에서, 패터닝 디바이스(MA)와 투영 시스템(PS)은 투과식이다.
조명 시스템(IL)은 방사선(B)을 지향, 성형 또는 제어하기 위하여 굴절식, 반사식, 반사 굴절식, 자기식, 전자기식, 정전기식, 또는 다른 유형의 광학 구성 요소 또는 이들의 임의의 조합 등과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다.
지지 구조체(MT)는 기준 프레임에 대한 패터닝 디바이스(MA)의 배향, 리소그래피 장치(100, 100') 중 적어도 하나의 설계, 및 패터닝 디바이스(MA)가 진공 환경에서 유지되는지의 여부와 같은 다른 조건에 의존하는 방식으로 패터닝 디바이스(MA)를 유지한다. 지지 구조체(MT)는 기계식, 진공식, 정전기식 또는 다른 클램핑 기술을 사용하여 패터닝 디바이스(MA)를 유지할 수 있다. 지지 구조체(MT)는 프레임 또는 테이블일 수 있으며, 이는 예를 들어 필요에 따라 고정되거나 또는 이동 가능할 수 있다. 센서를 이용함으로써, 지지 구조체(MT)는, 예를 들어 투영 시스템(PS)에 대해 패터닝 디바이스가 원하는 위치에 있는 것을 보장할 수 있다.
용어 "패터닝 디바이스"(MA)는 기판(W)의 타겟 부분(C)에 패턴을 생성하기 위해 방사선 빔(B)의 횡단면에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 넓게 해석되어야 한다. 방사선 빔(B)에 부여된 패턴은 집적 회로를 형성하기 위해 타겟 부분(C)에 생성되는 디바이스 내의 특정 기능 층에 대응할 수 있다.
패터닝 디바이스(MA)는 (도 1b의 리소그래피 장치(100')에서와 같이) 투과식이거나 또는 (도 1a의 리소그래피 장치(100)에서와 같이) 반사식일 수 있다. 패터닝 디바이스(MA)의 예는 레티클, 마스크, 프로그램 가능한 미러 어레이(programmable mirror arrays) 및 프로그램 가능한 LCD 패널을 포함한다. 마스크는 리소그래피에서 잘 알려져 있으며, 바이너리형, 교차 위상 시프트형 또는 감쇠 위상 시프트형과 같은 마스크 유형은 물론 다양한 하이브리드 마스크 유형을 포함한다. 프로그램 가능한 미러 어레이의 예는 소형 미러들의 매트릭스 배열체를 이용하며, 입사하는 방사선 빔을 상이한 방향으로 반사시키기 위하여 소형 미러들의 각각은 개별적으로 경사질 수 있다. 경사진 미러는 소형 미러의 매트릭스에 의해 반사되는 방사선 빔(B)에 패턴을 부여한다.
용어 "투영 시스템"(PS)은 사용되고 있는 노광 방사선, 또는 기판(W) 상에서의 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 적절한 것으로서 굴절식, 반사식, 반사 굴절식, 자기식, 전자기식 및 정전기식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함할 수 있다. 다른 가스가 방사선 또는 전자를 너무 많이 흡수할 수 있기 때문에, 진공 환경이 EUV 또는 전자 빔 방사선을 위해 사용될 수 있다. 따라서 진공 벽 및 진공 펌프의 도움으로 진공 환경은 전체 빔 경로에 제공될 수 있다.
리소그래피 장치(100) 및/또는 리소그래피 장치(100')는 2개 (듀얼 스테이지) 이상의 기판 테이블(WT) (및/또는 2개 이상의 마스크 테이블)를 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 부가적인 기판 테이블(WT)들이 동시에 사용될 수 있거나, 하나 이상의 다른 기판 테이블(WT)이 노광을 위해 사용되고 있는 동안 하나 이상의 테이블에서 준비 단계가 수행될 수 있다. 일부 상황에서, 부가적인 테이블은 기판 테이블(WT)이 아닐 수 있다.
리소그래피 장치는 또한 투영 시스템과 기판 사이의 공간을 채우기 위하여 상대적으로 높은 굴절률을 갖는 액체, 예를 들어 물에 의해 기판의 적어도 일부분이 덮일 수 있는 유형일 수 있다. 침지 액체는 또한 리소그래피 장치의 다른 공간, 예를 들어 마스크와 투영 시스템 사이에 적용될 수 있다. 투영 시스템의 개구수를 증가시키기 위한 침지 기술은 본 기술 분야에서 잘 알려져 있다. 본 명세서에서 사용되는 것과 같은 용어 "침지"는 기판과 같은 구조체가 액체에 잠겨야 한다는 것을 의미하는 것이 아니라, 단지 액체가 노광 동안 투영 시스템과 기판 사이에 위치된다는 것을 의미한다.
도 1a 및 도 1b를 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 예를 들어, 소스(SO)가 엑시머 레이저인 경우, 소스(SO) 및 리소그래피 장치(100, 100')는 별개의 물리적 개체일 수 있다. 이러한 경우에, 소스(SO)는 리소그래피 장치(100 또는 100')의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔(B)은 예를 들어, 적절한 지향 미러 및/또는 빔 익스팬더(beam expander)를 포함하는 (도 1b 내의) 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 일루미네이터(IL)로 나아간다. 다른 경우에, 예를 들어 소스(SO)가 수은 램프인 경우, 소스(SO)는 리소그래피 장치(100, 100')의 통합된 부분일 수 있다. 소스(SO) 및 일루미네이터(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하기 위한 (도 1b 내의) 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내에서 세기 분포의 적어도 외측 및/또는 내측 방사상 범위 (일반적으로 "σ-외측" 및 "σ-내측"로 각각 지칭됨)가 조정될 수 있다. 또한, 일루미네이터(IL)는 적분기(integrator)(IN) 및 콘덴서(CO)와 같은 (도 1b 내의) 다양한 다른 구성 요소를 포함할 수 있다. 일루미네이터(IL)는 방사선 빔의 횡단면에 원하는 균일성과 세기 분포를 갖도록 방사선 빔(B)을 조정하기 위해 사용될 수 있다.
도 1a를 참조하면, 방사선 빔(B)은 지지 구조체 (예를 들어, 마스크 테이블)(MT) 상에서 유지되는 패터닝 디바이스 (예를 들어, 마스크)(MA) 상에 입사되고, 패터닝 디바이스(MA)에 의해 패터닝된다. 리소그래피 장치(100)에서, 방사선 빔(B)은 패터닝 디바이스 (예를 들어, 마스크)(MA)에서 반사된다. 패터닝 디바이스 (예를 들어, 마스크)(MA)에서 반사된 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 방사선 빔(B)을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너 및 위치 센서(IF2)(예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, (예를 들어, 방사선 빔(B)의 경로에 상이한 타겟 부분(C)들을 위치시키기 위하여) 기판 테이블(WT)은 정확하게 이동될 수 있다. 이와 유사하게, 제1 포지셔너(PM) 및 또 다른 위치 센서(IF1)가 사용되어 방사선 빔(B)의 경로에 대해 패터닝 디바이스 (예를 들어, 마스크)(MA)를 정확하게 위치시킬 수 있다. 패터닝 디바이스 (예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다.
도 1b를 참조하면, 방사선 빔(B)은 지지 구조체 (예를 들어, 마스크 테이블(MT)) 상에 유지되는 패터닝 디바이스 (예를 들어, 마스크(MA)) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 가로지른 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 투영 시스템은 조명 시스템 퓨필(IPU)에 대한 퓨필 켤레(PPU)를 갖고 있다. 방사선의 일부분은 조명 시스템 퓨필(IPU)에서의 세기 분포에서 나오며, 마스크 패턴에서의 회절에 영향을 받지 않고 마스크 패턴을 가로지르고, 그리고 조명 시스템 퓨필(IPU)에서의 세기 분포의 이미지를 생성한다.
투영 시스템(PS)은 마스크 패턴(MP)의 이미지(MP')를 기판(W) 상에 코팅된 포토레지스트 층 상으로 투영하며, 여기서 이미지(MP')는 세기 분포로부터의 방사선에 의하여 마스크 패턴(MP)으로부터 생성된 회절 광선에 의하여 형성된다. 예를 들어, 마스크 패턴(MP)은 라인과 공간의 어레이를 포함할 수 있다. 어레이에서의 그리고 0차 회절과 상이한 방사선의 회절은 선에 직교하는 방향으로의 방향의 변경과 함께 전환된 회절 빔을 생성한다. 회절되지 않은 빔 (즉, 소위 0차 회절 빔)은 전파 방향의 임의의 변화없이 패턴을 가로지른다. 0차 회절 빔은 투영 시스템(PS)의 퓨필 켤레(PPU)의 상류에 있는 투영 시스템(PS)의 상부 렌즈 또는 상부 렌즈 그룹을 가로질러 퓨필 켤레(PPU)에 도달한다. 퓨필 켤레(PPU)의 평면에서의 그리고 0차 회절 빔과 관련된 세기 분포의 일부분은 조명 시스템(IL)의 조명 시스템 퓨필(IPU)에서의 세기 분포의 이미지이다. 예를 들어, 개구 디바이스(PD)는 투영 시스템(PS)의 퓨필 켤레(PPU)를 포함하는 평면에 또는 실질적으로 그 평면에 배치된다.
투영 시스템(PS)은 상부 렌즈 또는 상부 렌즈 그룹(L1)과 하부 렌즈 또는 하부 렌즈 그룹(L2)에 의해 0차 회절 빔뿐만 아니라 1차 또는 1차 및 더 높은 차수 회절 빔(보이지 않음)을 캡처하도록 배열되어 있다. 일부 실시예에서, 라인에 수직인 방향으로 연장되는 라인 패턴을 이미지화하기 위한 이중극자 조명은 이중극자 조명의 분해능 향상 효과를 이용하기 위해 사용될 수 있다. 예를 들어, 1차 회절 빔은 웨이퍼(W)의 레벨에서 대응 0차 회절 빔과 간섭하여 가장 높은 가능한 분해능 및 공정 윈도우에서 라인 패턴(MP)의 이미지(MP')를 생성한다 (즉, 허용 가능한 노광 선량 편차와 함께 사용 가능한 초점 심도). 일부 실시예에서, 난시 수차(astigmatism aberration)는 조명 시스템 퓨필(IPU)의 대향 사분면에 방사선 극(보이지 않음)을 제공함으로써 감소될 수 있다. 예를 들어, 조명 시스템 퓨필(IPU)에서의 조명은, 때때로 BMW 조명으로 지칭되는 2개의 반대 조명 사분면만을 사용할 수 있으며, 따라서 나머지 2개의 사분면은 조명에 사용되지 않지만 1차 회절 빔을 캡처하도록 구성되어 있다. 또한, 일부 실시예에서, 난시 수차는 반대 사분면 내의 방사선 극과 연관된 투영 시스템의 퓨필 켤레(pupil conjugate)(PPU)에서 0차 빔을 차단함으로써 감소될 수 있다. 이는 2009년 3월 31일에 발행된 미국 특허 제7,511,799 B2호에 더 자세히 설명되어 있으며, 이 문헌은 그 전체가 본 명세서에서 인용 참조된다.
제2 포지셔너(PW) 및 위치 센서(IF) (예를 들어, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, (예를 들어, 방사선 빔(B)의 경로에 상이한 타겟 부분(C)들을 위치시키기 위하여) 기판 테이블(WT)은 정확하게 이동될 수 있다. 이와 유사하게, 제1 포지셔너(PM) 및 또 다른 위치 센서 (도 1b에서는 보이지 않음)는 (예를 들어, 마스크 라이브러리로부터의 기계적 인출 후 또는 스캐닝 중에) 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확하게 위치시키기 위해 사용될 수 있다.
일반적으로, 마스크 테이블(MT)의 이동은 장-스트로크 모듈 (개략적인 위치 설정) 및 단-스트로크 모듈(미세한 위치 설정)의 도움으로 실현될 수 있으며, 이 모듈들은 제1 포지셔너(PM)의 일부를 형성한다. 유사하게, 기판 테이블(WT)의 이동은 장-스트로크 모듈 및 단-스트로크 모듈을 사용하여 실현될 수 있으며, 이 모듈들은 제2 포지셔너(PW)의 일부를 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-스트로크 액추에이터에만 연결되거나 또는 고정될 수 있다. 마스크(MA)와 기판(W)은 마스크 정렬 마크(M1, M2)와 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. (도시된 바와 같이) 기판 정렬 마크들은 전용 타겟 부분을 점유하지만, 이들은 (스크라이브-레인 정렬 마크로 알려져 있는) 타겟 부분들 사이의 공간에 위치될 수 있다 유사하게, 하나 이상의 다이가 마스크(MA) 상에 제공되는 상황에서, 마스크 정렬 마크는 다이들 사이에 위치될 수 있다.
마스크 테이블(MT)과 패터닝 디바이스(MA)는 진공 챔버 내에 있을 수 있으며, 여기서 진공 내 로봇(in-vacuum robot)(IVR)은 마스크와 같은 패터닝 디바이스를 진공 챔버 내로 그리고 밖으로 이동시키기 위해 사용될 수 있다. 대안적으로, 마스크 테이블(MT)과 패터닝 디바이스(MA)가 진공 챔버의 외부에 있을 때, 진공 내 로봇(IVR)과 유사하게, 진공 외 로봇(out-of-vacuum robot)이 다양한 운송 작동에 사용될 수 있다. 진공 내 로봇 및 진공 외 로봇 모두는 이송 스테이션의 고정식 운동학적 장착부(kinematic mount)로의 임의의 페이로드(payload)(예를 들어, 마스크)의 원활한 이송을 위하여 교정될 필요가 있다.
리소그래피 장치(100 및 100')는 다음의 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체 (예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 실질적으로 정지 상태로 유지되면서, 방사선 빔(B)에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다(즉, 단일 정적 노광). 기판 테이블(WT)은 그 후 X 및/또는 Y 방향으로 시프트되며 따라서 상이한 타겟 부분(C)이 노광될 수 있다.
2. 스캔 모드에서, 방사선 빔(B)에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 지지 구조체 (예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)이 동시에 스캐닝된다 (즉, 단일 동적 노광). 지지 구조체 (예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대/축소율 및 이미지 반전 특성에 의해 결정될 수 있다.
3. 또 다른 모드에서, 프로그램 가능한 패터닝 디바이스를 유지하는 지지 구조체 (예를 들어, 마스크 테이블)(MT)는 실질적으로 정지 상태로 유지되며, 방사선 빔(B)에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 기판 테이블(WT)은 이동되거나 스캐닝된다. 펄스식 방사선 소스(SO)가 채택될 수 있으며, 프로그램 가능한 패터닝 디바이스는 기판 테이블(WT)의 각 이동 후에 또는 스캔 동안의 연속적인 방사 펄스들 사이에서 필요에 따라 업데이트될 수 있다. 이 작동 모드는, 프로그램 가능한 미러 어레이와 같은 프로그램 가능한 패터닝 디바이스를 이용하는 마스크리스(maskless) 리소그래피에 용이하게 적용될 수 있다.
설명된 사용 모드의 조합 및/또는 변형, 또는 완전히 상이한 사용 모드가 또한 사용될 수 있다.
추가 실시예에서, 리소그래피 장치(100)는 극자외선(EUV) 소스를 포함하고 있으며, 이 소스는 EUV 리소그래피를 위한 EUV 방사선의 빔을 생성하도록 구성되어 있다. 일반적으로 EUV 소스는 방사선 시스템 내에 구성되어 있으며, 대응하는 조명 시스템은 EUV 소스의 EUV 방사선 빔을 조절하도록 구성되어 있다.
도 2는 소스 컬렉터 장치(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 리소그래피 장치(100)를 보다 상세히 보여주고 있다. 소스 컬렉터 장치(SO)는 진공 환경이 소스 컬렉터 장치(SO)의 외함 구조체(220) 내에서 유지될 수 있도록 구성되고 배열되어 있다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 가스 또는 증기, 예를 들어 초고온의 플라즈마(210)가 생성되어 전자기 스펙트럼의 EUV 범위에서 방사선을 방출하는 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온의 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유발하는 방전에 의해 생성된다. 예를 들어, 10Pa의 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 부분 압력이 효율적인 방사선 생성을 위해 요구될 수 있다. 실시예에서, 여기된 주석(Sn)의 플라즈마가 제공되어 EUV 방사선을 생성한다.
고온 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(11)의 개구 내에 또는 그 뒤에 위치된 (일부 경우에, 오염물 배리어 또는 포일 트랩으로도 지칭되는) 선택적인 가스 배리어 또는 오염물 트랩(230)을 통해 소스 챔버(211)로부터 컬렉터 챔버(212) 내로 이동된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조체의 조합을 포함할 수 있다. 여기에 추가로 표시된 오염물 트랩 또는 오염물 배리어(230)는 채널 구조체를 적어도 포함한다.
컬렉터 챔버(212)는 소위 그레이징(grazing) 입사 컬렉터일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 상류 방사선 컬렉터 측(251) 및 하류 방사선 컬렉터 측(252)을 갖고 있다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(240)에서 반사되어 가상 소스 포인트(IF)에 집속될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 초점으로 지칭되며, 소스 컬렉터 장치는 중간 초점(IF)이 외함 구조체(220)의 개구(219)에 또는 그 근처에 위치하도록 배열되어 있다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다. 격자 스펙트럼 필터(240)는 특히 적외(IR) 방사선을 억제하기 위해 사용된다.
이어서, 방사선은 조명 시스템(IF)을 가로지르며, 이 조명 시스템은 패터닝 장치(MA)에서의 방사선 빔(221)의 원하는 각도 분포뿐만 아니라 패터닝 장치(MA)에서의 방사선 세기의 원하는 균일성을 제공하도록 배열된 패싯 필드 미러 디바이스(222) 및 패싯 퓨필 미러 디바이스(224)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되는 패터닝 장치(MA)에서 방사선 빔(221)이 반사되면, 패터닝된 빔(226)이 형성되며, 패터닝된 빔(226)은 반사 요소(228, 230)를 통하여 투영 시스템(PS)에 의하여, 웨이퍼 스테이지 또는 기판 테이블(WT)에 의하여 유지되는 기판(W) 상으로 이미지화된다.
일반적으로 조명 광학계 유닛(IF) 및 투영 시스템(PS)에는 보여지는 것보다 많은 요소가 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 유형에 따라 선택적으로 존재될 수 있다. 또한, 도 2에서 보여지는 것보다 더 많은 미러가 존재할 수 있으며, 예를 들어 도 2에서 보여지는 것보다 투영 시스템(PS)에는 1개 내지 6개의 추가 반사 요소가 존재될 수 있다.
도 2에 도시된 바와 같이, 컬렉터 광학계(CO)는 컬렉터 (또는 컬렉터 미러)의 예로서, 그레이징(grazing) 입사 리플렉터(253, 254, 255)를 갖는 네스티드(nested) 컬렉터로 도시되어 있다. 그레이징 입사 리플렉터(253, 254, 255)들은 광학 축(O) 주위에 축 대칭으로 배치되어 있으며, 이 유형의 컬렉터 광학계(CO)는 바람직하게는, 흔히 DPP 소스로 불리는 방전 생성 플라즈마 소스와 조합하여 사용된다.
예시적인 리소그래피 셀
도 3은 때로는 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래피 셀(300)을 보여주고 있다. 리소그래피 장치(100 또는 100')는 리소 셀(300)의 일부를 형성할 수 있다. 리소그래피 셀(300)은 또한 기판 상에 노광 전 및 노광 후 공정을 수행하기 위한 하나 이상의 장치를 포함할 수 있다. 통상적으로, 이 장치는 레지스트층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(CH), 및 베이크 플레이트(BK)를 포함하고 있다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하고, 이를 상이한 공정 장치들 사이에서 이동시키며, 그 후 이를 리소그래피 장치(100 또는 100')의 로딩 베이(loading bay)(LB)로 전달한다. 통칭적으로 트랙으로도 지칭되는 이 장치는 감독 제어 시스템(supervisory control system, SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 따라서, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
예시적인 스캐터로미터
리소그래피 장치(100 및/또는 100')와 같은 리소그래피 장치에 의해 노광된 기판이 정확하게 그리고 일관적으로 노광되는 것을 보장하기 위해, 노광된 기판을 검사하여 후속 층들 간의 오버레이 오차, 선 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직하다. 오차가 검출되면, 후속 기판의 노광에 대한 조정이 이루어질 수 있으며, 특히 동일한 배치(batch)의 다른 기판이 계속해서 노광될 만큼 곧바로 그리고 신속하게 검사가 이루어질 수 있다면 더욱 그렇다. 또한 이미 노광된 기판은 -수율 향상을 위해- 벗겨지고 재작업되거나 폐기될 수 있으며, 그에 의하여 결함이 있는 것으로 알려진 기판에 대한 노광을 수행하는 것을 방지할 수 있다. 기판의 일부 타겟 부분에만 결함이 있는 경우, 허용 가능한 타겟 부분에서만 추가 노광이 수행될 수 있다.
검사 장치는 기판의 특성, 특히 상이한 기판들 또는 동일 기판의 상이한 층들의 특성이 층마다 어떻게 변하는지를 결정하기 위해 사용될 수 있다. 검사 장치는 리소그래피 장치(100 및/또는 100') 또는 리소셀(300)과 같은 리소그래피 장치에 통합될 수 있거나, 독립형 디바이스일 수 있다. 신속한 측정을 가능하게 하기 위해, 검사 장치가 노광 직후 노광된 레지스트 층의 특성을 측정하는 것이 바람직하다. 그러나 레지스트 내의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 갖고 있으며-방사선에 노광된 레지스트의 부분과 노광되지 않은 레지스트의 부분 사이에 매우 작은 굴절률 차이만이 존재한다- 모든 계측 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 측정은 통상적으로 노광된 기판 상에서 수행되는 제1 단계이면서 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광 후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)으로 지칭될 수 있다. 또한, 현상된 레지스트 이미지-이때 레지스트 이미지에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었다-의 측정을 수행하는 것 또한 가능하거나 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판의 재작업에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 4는 본 발명에서 사용될 수 있는 스캐터로미터(SM1)를 도시하고 있다. 스캐터로미터(SM1)는 리소그래피 장치(100 및/또는 100') 또는 리소셀(300)과 같은 리소그래피 장치에 통합될 수 있거나, 독립형 디바이스일 수 있다. 이는 기판(W)에 방사선을 투영하는 광대역 (백색광) 방사선 프로젝터(2)를 포함하고 있다. 반사된 방사선은 스펙트로미터 검출기(spectrometer detector)(4)로 나아가며, 이 검출기는 정반사된 방사선(specular reflected radiation)의 스펙트럼(10) (파장의 함수로서의 세기)을 측정한다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일은 처리 유닛(PU)에 의하여, 예를 들어 엄밀한 결합 파동 분석 및 비선형 회귀에 의하여, 또는 도 4의 최하부에서 보여지는 바와 같이 시뮬레이션된 라이브러리와의 비교에 의하여 재구성될 수 있다. 일반적으로, 재구성을 위하여, 구조체 일반적인 형태가 알려져 있으며 구조체가 만들어진 공정에 대한 정보로부터 일부 매개변수를 가정되어 스캐터로메트리 데이터로부터 결정될 구조체의 몇 가지 매개변수만이 남겨진다. 이러한 스캐터로미터는 수직 입사 스캐터로미터 또는 경사-입사 스캐터로미터로서 구성될 수 있다.
본 발명에 사용될 수 있는 또 다른 스캐터로미터(SM2)가 도 5에서 보여지고 있다. 스캐터로미터(SM2)는 리소그래피 장치(100 및/또는 100') 또는 리소셀(300)과 같은 리소그래피 장치에 통합될 수 있거나, 독립형 장치일 수 있다. 스캐터로미터(SM2)는 방사선 소스(2), 렌즈 시스템(12), 필터(13) (예를 들어, 간섭 필터), 반사 디바이스(14) (예를 들어, 기준 미러), 렌즈 시스템(15) (예를 들어, 현미경 대물 렌즈 시스템, 또한 본 명세서에서는 대물 렌즈 시스템으로 지칭됨), 부분적으로 반사된 표면(16) (예를 들어, 빔 스플리터) 및 편광판(17)을 갖는 대물 광학 시스템(1)을 포함하고 있다. 스캐터로미터(SM2)는 검출기(18)와 처리 유닛(PU)을 더 포함할 수 있다.
하나의 예시적인 작동에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 사용하여 시준되고 간섭 필터(13)와 편광판(17)을 통해 전송되며, 부분적으로 반사된 표면(16)에 의해 반사되고 현미경 대물 렌즈 시스템(15)을 통해 기판(W) 상으로 집속된다. 반사된 방사선은 그후 검출된 산란 스펙트럼을 갖기 위해 부분 반사 표면(16)을 통해 검출기(18)로 전송된다. 검출기는 대물 렌즈 시스템(15)의 초점 거리(F)에 있는 후방-투영된 퓨필 평면(11)에 위치될 수 있지만, 퓨필 평면은 대신에 보조 광학계(보이지 않음)로 검출기(18) 상으로 재이미지화될 수 있다. 퓨필 평면은 방사선의 방사상 위치가 입사각을 한정하고 각도 위치가 방사선의 방위각을 한정하는 평면이다. 일 예에서, 검출기는 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기이다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서의 어레이일 수 있으며, 또한 예를 들어 프레임 당 40 밀리초의 노출 시간(integration time)을 이용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 사용될 수 있다. 이를 위해, 방사선 빔이 빔 스플리터(16)에 입사될 때 그의 일부는 빔 스플리터를 통해 기준 빔으로서 기준 미러(14)를 향해 전달된다. 기준 빔은 그후 동일한 검출기(18)의 상이한 부분 상으로 또는 대안적으로 다른 검출기(보이지 않음) 상으로 투영된다.
간섭 필터(13)는 간섭 필터 세트를 포함할 수 있으며, 이 간섭 필터 세트는 예를 들어 405 내지 790㎚의 범위 또는 예를 들어 200 내지 300㎚의 더 낮은 범위 내의 관심 대상 파장을 선택하는 데 이용 가능할 수 있다. 간섭 필터는 상이한 필터 세트를 포함하는 것보다 조정 가능할 수 있다. 대안적으로, 예를 들어, 간섭 필터 대신에 격자가 사용될 수 있다.
검출기(18)는 단일 파장 (또는 좁은 파장 범위)에서의 산란 광의 세기를 측정할 수 있으며, 세기는 다중 파장에서의 개별적이거나 파장 범위에 걸쳐 통합된다. 더욱이, 검출기(18)는 횡단 자기 편광과 횡단 전기 편광의 세기 및/또는 횡단 자기 편광과 횡단 전기 편광 사이의 위상차를 개별적으로 측정할 수 있다.
방사선 소스(2)에 대한 광대역 광원 (즉, 넓은 광 주파수 또는 파장을 갖는, 따라서 색상이 있는 광원)을 이용하는 것은 큰 에텐듀(etendue)를 제공하여 다수의 파장의 혼합을 허용할 수 있다. 광대역에서 복수의 파장 각각은 바람직하게
Figure pct00001
의 대역폭 및 적어도
Figure pct00002
(즉, 대역폭의 2배)의 간격을 가질 수 있다. 방사선의 여러 "소스"는 섬유 다발을 사용하여 분할된 확장된 방사선 소스의 상이한 부분들일 수 있다. 이렇게 하여, 각도 분해 산란 스펙트럼이 여러 파장에서 동시에 측정될 수 있다. 2-D 스펙트럼보다 더 많은 정보를 포함하고 있는 3-D 스펙트럼 (파장 및 2개의 상이한 각도)이 측정될 수 있다. 이는 계측 프로세스의 견실성을 향상시키는 더 많은 정보가 측정되는 것을 허용한다. 이것은 EP 1628164 A2에 더 자세히 설명되어 있으며, 이 문헌은 그 전체가 본 명세서에서 인용 참조된다.
기판(W) 상의 타겟(30)은 l-D 격자일 수 있으며, 이는 현상 후에 바(bars)가 고형 레지스트 라인으로 형성되도록 프린트된다. 타겟(30)은 2-D 격자일 수 있으며, 이는 현상 후에 격자가 레지스트 내에서 고형 레지스트 필라(pillars) 또는 비아(vias)로 형성되도록 프린팅된다. 바, 필라 또는 비아가 대안적으로 기판 내로 에칭될 수 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL)의 색수차 및 조명 대칭에 민감하다. 이러한 수차의 존재는 프린트된 격자의 변형으로 나타날 것이다. 따라서, 프린트된 격자의 스캐터로메트리 데이터는 격자를 재구성하기 위해 사용된다. 선 폭 및 형상과 같은, 1-D 격자의 매개변수 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은, 2-D 격자의 매개변수는 프린팅 단계 및/또는 다른 스캐터로메트리 공정의 정보로부터, 처리 유닛(PU)에 의하여 수행되는 재구성 공정으로 입력될 수 있다.
위에서 설명된 바와 같이, 타겟은 기판의 표면 상에 있을 수 있다. 이 타겟은 흔히 2-D 어레이 내에서 격자 또는 실질적으로 직사각형 구조체 내의 일련의 라인의 형상을 취한 것이다. 계측에서 엄격한 광학 회절 이론의 목적은 타겟에서 반사되는 회절 스펙트럼을 효과적으로 계산하는 것이다. 즉, CD (임계 치수) 균일성 및 오버레이 계측을 위해 타겟 형상 정보가 획득된다. 오버레이 계측은 기판 상의 2개의 층이 정렬되었는지 여부를 결정하기 위하여 2개의 타겟의 오버레이가 측정되는 측정 시스템이다. CD 균일성은 단순히, 리소그래피 장치의 노광 시스템이 어떻게 작용하고 있는지를 결정하기 위해 스펙트럼 상의 격자의 균일성의 측정이다. 구체적으로, CD 또는 임계 치수는 기판에 "기입(written)된" 대상물의 폭이며 또한 리소그래피 장치가 물리적으로 기판에 기입할 수 있는 한계이다.
"암시야(dark field)" 스캐터로메트리를 이용하는 회절 기반 오버레이는 (정반사에 대응하는) 0차 회절을 차단하고 하나 이상의 더 높은 회절 차수만 처리하여 타겟의 그레이 스케일 이미지(gray scale image)를 생성한다. 이 암시야 기술을 사용하는 회절 기반 오버레이는 더 작은 타겟에 대한 오버레이 측정을 가능하게 하며 또한 미세 회절 기반 오버레이(μDBO)로 알려져 있다. μDBO는 매우 높은 명암비를 요구할 수 있다.
예시적인 광학 시스템
프리즘은 굴절률의 차이로 인한 굴절에 기초하여 전자기(EM) 방사선을 분리하는 웨지(wedge) 형상의 투명 광학 요소이다. 일반적으로 프리즘은 평평하고 광택이 나는 표면을 가지고 있다. 프리즘의 횡단면은 다각형이며, 프리즘의 측면은 반 평행(anti-parallel)하다. 프리즘은 복수의 표면을 포함할 수 있으며, 프리즘의 표면들 사이의 각도는 다를 수 있지만, 적어도 2개의 표면 사이에는 각도가 있어야 한다. 빔 분할 프리즘은 빔을 2개 이상의 빔으로 분할하도록 구성된 반사 프리즘 유형이다. 편광 프리즘은 비선형 광학계를 기반으로 빔을 변화하는 편광 성분들로 분할하도록 구성된 프리즘 유형이다.
비선형 광학계(non-linear optics)(NLO)는 비선형 매체에서 EM 방사선을 포함하며, 이는 매체의 편광 (즉, 전기 이중극자 모멘트)이 EM 방사선의 전계와 비선형적으로 상호 작용하는 것을 의미한다. 전계와 유전 전계 사이의 정상적인 선형 관계는 비선형 매체에서 깨진다. 비선형 상호 작용은 편광, 주파수, 위상 및/또는 빔 경로의 변화로 나타날 수 있다.
비선형 프리즘 광학계는 비선형 굴절률 변화를 가질 수 있다. 예를 들어, 복굴절 물질은 EM 방사선의 편광 및 전파 방향에 좌우되는 굴절률을 갖고 있다. 복굴절 비선형 매체는 이중 굴절을 야기하며, 여기서 편광되지 않은 EM 방사선은 평행 및 수직 편광의 2개의 빔 경로로 분할된다. 복굴절 비선형 매체는 상이한 굴절률들에 대응하는 2개의 편광파 성분으로 구성된다. 정상 광선(o-ray)은 광학 축에 수직인 방향으로의 편광을 갖는 반면에, 스넬의 법칙(Snell's law)의 법칙을 따르지 않는 이상 광선(e-ray)은 매체의 광학 축 방향으로의 편광을 갖고 있다.
월라스턴(Wollaston) 프리즘은 그의 편광 구성 요소에 의하여 EM 방사선을 분리하는 비선형 프리즘 광학계이다. 월라스턴 프리즘은 편광되지 않은 EM 방사선을 서로 직교적으로 편광된 빔들로 분리한다. 일반적으로, 월라스턴 프리즘은 각 프리즘의 면에 함께 고정 (예를 들어, 접착, 접합 등)되어 정육면체(cube)를 형성하는 2개의 직각 삼각형 프리즘을 포함한다. 월라스턴 프리즘으로부터의 나가는 방사선 빔은 EM 방사선의 웨지 각도(wedge angle) 및 파장에 따라 발산하며 2개의 직교적으로 편광된 빔으로 분리된다. 웨지 각도에 의존하는 발산 각도(divergence angles)는 약 1°내지 45°범위에 이를 수 있다.
도 6은 본 발명의 일부 실시예에 따른 예시적인 검사 장치(IA)에서 사용되는 예시적인 광학 시스템(600)의 개략도이다. 광학 시스템(600)이 검사 장치(IA)와 함께 사용되는 것으로 도시되었지만, 본 발명의 실시예는 이 예에 제한되지 않으며, 본 발명의 광학 시스템 실시예는 리소그래피 장치 (100 및/또는 100'), 리소셀(300), 스캐터로미터(SM1), 스캐터로미터(SM2) 및/또는 다른 광학 시스템과 같은 다른 광학 시스템과 사용될 수 있으나, 이에 제한되지 않는다.
예를 들어, 도 6은 도 5의 스캐터로미터(SM2)의 대물 광학 시스템(1), 도 5의 스캐터로미터(SM2)의 검출기(18), 도 5의 스캐터로미터(SM2)의 처리 유닛(PU) 및 광학 시스템(600)을 도시하고 있다. 일부 예에 따르면, 광학 시스템(600)은 회절 타겟, 예를 들어 도 5의 기판(W)의 기판 타겟(30)에서 반사된 0차 회절 차수 빔(617a) 및 1차 회절 차수 빔(617b)을 받아들이도록 구성되어 있다.
일부 실시예에 따르면, 광학 시스템(600)은 0차 회절 차수 빔(617a)으로부터 제1 편광된 0차 서브-빔(623a) 및 제2 편광된 0차 서브-빔(629a)을 생성하도록 구성될 수 있다. 부가적으로, 광학 시스템(600)은 1차 회절 차수 빔(617b)으로부터 제1 편광된 1차 서브-빔(623b) 및 제2 편광된 2차 서브-빔(629b)을 생성하도록 구성될 수 있다. 일부 실시예에서, 검출기(18)는 서브-빔(623a, 623b, 629a 및 629b)을 받아들이고 서브-빔(623a, 623b, 629a 및 629b)의 세기 및/또는 편광을 측정할 수 있다. 검출기(18) 및 처리 유닛(PU)은 기판(W), 기판 타겟(30) 및/또는 기판(W)을 생성하는데 사용되는 (리소그래피 장치와 같은) 광학 시스템의 하나 이상의 매개변수를 측정하도록 구성될 수 있다. 일부 실시예에서, 검출기(18) 및 처리 유닛(PU)은 기판(W) 상의 기판 타겟(30)의 매개변수, 예를 들어 패터닝된 기판(W) 내에 또는 기판 상에 형성된 연속적인 층들 간의 오버레이 오차 및/또는 현상된 감광성 레지스트의 임계 선 폭을 측정하도록 구성될 수 있다.
일부 실시예에서, 제1 편광된 0차 서브-빔(623a)은 0차 회절 차수 빔(617a)의 선형 수평(H) 편광 성분일 수 있으며, 제2 편광된 0차 서브-빔(629a)은 선형 수평(H) 편광 성분(623a)에 직교하는, 0차 회절 차수 빔(617a)의 선형 수직(V) 편광 성분일 수 있다. 일부 실시예에서, 제1 편광된 1차 서브-빔(623b)은 1차 회절 차수 빔(617a)의 선형 수평(H) 편광 성분일 수 있으며, 제2 편광된 1차 서브-빔(629b)은 선형 수평(H) 편광 성분(623b)에 직교하는, 1차 회절 차수 빔(617b)의 선형 수직(V) 편광 성분일 수 있다.
일부 예에 따르면, 0차 회절 차수 빔(617a) 및 1차 회절 차수 빔(617b)은 편광되지 않은 방사선 빔일 수 있다. 광학 시스템(600)은 이 편광되지 않은 입력 빔(617a 및 617b)을 그들의 수평(H) 및 수직(V) 편광 성분으로 분할하도록 그리고 입력 빔(617a)으로부터의 결과적인 서브-빔(623a 및 629a) 및 입력 빔(617b)으로부터의 서브-빔(623b 및 629b)을 출력하도록 구성될 수 있으며, 이 서브-빔들 각각은 예를 들어 서로 평행하게 그리고 인접하게 이동한다. 본 발명의 실시예의 광학 시스템은 공통 초점 평면에서 단일 검출기(예를 들어, 센서) (18) 상으로 H 및 V 편광 빔을 이미지 처리하도록 구성될 수 있다. 예를 들어, 검출기(18)는 H 및 V 편광 빔을 받아들이는 단일 암시야 검출기일 수 있다. 입사 평면을 따라 전계를 갖는 편광된 방사선은 p-편광된 것(즉, 횡단-자기(transverse-magnetic)(TM))으로 간주되며, 입사 평면에 수직인 전계를 갖는 편광된 방사선은 s-편광된 것(즉, 횡단-전기(transverse-electric)(TE))으로 간주된다. 일 예에서, 서브-빔(623a 및 623b)은 수평(H) 편광 정보 및 p-편광 배향을 가질 수 있다. 또한, 예를 들어, 서브-빔(629a 및 629b)은 수직(V) 편광 정보 및 p-편광 배향을 가질 수 있다.
일부 예시적인 실시예에 따르면, 광학 시스템(600)은 또한 하나 이상의 1/4-파장 플레이트(QWP) (도 6에서는 보이지 않음) 및/또는 하나 이상의 미러 표면 (도 6에는 보이지 않음)을 포함할 수 있다. QWP는, 예를 들어 미러 표면에 도포된 QWP 폴리머 적층체 또는 QWP 코팅부를 포함할 수 있다. 대안적으로, 일부 실시예에 따라 광학 시스템(600)은 광학 시스템(600)이 임의의 QWP를 포함하지 않도록 설계될 수 있다. 일부 예에서, 광학 시스템(600)은 미러 표면을 갖는 또는 갖지 않은 광학 시스템(600) 내에서 내부 전반사(total internal reflection)(TIR)를 사용하도록 설계될 수 있다.
일부 예시적인 실시예에 따라, 광학 시스템(600)은 서브-빔(623a, 629a, 623b 및 629b)이 광학 시스템(600)을 통해 동일한 또는 실질적으로 동일한 광학 경로를 이동하도록 설계될 수 있다. 본 발명의 맥락에서, 용어 "실질적으로 동일한 광학 경로"는 경로 차이가 광학 시스템(600)을 통한 전파 후 서브-빔에 의해 형성된 이미지에 대한 초점 깊이 내에서 서브-빔이 검출기(18)에서 집속되도록 하는 것을 의미한다. 초점 깊이는, 예를 들어 방사선 파장, 서브-빔 개구수 및/또는 수차의 함수일 수 있다. 다시 말해서, 일부 예시적인 실시예에 따라 광학 시스템(600)은 광학 시스템(600)을 통한 서브-빔(623a, 629a, 623b 및 629b)에 대한 광학 경로들이 동일한 또는 실질적으로 동일한 길이를 갖도록 설계될 수 있다. 부가적으로 또는 대안적으로, 광학 시스템(600)은 광학 시스템(600)의 출력 표면(들), 입력 표면, 및/또는 다른 표면이 서브-빔(623a, 629a, 623b, 및 629b)의 광학 경로에 대해 기울어지도록 설계될 수 있다. 일부 예에 따라, 이러한 기울어짐은 이 표면의 "의사(ghost)" 반사가 검출기 (예를 들어, 검출기(18)) 상에서 1차 빔과 중첩되는 것을 방지하거나 최소화할 수 있다.
부가적인 또는 대안적인 실시예에서, 서브-빔(623a 또는 629a) 중 하나 (및 서브-빔(623b 또는 629b) 중 하나)는 광학 시스템(600) 표면을 통해 두 번 투과하거나 표면에서 두 번 반사되어 사전 결정된 편광 소광비(PER)를 달성할 수 있다. 편광 소광비는 원하지 않는 성분과 원하는 성분의 투과율로서 한정될 수 있다. 편광 소광비는 선형비(예를 들어,
Figure pct00003
)로서, 백분율(예를 들어,
Figure pct00004
)로서 또는 데시벨(dB)의 함수(예를 들어,
Figure pct00005
)로서 표현될 수 있다. 여기서, T2는 원하지 않는 성분 (예를 들어, 원하지 않는 편광)의 투과율 (예를 들어, 파워(power))일 수 있으며, T1은 원하는 성분 (예를 들어, 원하는 편광)의 투과율(예를 들어, 파워)일 수 있다. 편광 소광비는 방사선 빔의 파장에 좌우되는 특성이다. 한 예로서, 편광되지 않은 방사선 빔은 p-편광 배향을 갖는 서브-빔과 s-편광 배향을 갖는 또 다른 서브-빔으로 분할될 수 있다. p-편광 서브-빔은 광학 시스템(600)을 통해 전달될 수 있으며, s-편광 서브-빔은 광학 시스템(600)에서 반사될 수 있다. s-편광 서브-빔에 대한 편광 소광비는 광학 시스템(600)에 의해 반사되는 원하는 s-편광 서브-빔에 대한 광학 시스템(600)에 의해 반사되는 방사선 빔의 원하지 않는 부분의 비율로서 한정될 수 있다.
도 7은 일부 실시예에 따른 예시적인 검사 장치(700)에서 사용되는 예시적인 광학 시스템(750)을 도시하고 있다. 일부 실시예에 따르면, 도 6의 검사 장치(IA)는 도 7의 광학 시스템(750)을 포함할 수 있다. 예를 들어, 도 6의 광학 시스템(600)은 도 7의 광학 시스템(750)일 수 있다. 이와 같이, 광학 시스템(750)은 도 6과 관련하여 위에서 논의된 바와 같이 0차 및 1차 회절 차수 빔(617a, 617b)을 받아들일 수 있다. 그러나 광학 시스템(750)은 리소그래피 장치, 계측 장치 등의 임의의 위치에 위치할 수 있다.
도 7에서 보여지는 바와 같이, 검사 장치(700)는 광학 시스템(750), 렌즈 시스템(730) 및 검출기(740)를 포함할 수 있다. 검사 장치(700)는, 예를 들어 도 5의 기판 타겟(30)과 같은 회절 타겟에서 반사된 제1 (-0) 0차 서브-빔(702), 제2 (+0) 0차 서브-빔(704), 제1 (-1) 1차 서브-빔(706) 및 제2 (+1) 1차 서브-빔(708)을 받아들일 수 있다. 일부 실시예에서, 서브-빔(702, 704, 706 및 708)은 이중극자 또는 사중극자 조명 방사선 극 (보이지 않음)에 의해 생성되고 분리된다. 예를 들어, 서브-빔(702, 704, 706 및 708)은, 때로는 BMW 조명으로 지칭되는 2개의 반대 조명 사분면만을 사용함으로써 생성 및 격리될 수 있으며, 따라서 나머지 2개의 사분면은 조명에 사용되지 않지만 제1 (-1) 1차 서브-빔(706) 및 제2 (+1) 1차 서브-빔(708)을 캡처하도록 구성되어 있다. 일부 실시예에서, 예를 들어 도 5의 방사선 소스(2)를 포함하는 조명 시스템의 반대 사분면에 있는 방사선 극 (보이지 않음)은 서브-빔(702, 704, 706 및 708)을 생성하고 격리시킬 수 있다. 또한, 일부 실시예에서, 난시 수차는 반대 사분면에서 방사선 극과 관련된 0차 빔을 차단함으로써 감소될 수 있다. 이 조명 기술은 2009년 3월 31일에 발행된 미국 특허 제7,511,799 B2호 2014년 9월 9일에 발행된 미국 특허 제8,830,447 B2호에 더 자세히 설명되어 있으며, 이들 각각은 그 전체가 본 명세서에서 인용 참조된다.
광학 시스템(750)은 제1 비선형 프리즘 광학계(710) 및 제2 비선형 프리즘 광학계(720)를 포함할 수 있다. 다른 예 (보이지 않음)에서, 광학 시스템(750)은 2개 이상의 비선형 프리즘 광학계를 포함할 수 있다.
예를 들어, 제1 및 제2 비선형 프리즘 광학계(710, 720)는 각각 도 7에서 보여지는 바와 같은 월라스턴 프리즘일 수 있다. 예를 들어, 제1 비선형 프리즘 광학계(710)는 제1 및 제2 직각 삼각형 직교 프리즘(712, 714)을 포함할 수 있으며, 제2 비선형 프리즘 광학계(720)는 제1 및 제2 직각 삼각형 직교 프리즘(722, 724)을 포함할 수 있다. 제1 및 제2 직각 삼각형 직교 프리즘(712, 714)은 제1 단일 정육면체, 예를 들어 제1 월라스턴 프리즘을 형성할 수 있다. 그리고 제1 및 제2 직각 삼각형 직교 프리즘(722, 724)은 제2 단일 정육면체, 예를 들어 제2 월라스턴 프리즘을 형성할 수 있다.
광학 시스템(750)은 적어도 2개의 상이한 회절 차수의 2개의 편광 성분을 분리/생성하도록 구성되어 있다. 예를 들어, 제1 비선형 프리즘 광학계(710)는 제1 (-0) 및 제2 (+0) 0차 입력 서브-빔(702, 704)을 받아들일 수 있으며, (a) 제1 및 제2 편광된 제1 (-0) 0차 출력 서브-빔(716a, 716b), 및 (b) 제1 및 제2 편광된 제2 (+0) 0차 출력 서브-빔(718a, 718b)을 생성/분리할 수 있다. 일부 실시예에서, 출력 서브-빔(716a 및 718a)은 각각 입력 서브-빔(702 및 704)의 선형 수평(H) 편광 성분일 수 있다. 그리고 출력 서브-빔(716b 및 718b)은 각각 입력 서브-빔(702 및 704)의 선형 수평(H) 편광 성분(716a, 718a)에 직교하는 선형 수직(V) 편광 성분일 수 있다. 예를 들어, 제2 비선형 프리즘 광학계(720)는 제1 (-1) 및 제2 (+1) 1차 입력 서브-빔(706, 708)을 받아들일 수 있으며, (a) 제1 및 제2 편광된 제1 (-1) 1차 출력 서브-빔(726a, 726b), 및 (b) 제1 및 제2 편광된 제2 (+1) 1차 출력 서브-빔(728a, 728b)을 생성/분리할 수 있다. 일부 실시예에서, 출력 서브-빔(726a 및 728a)은 각각 입력 서브-빔(706 및 708)의 선형 수평(H) 편광 성분일 수 있다. 그리고 출력 서브-빔(726b 및 728b)은 각각 입력 서브-빔(706 및 708)의 선형 수평(H) 편광 성분(726a, 728a)에 직교하는 선형 수직(V) 편광 성분일 수 있다.
일부 실시예에서, 제1 비선형 프리즘 광학계(710)와 제2 비선형 프리즘 광학계(720)는 상이하게 구성되어 있다-제1 비선형 프리즘 광학계(710)와 제2 비선형 프리즘 광학계(720)는 상이한 유형의 비선형 프리즘 광학계이다. 예를 들어, 제1 비선형 프리즘 광학계(710)의 삼각 프리즘(712, 714)은 웨지 연결부(711)에서 고정 (예를 들어, 접착, 접합, 결합 등)되어 있으며, 이 웨지 연결부는 웨지 연결부(711)와 제1 비선형 프리즘 광학계(710)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(713)를 형성한다. 출력 서브-빔(716a, 716b 및 718a, 718b)에 대한 발산 각도(715a 및 715b)는 각각 웨지 각도(713)에 의존한다. 예를 들어, 제2 비선형 프리즘 광학계(720)의 삼각 프리즘(722, 724)은 웨지 연결부(721)에서 고정 (예를 들어, 접착, 접합, 결합 등)되어 있으며, 이 웨지 연결부는 웨지 연결부(721)와 제2 비선형 프리즘 광학계(720)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(723)를 형성한다. 출력 서브-빔(726a, 726b 및 728a, 728b)에 대한 발산 각도(725a 및 725b) 각각은 웨지 각도(723)에 의존한다. 일부 실시예에서, 웨지 각도(713)는 웨지 각도(721)보다 큰 발산 각도(715a, 715b) 및 제2 비선형 프리즘 광학계(720)에 의해 형성된 대응 발산 각도(725a, 725b)를 형성할 수 있다. 일부 실시예에서, 제1 비선형 프리즘 광학계(710)의 웨지 각도(713) (및 발산 각도(715a, 715b))는 예를 들어, 약 45°일 수 있으며, 따라서 출력 서브-빔(716a 및 716b) (및 출력 서브-빔(718a 및 718b))은 제1 비선형 프리즘 광학계(710)에서 나갈 때 약 45°만큼 분리/발산된다. 일부 실시예에서, 제2 비선형 프리즘 광학계(720)의 웨지 각도(721) (및 발산 각도(725a, 725b))는 예를 들어, 약 15°일 수 있으며, 따라서 출력 서브-빔(726a 및 726b) (및 출력 서브-빔(728a 및 728b))은 제2 비선형 프리즘 광학계(720)에서 나갈 때 약 15°만큼 분리/발산된다. 일부 실시예에서, 제1 비선형 프리즘 광학계(710)는 제2 비선형 프리즘 광학계(720)보다 두꺼울 수 있으며, 따라서 입력 서브-빔(702, 704)은 제1 비선형 프리즘 광학계(710)를 통해 더 긴 경로를 이동한다. 예를 들어, 입력 서브-빔(702, 704)의 세기는 더 긴 경로에 의해 제1 비선형 프리즘 광학계(710) 내에서의 입력 서브-빔(702, 704)의 흡수 및/또는 산란으로부터 감소될 수 있다. 일부 실시예에서, 제2 비선형 프리즘 광학계(720)는 제1 비선형 프리즘 광학계(710)보다 두꺼울 수 있으며, 따라서 입력 서브-빔(706, 708)은 제2 비선형 프리즘 광학계(720)을 통해 더 긴 경로를 이동한다.
검사 장치(700)는 또한 렌즈 시스템(730)을 포함하고 있다. 렌즈 시스템(730)은 광학 시스템(750)과 검출기(740) 사이에 배치되어 있다. 예를 들어, 렌즈 시스템(730)은 출사 서브-빔(716a, 716b, 718a, 718b, 726a, 726b, 728a 및 728b)을 검출기(740) 상으로 집속하기 위하여 초점 거리(F)에 위치될 수 있다. 일부 실시예에서, 렌즈 시스템(730)은 서브-빔(716a, 716b, 718a, 718b, 726a, 726b, 728a 및 728b)을 검출기(740) 상에 미리 배열된 패턴으로 배열할 수 있다. 일부 실시예에서, 광학 시스템(750)은 검사 장치(700)의 퓨필 평면에 있다. 퓨필 평면은 방사선의 방사상 위치가 입사각을 한정하고 각도 위치가 방사선의 방위각을 한정하는 평면이다. 렌즈 시스템(730)이 단일 광학 요소로 도시되어 있지만, 렌즈 시스템(730)은 2개 이상의 광학 요소로 구성될 수 있다. 일부 실시예에서, 렌즈 시스템(730)은 검사 장치(700)에서 생략될 수 있다.
검사 장치(700)는 또한 검출기(740)를 포함하고 있다. 검출기(740)는 검출기(740)의 표면에 충돌하는 에너지 (예를 들어, 광자, EM 방사선)를 검출 및/또는 감지할 수 있다. 예를 들어, 검출기(740)는 광 생성 (예를 들어, 전자-홀 쌍) 및/또는 전자로의 광자의 전달이 발생하는 광활성 영역(photoactive region)을 포함할 수 있으며, 검출기(740)는 충돌 에너지에 의해 생성된 전하의 이동을 측정할 수 있다. 일부 실시예에서, 검출기(740)는 서브-빔(716a, 716b, 718a, 718b, 726a, 726b, 728a 및 728b)을 이미지화하기 위한 단일 검출기일 수 있다. 예를 들어, 검출기(740)는 단일 암시야 또는 위상차 검출기 (예를 들어, CCD, CMOS 등)일 수 있다. 이 검출기에서, 산란되지 않은 조명 빔, 예를 들어 도 5의 방사선 소스는 광학 시스템(750)에 들어가는 빔에서 제외되거나 차단된다. 일부 실시예에서, 검출기(740)는 에너지의 검출을 위한 4개의 개별 감광 영역을 갖는 사분면(quadrant) 검출기일 수 있다.
검사 장치(700) 또는 광학 시스템(750)은 하나 이상의 중성 밀도 필터(ND)를 포함할 수 있다. 중성 밀도 필터(ND)는 충돌하는 방사선의 세기를 (예를 들어, 부분 반사에 의하여) 동일하게 줄이거나 변경시키는 광학 필터이다. 일부 실시예에서, 도 7에서 보여지는 바와 같이, 중성 밀도 필터(ND)는 들어오는 제1 (-0) 및 제2 (+0) 0차 서브-빔과 제1 비선형 프리즘 광학계(710) 사이에 배치될 수 있다. 중성 밀도 필터(ND)는 1차 회절 빔(706, 708)보다 더 높은 세기를 가질 수 있는 0차 회절 빔(702, 704)의 세기를 감소시키도록 구성되어 검출기(740)에 충돌하는 모든 0차 및 1차 서브-빔(716a, 716b, 718a, 718b, 726a, 726b, 728a 및 728b)의 세기를 정규화한다. 예를 들어, 중성 밀도 필터(ND)는 제1 (-1) 및 제2 (+1) 1차 서브-빔(706, 708)의 세기에 대하여 제1 (-0) 및 제2 (+0) 0차 서브-빔(702, 704)의 세기를 정규화할 수 있다. 일부 실시예에서, 검사 장치(700) 또는 광학 시스템(750)은 중성 밀도 필터(ND)를 생략할 수 있다. 대안적으로, 일부 실시예에서, 중성 밀도 필터(ND)는 생략될 수 있으며, 제1 비선형 프리즘 광학계(710)는 제2 비선형 프리즘 광학계(720)보다 크기가 더 두껍도록 구성될 수 있다. 예를 들어, 제1 비선형 프리즘 광학계(710)의 두께는 제1 (-1) 및 제2 (+1) 1차 서브-빔(706, 708)의 세기에 대하여 제1 (-0) 및 제2 (+0) 0차 서브-빔(702, 704)의 세기를 정규화하도록 설계될 수 있다.
도 8은 일부 실시예에 따른 예시적인 광학 시스템(800)을 도시하고 있다. 일부 예에 따르면, 광학 시스템(800)은 비선형 프리즘 광학계(810, 820, 830 및 840)의 2×2 매트릭스 어레이(802)를 포함하고 있다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 비선형 프리즘 광학계(810, 820, 830 및 840)의 2×2 매트릭스 어레이(802)는 투명 플레이트(804) 상에 배치되어 있다. 투명 플레이트(804)는 비선형 프리즘 광학계들(810, 820, 830 및 840) 간의 위치 관계를 유지한다. 일부 실시예에서, 플레이트(804)는 생략되며, 2×2 매트릭스 어레이(802)는 서로에 대한 위치에서 비선형 프리즘 광학계(810, 820, 830 및 840)를 고정하도록 구성된 광학 프레임 또는 케이지 (보이지 않음) 내에 배치된다.
일부 실시예에 따르면, 도 7의 검사 장치(700)는 도 8의 광학 시스템(800)을 포함할 수 있다. 예를 들어, 도 7의 광학 시스템(750)은 도 8의 광학 시스템(800)일 수 있다. 광학 시스템(800)은 도 4의 검출기(4), 도 5 및/또는 도 6의 검출기(18), 및/또는 도 7의 검출기(740) 근처에 위치될 수 있다. 광학 시스템(800)은 도 6과 관련하여 위에서 논의된 바와 같이 0차 및 1차 회절 차수 빔(617a, 617b) 또는 도 7과 관련하여 위에서 논의된 바와 같이 0차 및 1차 서브-빔(702, 704, 706 및 708)을 받아들이도록 구성될 수 있다. 그러나 광학 시스템(800)은 리소그래피 장치, 계측 장치 등의 임의의 위치에 설치될 수 있다. 도 8은 2×2 매트릭스 어레이를 도시하고 있지만, 광학 시스템(800)은 상이한 크기의 어레이를 포함할 수 있다.
광학 시스템(800)은 제1 비선형 프리즘 광학계(810), 제2 비선형 프리즘 광학계(820), 제3 비선형 프리즘 광학계(830) 및/또는 제4 비선형 프리즘 광학계(840)를 포함할 수 있다. 예를 들어, 비선형 프리즘 광학계(810, 820, 830 및 840)는 각각 월라스턴 프리즘일 수 있다. 대안적으로, 일부 실시예에서, 비선형 프리즘 광학계(810, 820, 830 및 840) 각각은 복굴절 광학 요소, 노마스키(Nomarski) 프리즘, 세너몬트(Senarmont) 프리즘, 로숀(Rochon) 프리즘, 글랜-톰슨(Glan-Thompson) 프리즘 및/또는 글랜-푸코(Glan-Foucault) 프리즘일 수 있다. 대안적으로, 일부 실시예에서, 비선형 프리즘 광학계(810, 820, 830 및/또는 840)은 복굴절 광학 요소, 월라스턴 프리즘, 노마스키 프리즘, 세너몬트 프리즘, 로숀 프리즘, 글랜-톰슨 프리즘 및/또는 글랜-푸코 프리즘일 수 있다. 일부 실시예에서, 투명 플레이트(804)는 투명 글라스 직면체(orthotope)일 수 있다. 다시, 일부 실시예에서, 투명 플레이트(804)는 생략될 수 있다.
일부 실시예에서, 제1 비선형 프리즘 광학계(810)는 제1 및 제2 직각 삼각형 직교 프리즘(812, 814)을 포함하고 있다. 예를 들어, 도 8에서 보여진 바와 같이, 제1 비선형 프리즘 광학계(810)의 제1 및 제2 직각 삼각형 직교 프리즘(812, 814)은 웨지 연결부(보이지 않음)에서 고정(예를 들어, 접착(glued), 접합(cemented), 결합(bonded), 등)되어 있으며, 이 웨지 연결부는 웨지 연결부 (보이지 않음)와 제1 비선형 프리즘 광학계(810)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(보이지 않음)를 형성한다. 출력 서브-빔 (보이지 않음)에 대한 발산 각도(보이지 않음)는 웨지 각도 (보이지 않음)에 좌우된다. 일부 실시예에서, 제1 비선형 프리즘 광학계(810)의 웨지 각도 (보이지 않음) 및 대응 발산 각도 (보이지 않음)는 약 1° 내지 45° 범위에 이를 수 있다. 예를 들어, 제1 및 제2 직각 삼각형 직교 프리즘(812, 814)은 45°의 웨지 각도(보이지 않음)를 갖는 정육면체(cube)를 형성할 수 있다.
일부 실시예에서, 제1 비선형 프리즘 광학계(810)는 제3 비선형 프리즘 광학계(830) 및 제4 비선형 프리즘 광학계(840) 각각의 웨지 각도(835) 및/또는 웨지 각도(845)보다 큰 웨지 각도(보이지 않음)와 발산 각도(보이지 않음), 예를 들어 45°의 웨지 각도를 갖도록 구성된 제1 유형의 월라스턴 프리즘(810)일 수 있다. 제1 비선형 프리즘 광학계(810)는 제1 (-0) 0차 서브-빔(816)을 받아들이고, 제1 및 제2의 편광된 제1 (-0) 0차 서브-빔(보이지 않음)을 분리/생성한다. 일부 실시예에서, 예를 들어, 서브-빔 (보이지 않음)은 선형 수평(H) 편광 성분일 수 있으며, 서브-빔 (보이지 않음)은 제1 (-0) 0차 서브-빔(816)의, 선형 수평(H) 편광 성분에 직교하는 선형 수직(V) 편광 성분일 수 있다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 입력 서브-빔(816)의 선형 수직(V) 편광 성분 (보이지 않음)을 분리/생성하기 위하여 제1 직각 삼각형 직교 프리즘(812)은 수직 화살표로 표시된, 수직(V) 방향의 광학 축을 갖고 있으며, 입력 서브-빔(816)의 선형 수평(H) 편광 성분 (보이지 않음)을 분리/생성하기 위하여 제2 직각 삼각형 직교 프리즘(814)은 수평(H) 방향의 광학 축을 갖고 있다.
제2 비선형 프리즘 광학계(820)는 제1 비선형 프리즘 광학계(720)와 유사하다. 일부 실시예에서, 제2 비선형 프리즘 광학계(820)는 제1 및 제2 직각 삼각형 직교 프리즘(822, 824)을 포함하고 있다. 예를 들어, 도 8에서 보여지는 바와 같이, 제2 비선형 프리즘 광학계(820)의 제1 및 제2 직각 삼각형 직교 프리즘(822, 824)은 웨지 연결부(823)에서 고정 (예를 들어, 접착, 접합, 결합 등)되어 있으며, 이 웨지 연결부는 웨지 연결부(823)와 제2 비선형 프리즘 광학계(820)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(825)를 형성한다. 출력 서브-빔(828a, 828b)에 대한 발산 각도(827)는 웨지 각도(825)에 좌우된다. 일부 실시예에서, 제1 비선형 프리즘 광학계(810)의 웨지 각도(825) 및 대응 발산 각도 (827)는 약 1° 내지 45° 범위에 이를 수 있다. 예를 들어, 제1 및 제2 직각 삼각형 직교 프리즘(822, 824)은 45°의 웨지 각도(825)를 갖는 정육면체를 형성할 수 있다.
일부 실시예에서, 제2 비선형 프리즘 광학계(820)는 제3 비선형 프리즘 광학계(830) 및 제4 비선형 프리즘 광학계(840) 각각의 웨지 각도(835) 및/또는 웨지 각도(845)보다 큰 웨지 각도(825) 및 발산 각도(827), 예를 들어 45°의 웨지 각도(825)를 갖도록 구성된 제1 유형의 월라스턴 프리즘(820)일 수 있다. 제2 비선형 프리즘 광학계(820)는 제2 (+0) 0차 서브-빔(826)을 받아들이고 제1 및 제2 편광된 제2 (+0) 0차 서브-빔(828a, 828b)을 분리/생성한다. 일부 실시예에서, 예를 들어, 서브-빔(828a)은 선형 수평(H) 편광 성분일 수 있으며, 서브-빔(828b)은 선형 수평(H) 편광 성분(828a)에 직교하는, 제2 (+0) 0차 서브-빔(826)의 선형 수직(V) 편광 성분일 수 있다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 제1 및 제2 비선형 프리즘 광학계(810, 820)는 서로에 대해 광학 축을 중심으로 90° 회전된다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 입력 서브-빔(826)의 선형 수평(H) 편광 성분(828a)을 분리/생성하기 위하여 제1 직각 삼각형 직교 프리즘(822)은 수평 화살표로 표시된, 수평(H) 방향의 광학 축을 갖고 있으며, 입력 서브-빔(826)의 선형 수직(V) 편광 성분(828b)을 분리/생성하기 위하여 제2 직각 삼각형 직교 프리즘(824)은 수직(V) 방향의 광학 축을 갖고 있다.
일부 실시예에서, 제3 비선형 프리즘 광학계(830)는 제1 및 제2 직교 프리즘(832, 834)을 포함하고 있다. 예를 들어, 도 8에서 보여지는 바와 같이, 제3 비선형 프리즘 광학계(830)의 제1 및 제2 직교 프리즘(832, 834)은 웨지 연결부(833)에서 고정 (예를 들어, 접착, 접합, 결합 등)되어 있으며, 이 웨지 연결부는 웨지 연결부(833)와 제3 비선형 프리즘 광학계(830)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(835)를 형성한다. 출력 서브-빔(838a, 838b)에 대한 발산 각도(837)는 웨지 각도(835)에 의존한다. 일부 실시예에서, 제3 비선형 프리즘 광학계(830)의 웨지 각도(835) 및 대응 발산 각도(837)는 약 1° 내지 45° 범위에 이를 수 있다. 예를 들어, 제1 및 제2 직각 삼각형 직교 프리즘(832, 834)은 15°의 웨지 각도(835)를 갖는 정육면체를 형성할 수 있다.
일부 실시예에서, 제3 비선형 프리즘 광학계(830)는 제1 비선형 프리즘 광학계(810) 및 제2 비선형 프리즘 광학계(820) 각각의 웨지 각도 (보이지 않음) 및/또는 웨지 각도(825)보다 작은 웨지 각도(835) 및 발산 각도(837), 예를 들어 15°의 웨지 각도(835)를 갖도록 구성된 제2 유형의 제3 월라스턴 프리즘(830)일 수 있다. 제3 비선형 프리즘 광학계(830)는 제1 (-1) 1차 서브-빔(836)을 받아들이고 제1 및 제2 편광된 제1 (-1) 1차 서브-빔(838a, 838b)을 분리/생성한다. 일부 실시예에서, 예를 들어, 서브-빔(838a)은 선형 수평(H) 편광 성분일 수 있으며, 서브-빔(838b)은 선형 수평(H) 편광 성분(818a)에 직교하는, 제1 (-1) 1차 서브-빔(836)의 선형 수직(V) 편광 성분일 수 있다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 입력 서브-빔(836)의 선형 수직(V) 편광 성분(838b)을 분리/생성하기 위하여 제1 직각 삼각형 직교 프리즘(832)은 수직 화살표로 표시된, 수직(V) 방향의 광학 축을 갖고 있으며, 입력 서브-빔(836)의 선형 수평(H) 편광 성분(838a)을 분리/생성하기 위하여 제2 직각 삼각형 직교 프리즘(834)은 수평(H) 방향의 광학 축을 갖고 있다.
제4 비선형 프리즘 광학계(840)는 제3 비선형 프리즘 광학계(830)와 유사하다. 일부 실시예에서, 제4 비선형 프리즘 광학계(840)는 제1 및 제2 직교 프리즘(842, 844)을 포함하고 있다. 예를 들어, 도 8에서 보여지는 바와 같이, 제4 비선형 프리즘 광학계(840)의 제1 및 제2 직교 프리즘(842, 844)은 웨지 연결부(843)에서 고정 (예를 들어, 접착, 접합, 결합 등)되어 있으며, 이 웨지 연결부는 웨지 연결부(843)와 제4 비선형 프리즘 광학계(840)의 베이스에 평행한 수평 횡단면 사이에 웨지 각도(845)를 형성한다. 출력 서브-빔(848a, 848b)에 대한 발산 각도(847)는 웨지 각도(845)에 의존한다. 일부 실시예에서, 제4 비선형 프리즘 광학계(840)의 웨지 각도(845) 및 대응 발산 각도(847)는 약 1° 내지 45° 범위에 이를 수 있다. 예를 들어, 제1 및 제2 직각 삼각형 직교 프리즘(842, 844)은 15°의 웨지 각도(845)를 갖는 정육면체를 형성할 수 있다.
일부 실시예에서, 제4 비선형 프리즘 광학계(830)는 제1 비선형 프리즘 광학계(810) 및 제2 비선형 프리즘 광학계(820) 각각의 웨지 각도 (보이지 않음) 및/또는 웨지 각도(825)보다 작은 웨지 각도(845) 및 발산 각도(847), 예를 들어 15°의 웨지 각도(845)를 갖도록 구성된 제2 유형의 제4 월라스턴 프리즘(840)일 수 있다. 제4 비선형 프리즘 광학계(840)는 제2 (+1) 1차 서브-빔(846)을 받아들이고 제1 및 제2 편광된 제2 (+1) 1차 서브-빔(848a, 848b)을 분리/생성한다. 일부 실시예에서, 예를 들어, 서브-빔(848a)은 선형 수평(H) 편광 성분일 수 있으며, 서브-빔(848b)은 선형 수평(H) 편광 성분(848a)에 직교하는, 제2 (+1) 1차 서브-빔(846)의 선형 수직(V) 편광 성분일 수 있다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 제3 및 제4 비선형 프리즘 광학계(830, 840)는 서로에 대해 광학 축을 중심으로 90° 회전된다. 일부 실시예에서, 도 8에서 보여지는 바와 같이, 입력 서브-빔(846)의 선형 수평(H) 편광 성분(848a)을 분리/생성하기 위하여 제1 직각 삼각형 직교 프리즘(842)은 수평 화살표로 표시된, 수평(H) 방향의 광학 축을 갖고 있으며, 입력 서브-빔(856)의 선형 수직(V) 편광 성분(848b)을 분리/생성하기 위하여 제2 직각 삼각형 직교 프리즘(844)은 수직(V) 방향의 광학 축을 갖고 있다.
도 9는 일부 실시예에 따른 예시적인 광학 시스템(900)을 개략적으로 도시하고 있다. 일부 예에 따르면, 광학 시스템(900)은 투명 플레이트(904) 상에 배치된 (예를 들어, 고정된) 4개의 월라스턴 프리즘(910, 920, 930 및 940)의 2×2 매트릭스 어레이(902)이다. 광학 시스템(900)은 도 8의 광학 시스템(800)과 유사하며, 도 9는 광학 시스템(900)의 평면도이다.
광학 시스템(900)은 제1 월라스턴 프리즘(910), 제2 월라스턴 프리즘(920), 제3 월라스턴 프리즘(930) 및 제4 월라스턴 프리즘(940)을 포함하고 있다. 일부 실시예에서, 제1 및 제2 월라스턴 프리즘(910, 920)은 제1 유형이다. 예를 들어, 제1 및 제2 월라스턴 프리즘(910, 920)은 각각 약 20° 내지 45° 범위에 이르는 웨지 각도 (및 발산 각도)를 가질 수 있다. 예를 들어, 제1 및 제2 월라스턴 프리즘(910, 920)은 각각 약 40° 내지 45° 범위에 이르는 웨지 각도 (및 발산 각도)를 가질 수 있다. 일부 실시예에서, 제3 및 제4 월라스턴 프리즘(930, 940)은 제2 유형이다. 예를 들어, 제3 및 제4 월라스턴 프리즘(930, 940)은 각각 약 1° 내지 25° 범위에 이르는 웨지 각도 (및 발산 각도)를 가질 수 있다. 예를 들어, 제3 및 제4 월라스턴 프리즘(930, 940)은 각각 약 10° 내지 15° 범위에 이르는 웨지 각도 (및 발산 각도)를 가질 수 있다. 일부 실시예에서, 도 9에서 보여지는 바와 같이, 제1 및 제2 월라스턴 프리즘(910, 920)은 서로에 대해 광학 축을 중심으로 90° 회전되며, 제3 및 제4 월라스턴 프리즘(930, 940)은 서로에 대해 광학 축을 중심으로 90° 회전된다.
도 10은 본 발명의 일부 실시예에 따른 예시적인 광학 시스템(1000)을 도시하고 있다. 일부 실시예에 따르면, 도 7의 검사 장치(700)는 도 8의 광학 시스템(800) 또는 도 9의 광학 시스템(900)을 포함할 수 있다. 예를 들어, 도 7의 광학 시스템(750)은 도 8의 광학 시스템(800) 또는 도 9의 광학 시스템(900)일 수 있다. 광학 시스템(800) 또는 광학 시스템(900)은 도 4의 검출기(4), 및/또는 도 5 및/또는 도 6의 검출기(18), 및/또는 도 7의 검출기(740) 근처에 위치될 수 있으며, 또한 도 6과 관련하여 위에서 논의된 바와 같이 0차 및 1차 회절 차수 빔(617a, 617b), 도 7과 관련하여 위에서 논의된 바와 같이 0차 및 1차 서브-빔(702, 704, 706, 및 708), 또는 도 8과 관련하여 위에서 논의된 바와 같이 0차 및 1차 서브-빔(816, 826, 836, and 846)을 받아들이도록 구성될 수 있다. 일부 실시예에 따르면, 광학 시스템(750), 광학 시스템(800), 또는 광학 시스템(900)은 도 10의 광학 시스템(1000)을 포함할 수 있다.
도 10에서 보여지는 바와 같이, 광학 시스템(1000)은 검출기(1006) 상에서 상부 대각선 영역(1002)과 하부 대각선 영역(1004)으로 각각 분리된 수평(H) 편광 성분(1002)과 수직(V) 편광 성분(1004)을 포함하고 있다. 검출기(1006)는 도 4의 검출기(4), 도 5 및/또는 도 6의 검출기(18), 및 도 7의 검출기(740)와 유사할 수 있다. 도 1의 광학 시스템(750), 도 8의 광학 시스템(800) 및 도 9의 광학 시스템(900)과 유사하게, 검출기(1006)는 제1 및 제2 편광된 제1 (-0) 0차 서브-빔(1018a, 1018b), 제1 및 제2 편광된 제2 (+0) 0차 서브-빔(1028a, 1028b), 제1 및 제2 편광된 제1 (-1) 1차 서브-빔(1038a, 1038b), 및 제1 및 제2 편광된 제2 (+1) 1차 서브-빔(1048a, 1048b)을 받아들이고 이미지화한다. 일부 실시예에서, 도 10에서 보여지는 바와 같이, 서브-빔(1018a, 1028a, 1038a 및 1048a)은 선형 수평(H) 편광 성분일 수 있으며, 서브-빔(1018b, 1028b, 1038b 및 1048b는 선형 수평(H) 편광 성분에 직교하는 선형 수직(V) 편광 성분일 수 있다.
일부 실시예에서, 도 10에서 보여지는 바와 같이, 0차 서브-빔(1018a, 1018b, 1028a 및 1028b)은, 예를 들어 도 9의 제1 유형의 제1 및 제2 월라스턴 프리즘(910, 920)으로부터의 광학 시스템(1000)의 큰 발산 각도 (예를 들어, 45°의 웨지 각도)로 인해 검출기(1006)의 외부 링에 배열된다. 일부 실시예에서, 도 10에서 보여지는 바와 같이, 1차 서브-빔(1038a, 1038b, 1048a 및 1048b)은, 예를 들어 도 9의 제2 유형의 제3 및 제4 월라스턴 프리즘(930, 940)으로부터의 광학 시스템(1000)의 작은 발산 각도 (예를 들어, 15°의 웨지 각도)로 인해 검출기(1006)의 내부 링에 배열된다.
일부 실시예에서, 검출기(1006)는 각 회절 차수(1018a, 1018b, 1028a, 1028b, 1038a, 1038b, 1048a 및 1048b)의 0차 및 1차 회절 차수 그리고 제1 및 제2 편광을 동시에 검출한다. 예를 들어, 도 10에서 보여지는 바와 같이, 검출기(1006)는 서브-빔(1018a, 1018b, 1028a, 1028b, 1038a, 1038b, 1048a, 1048b)을 8개의 개별 빔 스폿으로 이미지화한다. 일부 실시예에서, 검출기(1006)는 단일 암시야 검출기이다.
일부 실시예에서, 0차 및 1차 회절 차수 그리고 각 회절 차수의 제1 및 제2 편광의 검출 후, 하나 이상의 회절 차수, 예를 들어 도 10의 1018a, 1018b, 1028a, 1028b, 1038a, 1038b, 1048a 및/또는 1048b의 검출된 제1 및 제2 편광에 기초하여 회절 타겟, 예를 들어 도 5의 기판 타겟(30)의 관심 대상 매개변수는 조정 및/또는 최적화되어, 계측 시스템, 리소그래피 시스템, 스캐터로미터, 검사 장치 및/또는 리소그래피 셀에서의 정확도, 정밀도, 타이밍, 효율성, 신호 대 노이즈 비(S/N) 및/또는 생산성을 개선한다. 예를 들어, 관심 대상 매개변수는 회절 타겟 내의 또는 회절 타겟 상에 형성된 연속적인 층들 간의 오버레이 오차 및/또는 현상된 감광성 레지스트의 임계 선 폭일 수 있다. 개별적인 제1 및 제2 편광 (예를 들어, 제2 (+1) 1차 서브-빔(1048a, 1048b) 각각에 대한 H 및 V) 및/또는 제1 및 제2 편광의 교차-편광 (예를 들어, 제2 편광된(V) 제2 (+1) 1차 서브-빔(1048b) 내의 제1 편광된(H) 제2(+1) 1차 서브-빔(1048a)의 양 측정)에 기초하여, 연속적인 층들 간의 오버레이 오차는 조정(최소화)될 수 있다. 부가적으로 또는 대안적으로, 예를 들어, 1-D 격자에 대한 관심 대상 매개변수는 선 폭 및/또는 형상일 수 있다. 부가적으로 또는 대안적으로, 예를 들어, 2-D 격자에 대한 관심 대상 매개변수는 필라, 비아 폭 또는 길이, 및/또는 형상일 수 있다. 일부 실시예에서, 관심 대상 매개변수는 리소그래피 장치의 작동 매개변수일 수 있으며, 이는 리소그래피 장치에서의 정확도, 정밀도, 타이밍, 효율, 신호 대 노이즈 비(S/N) 및/또는 생산성을 향상시키기 위하여 조정될 수 있다. 예를 들어, 작동 매개 변수는 오버레이 오차일 수 있다. 예를 들어, 작동 매개변수는 병진 운동(translation), 확대, 회전, 편광 및/또는 웨이퍼 좌표로 표현되는 오버레이 오차일 수 있다.
일부 실시예에서, 0차 및 1차 회절 차수 그리고 각 회절 차수의 제1 및 제2 편광의 검출 후, 하나 이상의 회절 차수, 예를 들어 도 10의 1018a, 1018b, 1028a, 1028b, 1038a, 1038b, 1048a 및/또는 1048b의 검출된 제1 및 제2 편광에 기초하여 리소그래피 장치, 예를 들어 리소그래피 장치(100 또는 100')의 매개변수는 조정 및/또는 최적화되어, 리소그래피 장치에서의 정확도, 정밀도, 타이밍, 효율성, 신호 대 노이즈 비(S/N) 및/또는 생산성을 개선한다. 예를 들어, 리소그래피 장치의 매개변수는 패터닝된 기판 내에 또는 기판 상에 형성된 연속적인 층들 사이의 오버레이 오차 및/또는 현상된 감광성 레지스트의 임계 선 폭일 수 있다. 부가적으로 또는 대안적으로, 예를 들어 리소그래피 장치의 매개변수는 추가 기판을 처리하기 위한 레시피 단계일 수 있다. 부가적으로 또는 대안적으로, 예를 들어, 제조 공정, 리소그래피 공정 및/또는 계측 공정의 하나 이상의 단계는 하나 이상의 회절 차수 (예를 들어, 도 10의 1018a, 1018b, 1028a, 1028b, 1038a, 1038b, 1048a 및/또는 1048b)의 검출된 제1 및 제2 편광에 따라 제어될 수 있다.
일부 실시예에서, 하나 이상의 회절 차수의 제1 및 제2 편광은 검출기(18) 및/또는 처리 유닛(PU)에 의해 조사될 수 있다. 일부 실시예에서, 수평(H) 및 수직(V) 편광 성분의 교차-편광이 조사될 수 있다. 예를 들어, 하나 이상의 편광 차수에 대하여 얼마큼의 수평(H) 편광 성분의 양이 수직(V) 편광 성분으로 누설되었는지 그리고 그 반대가 측정될 수 있다. 일부 실시예에서, 일부 회절 타겟에 대해, 각 편광(H 또는 V)의 강도 또는 세기가 조사될 수 있다. 예를 들어, 수평(H) 편광의 양은 일부 타겟 (예를 들어, 수평 라인 형상)에 대해 수직(V) 편광보다 클 수 있으며, 교차-편광 (예를 들어, 얼마큼 H가 V로 누설되었는지)이 비교되어 특정 타겟, 기판, 및/또는 리소그래피 장치에 대한 관심 대상 매개변수의 더 나은 정확도 및/또는 정밀도를 결정할 수 있다.
일부 실시예에서, 본 발명의 실시예의 광학 시스템은 편광되지 않은 빔의 H 및 V 편광을 분리하고 H 및 V 편광 빔 모두를 공통 초점 평면 (예를 들어, 퓨필 평면)에서 단일 검출기 (예를 들어, 암시야 센서) 상으로 이미지화하도록 구성될 수 있다. 부가적으로 또는 대안적으로, 하나 이상의 비선형 프리즘 광학계를 갖는 광학 시스템을 H 및 V 편광 빔 경로 모두에서 평판으로서 기능을 하게 함으로써 본 발명의 실시예의 광학 시스템은 색수차 (예를 들어, 측면 색수차)를 최소화할 수 있다.
실시예는 다음의 항목을 이용하여 더 설명될 수 있다:
1. 검사 장치는,
비선형 프리즘 광학계를 포함하며, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성된 광학 시스템; 및
0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성된 검출기를 포함하고 있다.
2. 항목 1항의 검사 장치에서, 광학 시스템은 검사 장치의 퓨필 평면에 있다.
3. 항목 1의 검사 장치에서, 비선형 프리즘 광학계는 복굴절 광학계이며 0차 및 1차 회절 차수 빔의 각각으로부터 정상 광선과 이상 광선을 분리하도록 구성되어 있다.
4. 항목 1의 검사 장치에서,
0차 및 1차 회절 차수 빔의 각각의 제1 편광은 수평 편광 성분이며,
0차 및 1차 회절 차수 빔의 각각의 제2 편광은 수평 편광 성분에 직교하는 수직 편광 성분이다.
5. 항목 1의 검사 장치에서, 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하고 있다.
6. 항목 5의 검사 장치에서, 복수의 비선형 프리즘 광학계는 복수의 월라스턴 프리즘을 포함하고 있다.
7. 항목 6의 검사 장치에서, 복수의 월라스턴 프리즘은,
제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 가지며, 서로에 대하여 90° 회전되는 2개의 제1 유형의 월라스턴 프리즘; 및
제2 편광 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖는 2개의 제2 유형의 월라스턴 프리즘을 포함하며,
제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크며, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다.
8. 미세 회절 기반 오버레이를 측정하기 위한 리소그래피 장치는,
회절 패턴을 조명하도록 구성된 제 1조명 광학 시스템;
회절 패턴의 이미지를 기판 상으로 투영하도록 구성된 투영 광학 시스템; 및
리소그래피 장치의 매개변수를 결정하도록 구성된 스캐터로미터를 포함하고,
스캐터로미터는;
적어도 하나의 방사선의 빔을 전달하도록 구성된 제2 조명 광학 시스템;
적어도 하나의 방사선의 빔을 기판 상으로 집속하도록 구성된 대물 광학 시스템; 및
기판으로부터의 반사된 방사선 빔을 검출하도록 구성된 검사 장치를 포함하고 있으며,
검사 장치는;
비선형 프리즘 광학계를 포함하며, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성된 광학 시스템; 및
0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성된 검출기를 포함하고 있다.
9. 항목 8의 리소그래피 장치에서, 비선형 프리즘 광학계는 복굴절 광학 요소, 월라스턴 프리즘, 노마스키 프리즘, 세너몬트 프리즘, 로숀 프리즘, 글랜-톰슨 프리즘 및 글랜-푸코 프리즘으로 이루어진 그룹으로부터 선택된다.
10. 항목 8의 리소그래피 장치에서, 광학 시스템은 1차 회절 차수의 세기에 대해 0차 회절 차수의 세기를 정규화하도록 구성된 중성 밀도 필터를 포함하고 있다.
11. 항목 8의 리소그래피 장치에서, 광학 시스템은 검사 장치의 퓨필 평면에 있으며, 검출기는 단일 암시야 검출기이다.
12. 항목 8의 리소그래피 장치에서,
0차 및 1차 회절 차수 빔의 각각의 제1 편광은 수평 편광 성분이며,
0차 및 1차 회절 차수 빔의 각각의 제2 편광은 수평 편광 성분에 직교하는 수직 편광 성분이다.
13. 항목 8의 리소그래피 장치에서, 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하고 있다.
14. 항목 13의 리소그래피 장치에서, 복수의 비선형 프리즘 광학계는 복수의 월라스턴 프리즘을 포함하고 있다.
15. 항목 14의 리소그래피 장치에서, 복수의 월라스턴 프리즘은,
제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 가지며, 서로에 대하여 90° 회전되는 2개의 제1 유형의 월라스턴 프리즘; 및
제2 편광 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖는 2개의 제2 유형의 월라스턴 프리즘을 포함하며,
제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크며, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전된다.
16. 항목 14의 리소그래피 장치에서, 복수의 월라스턴 프리즘은 2×2 매트릭스 어레이로 투명 플레이트 상에 배열되고, 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 받아들이도록 구성되어 있다.
17. 항목 16의 리소그래피 장치에서, 수평 편광 성분 및 수평 편광 성분에 직교하는 수직 편광 성분은 대응하는 복수의 월라스턴 프리즘에 의하여 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 분리되며, 검출기에 의해 8개의 개별 빔 스폿으로 이미지화된다.
19. 미세 회절 기반 오버레이를 측정하는 방법은,
비선형 프리즘 광학계를 포함하는 광학 시스템에 의하여, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔들 양자 모두의 제1 및 제2 편광을 분리하는 것;
검출기에 의하여, 0차 및 1차 회절 차수 그리고 각 회절 차수의 제1 및 제2 편광을 동시에 검출하는 것; 및
리소그래피 장치의 정확도 또는 정밀도를 개선하기 위해 하나 이상의 회절 차수의 검출된 제1 및 제2 편광에 기초하여 리소그래피 장치의 작동 매개변수를 조정하는 것을 포함한다.
19. 항목 18의 방법은,
제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 분리하는 것; 및
제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 수평 편광 성분과 수평 편광 성분에 직교하는 수직 편광 성분을 격리하는 것을 더 포함한다.
20. 항목 19의 방법은, 단일 암시야 검출기 상의 각각의 0차 및 1차 회절 차수 서브-빔의 수평 및 수직 편광 성분을 8개의 개별 빔 스폿으로 이미지화하는 것을 더 포함한다.
본 명세서에서는 IC의 제조에서 리소그래피의 사용에 대하여 특정 참조가 이루어질 수 있지만, 본 명세서에서 설명된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 플랫-패널 디스플레이, LCD, 박막 자기 헤드 등과 같은 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 당업자는 이러한 대안적인 적용의 맥락에서, 본 명세서 내에서의 용어 "웨이퍼" 또는 "다이"의 임의의 사용이 더욱 일반적인 용어 "기판" 또는 "타겟 부분"과 각각 동의어로 간주될 수 있다는 점을 인식할 것이다. 본 명세서에 언급된 기판은 예를 들어 트랙 유닛 (전형적으로 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴) 또는 계측 유닛 및/또는 검사 유닛에서 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 경우, 본 명세서 내의 발명은 이러한 그리고 다른 기판 처리 툴에 적용될 수 있다. 더욱이, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으며, 따라서 본 명세서에서 사용되는 용어 "기판"은 또한 이미 다수의 처리된 층을 포함하는 기판을 지칭할 수 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예의 사용에 대해 위에서 특정 참조가 이루어질 수 있지만, 본 발명이 다른 적용, 예를 들어 임프린트 리소그래피에 사용될 수 있으며 또한 문맥이 허용하는 경우에 광학 리소그래피에 제한되지 않는다는 점이 인식될 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 한정한다. 패터닝 디바이스의 토포그래피는 기판에 공급되는 레지스트의 층으로 가압될 수 있으며, 그 때문에 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 적용함으로써 경화된다. 레지스트가 경화된 후 패터닝 디바이스는 레지스트에 패턴을 남기고 레지스트 밖으로 이동된다.
본 명세서 내의 어구 또는 전문 용어는 설명의 목적을 위한 것이지 제한의 목적이 아니라는 점이 이해되어야 하며, 따라서 본 명세서 내의 전문 용어 또는 어구는 본 명세서 내의 교시를 고려하여 관련 기술(들)의 숙달된 자에 의하여 해석되어야 한다.
본 명세서에서 사용된 바와 같은 용어 "기판"은 물질 층이 추가되는 물질을 설명한다. 일부 실시예에서, 기판 자체가 패터닝될 수 있으며, 그의 최상부에 추가된 물질 또한 패터닝될 수 있거나 패터닝되지 않고 남아있을 수 있다.
본 발명의 실시예는 하드웨어, 펌웨어, 소프트웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 본 발명의 실시예는 또한 기계-판독 가능한 매체에 저장된 명령으로서 구현될 수 있으며, 이는 하나 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독 가능한 매체는 정보를 기계 (예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 저장 또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계-판독 가능한 매체는 판독 전용 메모리(ROM); 랜덤 액세스 메모리(RAM); 자기 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호 등을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine) 및/또는 명령은 본 명세서에서 특정 동작을 수행하는 것으로 설명될 수 있다. 그러나 이러한 설명은 단지 편의를 위한 것이라는 점 그리고 이러한 동작은 실제로 펌웨어, 소프트웨어, 루틴 및/또는 명령을 실행하는 컴퓨팅 디바이스, 프로세서, 컨트롤러 또는 다른 디바이스로부터 기인한다는 점이 인식되어야 한다.
다음의 예는 본 발명의 실시예를 예시하지만 제한하지 않는다. 본 분야에서 일반적으로 접해지고 관련 기술(들)의 숙련된 자에게 명백할 다양한 조건 및 매개변수의 다른 적절한 변경 및 적응은 본 개시의 사상 및 범위 내에 있다.
이 명세서에서 IC의 제조에서 본 발명에 따른 장치 및/또는 시스템의 사용에 대해 구체적으로 참조가 이루어질 수 있지만, 그러한 장치 및/또는 시스템은 많은 다른 가능한 적용을 갖고 있다는 점이 명백히 이해되어야 한다. 예를 들어, 이는 통합 광학 시스템, 자기 도메인 메모리용 안내 및 감지 패턴, LCD 패널, 박막 자기 헤드의 제조에 사용될 수 있다. 숙련된 기술자는 이러한 대안적 적용의 맥락에서 이 텍스트 내의 용어 "레티클", "웨이퍼" 또는 "다이"의 임의의 사용은 보다 일반적인 용어 "마스크", "기판" 및 "타겟 부분"으로 각각 대체되는 것으로 간주되어야 한다는 점을 인식할 것이다.
본 발명의 특정 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 점이 인식될 것이다. 본 설명은 본 발명을 제한하려는 것이 아니다
요약 및 초록 부분이 아닌, 상세한 설명 부분은 청구범위를 해석하기 위한 것이라는 점이 인식되어야 한다. 요약 및 초록 부분은 발명자(들)에 의해 고려된 바와 같이 본 발명의 모든 예시적인 실시예가 아닌 하나 이상을 제시할 수 있으며, 따라서 본 발명 및 첨부된 청구 범위를 어떤 식으로든 제한하는 것으로 의도되지 않는다.
본 발명이 그의 특정 기능 및 그 관계의 구현을 예시하는 기능적인 구성 요소의 도움으로 위에서 설명되었다. 이 기능적 구성 요소들의 경계는 설명의 편의를 위해 본 명세서에서 임의로 한정되었다. 지정된 기능들과 그의 관계가 적절하게 수행되는 한 대체 경계가 한정될 수 있다.
특정 실시예에 대한 전술한 설명은 다른 사람이 본 분야의 기술 내에서 지식을 적용함으로써 과도한 실험없이 본 발명의 전반적인 개념을 벗어남이 없이 특정 실시예와 같은 다양한 적용에 대해 쉽게 수정 및/또는 조정할 수 있도록 본 발명의 전반적인 특성을 완전히 드러낼 것이다. 따라서, 이러한 조정 및 수정은 본 명세서에 제시된 교시 및 지침에 기초하여, 개시된 실시예의 균등물의 의미 및 범위 내에 있도록 의도된다.
본 발명의 폭 및 범위는 위에서 설명된 예시적인 실시예 중 임의의 것에 의하여 제한되어서는 안되며, 다음의 청구범위 그리고 그의 균등물에 따라서만 한정되어야 한다.

Claims (20)

  1. 검사 장치에 있어서,
    비선형 프리즘 광학계를 포함하며, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성된 광학 시스템; 및
    0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성된 검출기를 포함하는 검사 장치.
  2. 제1항에 있어서, 상기 광학 시스템은 상기 검사 장치의 퓨필 평면에 있는 검사 장치.
  3. 제1항에 있어서, 상기 비선형 프리즘 광학계는 복굴절 광학계이며 상기 0차 및 1차 회절 차수 빔의 각각으로부터 정상 광선과 이상 광선을 분리하도록 구성된 검사 장치.
  4. 제1항에 있어서,
    상기 0차 및 1차 회절 차수 빔의 각각의 상기 제1 편광은 수평 편광 성분이며,
    상기 0차 및 1차 회절 차수 빔의 각각의 상기 제2 편광은 상기 수평 편광 성분에 직교하는 수직 편광 성분인 검사 장치.
  5. 제1항에 있어서, 상기 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하는 검사 장치.
  6. 제5항에 있어서, 상기 복수의 비선형 프리즘 광학계는 복수의 월라스턴(Wollaston) 프리즘을 포함하는 검사 장치.
  7. 제6항에 있어서, 상기 복수의 월라스턴 프리즘은,
    제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 가지며, 서로에 대하여 90° 회전되는 2개의 제1 유형의 월라스턴 프리즘; 및
    제2 편광 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖는 2개의 제2 유형의 월라스턴 프리즘을 포함하며,
    상기 제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크며, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전되는 검사 장치.
  8. 미세 회절 기반 오버레이를 측정하기 위한 리소그래피 장치에 있어서,
    회절 패턴을 조명하도록 구성된 제1 조명 광학 시스템;
    상기 회절 패턴의 이미지를 기판 상으로 투영하도록 구성된 투영 광학 시스템; 및
    상기 리소그래피 장치의 매개변수를 결정하도록 구성된 스캐터로미터를 포함하며,
    상기 스캐터로미터는;
    적어도 하나의 방사선의 빔을 전달하도록 구성된 제2 조명 광학 시스템;
    상기 적어도 하나의 방사선의 빔을 상기 기판 상으로 집속하도록 구성된 대물 광학 시스템; 및
    상기 기판으로부터의 반사된 방사선 빔을 검출하도록 구성된 검사 장치를 포함하며,
    상기 검사 장치는;
    비선형 프리즘 광학계를 포함하며, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔을 받아들이고 각 회절 차수 빔의 제1 및 제2 편광을 분리하도록 구성된 광학 시스템; 및
    0차 및 1차 회절 차수 빔의 각각의 제1 및 제2 편광을 동시에 검출하도록 구성된 검출기를 포함하는 리소그래피 장치.
  9. 제8항에 있어서, 상기 비선형 프리즘 광학계는 복굴절 광학 요소, 월라스턴 프리즘, 노마스키(Nomarski) 프리즘, 세너몬트(Senarmont) 프리즘, 로숀(Rochon) 프리즘, 글랜-톰슨(Glan-Thompson) 프리즘 및 글랜-푸코(Glan-Foucault) 프리즘으로 이루어진 그룹으로부터 선택되는 리소그래피 장치.
  10. 제8항에 있어서, 상기 광학 시스템은 1차 회절 차수의 세기에 대해 0차 회절 차수의 세기를 정규화하도록 구성된 중성 밀도 필터를 포함하는 리소그래피 장치.
  11. 제8항에 있어서, 상기 광학 시스템은 상기 검사 장치의 퓨필 평면에 있으며, 상기 검출기는 단일 암시야 검출기인 리소그래피 장치.
  12. 제8항에 있어서,
    상기 0차 및 1차 회절 차수 빔의 각각의 상기 제1 편광은 수평 편광 성분이며,
    상기 0차 및 1차 회절 차수 빔의 각각의 상기 제2 편광은 상기 수평 편광 성분에 직교하는 수직 편광 성분인 리소그래피 장치.
  13. 제8항에 있어서, 상기 광학 시스템은 복수의 비선형 프리즘 광학계를 더 포함하는 리소그래피 장치.
  14. 제13항에 있어서, 상기 복수의 비선형 프리즘 광학계는 복수의 월라스턴 프리즘을 포함하는 리소그래피 장치.
  15. 제14항에 있어서, 상기 복수의 월라스턴 프리즘은,
    제1 웨지 각도 및 대응하는 제1 발산 각도를 각각 가지며, 서로에 대하여 90° 회전되는 2개의 제1 유형의 월라스턴 프리즘; 및
    제2 편광 웨지 각도 및 대응하는 제2 발산 각도를 각각 갖는 2개의 제2 유형의 월라스턴 프리즘을 포함하며,
    상기 제1 웨지 각도 및 제1 발산 각도는 제2 웨지 각도 및 제2 발산 각도보다 크며, 2개의 제2 유형의 월라스턴 프리즘은 서로에 대해 90° 회전되는 리소그래피 장치.
  16. 제14항에 있어서, 상기 복수의 월라스턴 프리즘은 2×2 매트릭스 어레이로 투명 플레이트 상에 배열되고, 제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 받아들이도록 구성된 리소그래피 장치.
  17. 제16항에 있어서, 수평 편광 성분 및 상기 수평 편광 성분에 직교하는 수직 편광 성분은 대응하는 복수의 월라스턴 프리즘에 의하여 상기 제1 및 제2의 0차 회절 차수 서브-빔과 상기 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 분리되며, 상기 검출기에 의해 8개의 개별 빔 스폿으로 이미지화되는 리소그래피 장치.
  18. 미세 회절 기반 오버레이를 측정하는 방법에 있어서,
    비선형 프리즘 광학계를 포함하는 광학 시스템에 의하여, 회절 타겟에서 반사된 0차 및 1차 회절 차수 빔들 양자 모두의 제1 및 제2 편광을 분리하는 것;
    검출기에 의하여, 0차 및 1차 회절 차수 그리고 각 회절 차수의 제1 및 제2 편광을 동시에 검출하는 것; 및
    리소그래피 장치의 정확도 또는 정밀도를 개선하기 위해 하나 이상의 회절 차수의 상기 검출된 제1 및 제2 편광에 기초하여 상기 리소그래피 장치의 작동 매개변수를 조정하는 것을 포함하는 방법.
  19. 제18항에 있어서,
    제1 및 제2의 0차 회절 차수 서브-빔과 제1 및 제2의 1차 회절 차수 서브-빔을 개별적으로 분리하는 것; 및
    상기 제1 및 제2의 0차 회절 차수 서브-빔과 상기 제1 및 제2의 1차 회절 차수 서브-빔의 각각에 대해 수평 편광 성분과 상기 수평 편광 성분에 직교하는 수직 편광 성분을 격리하는 것을 더 포함하는 방법.
  20. 제19항에 있어서, 단일 암시야 검출기 상의 각각의 0차 및 1차 회절 차수 서브-빔의 수평 및 수직 편광 성분을 8개의 개별 빔 스폿으로 이미지화하는 것을 더 포함하는 방법.
KR1020207028477A 2018-04-06 2019-03-25 비선형 광학계를 갖는 검사 장치 KR102527672B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862653786P 2018-04-06 2018-04-06
US62/653,786 2018-04-06
PCT/EP2019/057370 WO2019192865A1 (en) 2018-04-06 2019-03-25 Inspection apparatus having non-linear optics

Publications (2)

Publication Number Publication Date
KR20200125990A true KR20200125990A (ko) 2020-11-05
KR102527672B1 KR102527672B1 (ko) 2023-04-28

Family

ID=65951566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207028477A KR102527672B1 (ko) 2018-04-06 2019-03-25 비선형 광학계를 갖는 검사 장치

Country Status (7)

Country Link
US (1) US10809193B2 (ko)
JP (1) JP7022220B2 (ko)
KR (1) KR102527672B1 (ko)
CN (1) CN112005169B (ko)
IL (1) IL277639B2 (ko)
TW (1) TWI742353B (ko)
WO (1) WO2019192865A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7469867B2 (ja) * 2019-11-26 2024-04-17 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
WO2023072880A1 (en) * 2021-10-29 2023-05-04 Asml Netherlands B.V. Inspection apparatus, polarization-maintaining rotatable beam displacer, and method
EP4361703A1 (en) * 2022-10-27 2024-05-01 ASML Netherlands B.V. An illumination module for a metrology device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH076442A (ja) * 1993-04-23 1995-01-10 Sony Corp 光磁気検出用光学ヘッド
KR20090088825A (ko) * 2008-02-15 2009-08-20 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
JP2015528584A (ja) * 2012-08-16 2015-09-28 エーエスエムエル ネザーランズ ビー.ブイ. 微細構造の非対称性を測定する方法及び装置、位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法
KR20170016006A (ko) * 2014-07-09 2017-02-10 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 디바이스 제조 방법

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2237564C3 (de) 1972-07-31 1981-02-05 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Verfahren zur berührungslosen optischen Messung von Bewegungsgrößen, wie Geschwindigkeiten und Verschiebestrecken und Einrichtung zur Durchführung des Verfahrens
EP0045321B1 (de) 1980-07-31 1986-12-10 International Business Machines Corporation Verfahren und Einrichtung zur optischen Distanzmessung
DE3682675D1 (de) 1986-04-29 1992-01-09 Ibm Deutschland Interferometrische maskensubstratausrichtung.
US5315574A (en) 1988-10-28 1994-05-24 Matsushita Electric Industrial Co., Ltd. Optical head with polarized beam hologram
JPH0587529A (ja) * 1991-09-27 1993-04-06 Canon Inc 計測方法及び装置
DE59104973D1 (de) 1991-11-23 1995-04-20 Heidenhain Gmbh Dr Johannes Interferentielle Messeinrichtung.
EP0561015A1 (de) 1992-03-17 1993-09-22 International Business Machines Corporation Interferometrische Phasenmessung
US5550798A (en) * 1993-04-13 1996-08-27 Sony Corporation Enhanced optical beam splitter to increase the kerr rotation angle
JP3708320B2 (ja) 1998-02-04 2005-10-19 富士通株式会社 光情報検出装置
US6710876B1 (en) 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US6487022B1 (en) 2001-08-24 2002-11-26 Terabeam Corporation Transmitter using uniform intensity transmission for a wireless optical communication system
US6768543B1 (en) 2001-11-01 2004-07-27 Arun Ananth Aiyer Wafer inspection apparatus with unique illumination methodology and method of operation
US7209239B2 (en) 2002-10-02 2007-04-24 Kla-Tencor Technologies Corporation System and method for coherent optical inspection
JP2004326858A (ja) 2003-04-22 2004-11-18 Konica Minolta Opto Inc 光ピックアップ装置及び光ピックアップ装置用光学系
US7777895B2 (en) 2003-08-28 2010-08-17 4D Technology Corporation Linear-carrier phase-mask interferometer
US7033903B2 (en) 2004-02-18 2006-04-25 United Microelectronics Corp. Method and apparatus for forming patterned photoresist layer
JPWO2005112014A1 (ja) * 2004-05-14 2008-03-27 富士通株式会社 光照射ヘッド、情報記憶装置、光照射ヘッド設計装置、および光照射ヘッド設計プログラム
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7375799B2 (en) 2005-02-25 2008-05-20 Asml Netherlands B.V. Lithographic apparatus
EP2993525B1 (en) 2005-07-08 2017-10-04 Nikon Corporation Exposure apparatus and exposure method
US7511799B2 (en) 2006-01-27 2009-03-31 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2011525713A (ja) * 2008-06-26 2011-09-22 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ測定装置、リソグラフィ装置、及びそのようなオーバレイ測定装置を用いたデバイス製造方法
EP2228685B1 (en) 2009-03-13 2018-06-27 ASML Netherlands B.V. Level sensor arrangement for lithographic apparatus and device manufacturing method
KR101257453B1 (ko) 2009-05-12 2013-04-23 에이에스엠엘 네델란즈 비.브이. 리소그래피에 사용하는 검사 방법
US8896832B2 (en) 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry
US9223227B2 (en) 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2009004A (en) * 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
KR101704591B1 (ko) * 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
US9689987B1 (en) * 2012-06-13 2017-06-27 Physical Optics Corporation Integrative optics system, device, and method
CN103777476B (zh) 2012-10-19 2016-01-27 上海微电子装备有限公司 一种离轴对准系统及对准方法
FR2998963B1 (fr) 2012-12-04 2016-01-22 Horiba Jobin Yvon Sas Spectrometre pour l'analyse du spectre d'un faisceau lumineux
FR2998962B1 (fr) 2012-12-04 2015-02-20 Horiba Jobin Yvon Sas Spectrometre pour l'analyse du spectre d'un faisceau lumineux
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US9606069B2 (en) 2014-06-25 2017-03-28 Kla-Tencor Corporation Method, apparatus and system for generating multiple spatially separated inspection regions on a substrate
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
JP6680997B2 (ja) 2015-10-23 2020-04-15 株式会社ニコン エンコーダ装置及びその使用方法、光学装置、露光装置、並びにデバイス製造方法
NL2017949A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology method, target and substrate
JP6748907B2 (ja) 2016-04-26 2020-09-02 株式会社ニコン 計測装置、露光装置、デバイス製造方法、及びパターン形成方法
JP6926403B2 (ja) 2016-05-31 2021-08-25 株式会社ニコン 位置検出装置及び位置検出方法、露光装置及び露光方法、並びに、デバイス製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH076442A (ja) * 1993-04-23 1995-01-10 Sony Corp 光磁気検出用光学ヘッド
KR20090088825A (ko) * 2008-02-15 2009-08-20 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
JP2015528584A (ja) * 2012-08-16 2015-09-28 エーエスエムエル ネザーランズ ビー.ブイ. 微細構造の非対称性を測定する方法及び装置、位置測定方法、位置測定装置、リソグラフィ装置及びデバイス製造方法
KR20170016006A (ko) * 2014-07-09 2017-02-10 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 디바이스 제조 방법

Also Published As

Publication number Publication date
TWI742353B (zh) 2021-10-11
US20190310190A1 (en) 2019-10-10
IL277639B1 (en) 2023-06-01
JP7022220B2 (ja) 2022-02-17
JP2021518585A (ja) 2021-08-02
TW201944153A (zh) 2019-11-16
KR102527672B1 (ko) 2023-04-28
IL277639B2 (en) 2023-10-01
IL277639A (en) 2020-11-30
CN112005169A (zh) 2020-11-27
CN112005169B (zh) 2023-03-28
WO2019192865A1 (en) 2019-10-10
US10809193B2 (en) 2020-10-20

Similar Documents

Publication Publication Date Title
TWI830950B (zh) 度量衡系統、同調擾亂器照明源及其方法
US11126007B2 (en) Beam splitting prism systems
KR20190025663A (ko) 오버레이 및 임계 치수 센서들에서의 퓨필 조명을 위한 디바이스 및 방법
KR102527672B1 (ko) 비선형 광학계를 갖는 검사 장치
US20200278295A1 (en) Beam Pointing Monitor and Compensation Systems
KR20240018488A (ko) 계측 시스템, 시간적 및 공간적 가간섭성 스크램블러 및 그 방법
CN113454538B (zh) 使用目标或产品的形状双折射的晶片对准
KR20230095971A (ko) 편광 선택 메트롤로지 시스템, 리소그래피 장치, 및 그 방법
WO2021136632A1 (en) Lithographic apparatus, metrology systems, illumination sources and methods thereof
US20240241453A1 (en) Metrology systems, temporal and spatial coherence scrambler and methods thereof
CN114514474B (zh) 光刻设备、量测系统和具有结构化照射的照射系统
KR20210137042A (ko) 리소그래피 장치, 계측 장치, 광학 시스템 및 방법
WO2023247178A1 (en) Design for multiple off-axis illumination beams for wafer alignment sensor
US20110051129A1 (en) Inspection Apparatus, Lithographic Apparatus and Method of Measuring a Property of a Substrate

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant