KR20200104269A - 복수의 스테이션들에서 웨이퍼 보우 제어 - Google Patents

복수의 스테이션들에서 웨이퍼 보우 제어 Download PDF

Info

Publication number
KR20200104269A
KR20200104269A KR1020200106486A KR20200106486A KR20200104269A KR 20200104269 A KR20200104269 A KR 20200104269A KR 1020200106486 A KR1020200106486 A KR 1020200106486A KR 20200106486 A KR20200106486 A KR 20200106486A KR 20200104269 A KR20200104269 A KR 20200104269A
Authority
KR
South Korea
Prior art keywords
inductor
coupled
low frequency
paths
capacitor
Prior art date
Application number
KR1020200106486A
Other languages
English (en)
Other versions
KR102188339B1 (ko
Inventor
에드워드 아우구스티니악
데이비드 프렌치
수닐 카푸어
유키노리 사키야마
조지 토마스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200104269A publication Critical patent/KR20200104269A/ko
Application granted granted Critical
Publication of KR102188339B1 publication Critical patent/KR102188339B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

플라즈마 프로세싱 스테이션들에서 웨이퍼 보우 (bow) 제어를 위한 시스템이 기술된다. 시스템은 저 주파수 RF 신호를 제공하는 회로 및 고 주파수 RF 신호를 제공하는 또 다른 회로를 포함한다. 시스템은 출력 회로 및 스테이션들을 포함한다. 출력 회로는 스테이션들에 대한 복수의 결합된 RF 신호들을 생성하도록 저 주파수 RF 신호 및 고 주파수 RF 신호를 결합한다. 스테이션들 중 일 스테이션으로 전달된 저 주파수 전력량은 웨이퍼 보우, 예컨대 웨이퍼의 비-평탄도 (non-flatness) 에 좌우된다. 보우된 웨이퍼는 공통 RF 소스를 사용하는 멀티-스테이션 챔버의 스테이션으로 전달된 저 주파수 전력을 감소시킨다. 보우된 웨이퍼를 갖는 스테이션으로의 전류량을 증가시키도록 션트 (shunt) 인덕터가 스테이션들 각각에 병렬로 커플링된다. 따라서, 스테이션 전력은 웨이퍼 보우를 최소화하기 위해 웨이퍼 보우에 보다 덜 센서티브해진다.

Description

복수의 스테이션들에서 웨이퍼 보우 제어{CONTROL OF WAFER BOW IN MULTIPLE STATIONS}
본 실시예들은 복수의 플라즈마 프로세싱 스테이션들에서 웨이퍼 보우 (bow) 를 제어하고 복수의 스테이션들에서 RF 전력의 안정화를 위한 시스템들 및 방법들에 관한 것이다.
일반적으로, 프로세스 반응기들은 웨이퍼들, 예를 들어, 실리콘 웨이퍼들 상의 동작들을 프로세싱하는데 사용된다. 이들 웨이퍼들은 통상적으로 상부에 집적 회로들을 형성하기 위해 다양한 반응기들에서 다수 회 프로세싱된다. 이들 프로세스 동작들 중 일부는, 예를 들어, 웨이퍼의 선택된 표면들 또는 층들 위에 재료들을 증착하는 단계를 수반한다. 이러한 반응기 중 하나는 PECVD (plasma enhanced chemical vapor deposition) 반응기이다.
예를 들어, PECVD 반응기는 실리콘 옥사이드 (SiO), 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 실리콘 옥시카바이드 (SiOC), 등과 같은 절연 막들을 증착하도록 사용될 수도 있다. 이러한 재료 막들은 알루미늄 (Al) 합금을 포함할 수도 있다. 증착될 막의 타입에 따라, 증착을 인에이블하는 플라즈마를 생성하도록 RF (radio frequency) 전력이 공급되는 동안, 특정한 반응 가스들이 PECVD 반응기 내로 들어간다. RF 전력은 RF 생성기에 의해 생성되고 PECVD 반응기의 전극으로 매칭박스를 통해 제공된다.
더욱이, PECVD 반응기에서, 웨이퍼 상에 증착된 층들의 수가 증가하면, 웨이퍼의 에지에서 웨이퍼의 보우가 증가한다. 웨이퍼 보우는 웨이퍼로의 전력의 인가를 방해하는 커패시턴스를 생성한다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
본 개시의 실시예들은 복수의 플라즈마 프로세싱 스테이션들에서 웨이퍼 보우를 제어하는 시스템들 및 방법들을 제공한다. 제시된 실시예들은 다수의 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들이 이하에 기술된다.
다양한 실시예들에서, 복수의 비 50 Ω 소스 신호들을 기판 스테이션들 중 특정한 스테이션들로 전력을 방향전환하고, 기판 스테이션들 중 특정한 스테이션의 플라즈마를 점화, 인에이블, 또는 제어하기 위한 선택도로 진공 분위기를 공유하는 복수의 기판 스테이션들로 분할하기 위한 시스템들 및 방법들이 제공된다. 이는 미리 선택된 기판 스테이션들 중 특정한 스테이션들의 플라즈마 프로세싱 및 용량 결합된 플라즈마 시스템 분위기에서 능동 튜닝가능 엘리먼트들을 사용하여 스테이션 대 스테이션 기판 프로세스 가변성 제어를 위한 RF 인시츄 밸런싱을 포함한다.
일부 실시예들에서, 다양한 임피던스 범위 및 다양한 전력 레벨들을 갖는 기판 스테이션들로 동축 타입 출력 및 비 동축 타입 출력이 제공된다.
다양한 실시예들에서, 다단계 프로세스 동안 기판 스테이션들에서 다양한 임피던스 변환들을 수용하도록 적절한 튜닝 범위를 갖는 복수의 주파수들에 대한 결합기 및 분배기가 제공된다. 결합기 및 분배기는 능동 튜닝 매칭 네트워크 출력부들로부터 입력들로서 다양한 임피던스 범위들 및 다양한 전력 레벨들을 갖는 복수의 비 50 Ω 전력 신호들을 수신한다. 게다가, 결합기 및 분배기에서 스위치들, 예를 들어, 진공 릴레이 기반 스위치들, 등은 전력을 필요로 하지 않는 기판 스테이션들을 위한 더미 임피던스들로 전력을 방향 전환한다. 결합기 및 분배기는 기판 스테이션들 각각으로 복수의 주파수들의 신호들을 도입한다. 또한, 결합기 및 분배기는 기판 스테이션들 각각으로 복수의 주파수들의 신호들을 가변시킨다. 결합기 및 분배기는 주파수들 각각의 분리 및 결합기 및 분배기의 입력들로의 피드백을 최소화하기 위한 필터들, 예를 들어, DC 차단 커패시터들, 인덕터들, 등을 포함한다.
일부 실시예들에서, 결합기 및 분배기는 RF 전력을 사용하여 플라즈마 시스 커패시턴스 변화를 관리하고 또한 플라즈마 점화 및 다른 프로세스 레시피 전이들 동안 공진 주파수 시프트를 제어하기 위해 출력부들 각각에 밸런싱 인덕터를 포함한다.
다양한 실시예들에서, 임피던스 변환을 제공하기 위해 능동 RF 프로세스들 동안 기판 스테이션들 각각을 위한 결합기 및 분배기의 튜닝 엘리먼트들, 예를 들어, 커패시터들, 등의 위치들을 가변하도록 자동화된 제어부, 예를 들어, 프로브 제어 및 시스템 제어부, 등이 제공된다.
일부 실시예들에서, 가변 임피던스 변환들을 사용하여 복수 층 프로세스 실행을 인에이블하도록 플라즈마 프로세싱 동안 가변 커패시터들, 예를 들어, 진공 커패시터들, 등을 이동 및 제어하기 위한 방법이 제공된다. 튜닝 엘리먼트들의 능동적인 변화의 자동화된 제어는 RF 신호 진폭 및 위상을 제어함으로써 능동적인 보상을 돕는다.
다양한 실시예들에서, 결합기 및 분배기는 이격되어 장착되고 결합기 및 분배기의 출력부들은 기판 스테이션들의 전극 입력부들에 동축이다.
몇몇 실시예들에서, 파라미터 프로브, 예를 들어, 복소 전압 및 전류 프로브, 등이 프로브 제어 및 시스템 제어부를 위해 피드백을 제공하고 모니터링하도록 기판 스테이션들 각각에 연결된다. 프로브 제어 및 시스템 제어부는 전력 제어를 위해 폐루프 시스템을 실행하기 위한 메커니즘을 사용한다.
일부 실시예들에서, 결합기 및 분배기는 RF 전력을 턴 오프하지 않고 다층 프로세스들을 프로세싱하게 한다.
다양한 실시예들에서, 결합기 및 분배기는 기판 스테이션들 각각에서 스테이션 대 스테이션 매칭 또는 목표된 프로세스 결과들을 개선하기 위한 기판 스테이션들 각각에 대한 RF 신호 레벨들의 능동적인 변화를 가능하게 한다.
몇몇 실시예들에서, 튜닝 엘리먼트들에서 변화의 자동화된 제어는 RF 신호 진폭 및 위상을 제어함으로써 능동적인 보상을 돕는다.
일부 실시예들에서, 멀티-스테이션 반응기, 예를 들어, CCP (capacitively coupled plasma) 반응기, 등은 (주파수 당) 단일 RF 소스를 사용한다. RF 전력은 전력 분배 회로를 사용하여 복수의 스테이션들 사이에 분할된다. 전력 분배 회로는 패시브 전기 컴포넌트들, 예를 들어, 커패시터들 및 인덕터들, 등으로 이루어진다. 동일한 RF 소스로부터 전력 공급된 스테이션들 각각이 총 가용 전력의 1/N을 얻을 때 밸런싱된 전력 분할이 달성된다.
VIM (Vertically Integrated Memory) 기술은 실리콘 기판 상에 수 십 개의 옥사이드 및 나이트라이드 (또는 옥사이드 및 폴리실리콘) 층들을 증착한다. 예시를 위해, CCP 반응기들은 복수 층 막들을 증착하도록 사용된다. 개별 층들은 200 Å만큼 박형이지만 이러한 층들의 스택의 결합된 두께는 수 ㎛을 초과한다. 예를 들어, 증착 스택의 층들의 수는 100을 초과하고 몇 ㎛ 정도의 총 두께를 발생시킨다. 스택 증착은 나이트라이드 화학물질 또는 옥사이드 및 폴리실리콘과 옥사이드 화학물질을 인터리빙함으로써 단일 스테이션에서 발생한다. 박막들은 이들의 고유 응력을 특징으로 한다. 스택의 효과적인 응력이 중성, 예를 들어, 0에 가깝지 않으면, 실리콘 기판 상의 층들에 의해 변형이 생긴다 (exerted). 실리콘 기판 상에 생긴 변형은 웨이퍼 형상을 변화시켜 보잉된 웨이퍼들을 발생시킨다. 예를 들어, 실리콘 기판의 표면은 편평한 것에서 커브된 것으로 변한다. 보잉된 웨이퍼의 정의는 기판 편평도에 대한 프로세스 선택도에 종속적이지만, 일반적으로 미리 결정된 문턱값을 초과하는, 보우, 예를 들어, 편평도로부터의 편차, 등을 갖는 웨이퍼를 나타낸다. 지지부 상에 놓일 때 오목한 보잉된 웨이퍼들은 포지티브 보우를 갖고 이들의 막 응력은 인장성 (tensile) 이라고 한다. 지지부 상에 놓일 때 볼록한 보잉된 웨이퍼들이 네거티브 보우를 갖고 이들의 막 응력은 압축성이라고 한다. 고 웨이퍼 보우는 많은 프로세싱 동작들의 성능이 표면 편평도에 종속하기 때문에 바람직하지 않다. 웨이퍼 보우는 막들을 증착하기 위해 사용되는 네거티브 임피던스 방전이다.
보우는 막 응력 및 막 두께의 함수이고 이는 결국 많은 프로세스 파라미터들에 종속적이다. 막 응력에 영향을 주는 인자는 플라즈마 프로세싱 동안 막 표면에 충돌하는 에너제틱 이온들의 플럭스이다. 에너제틱 이온들의 고 플럭스는 막을 치밀화하고 압축성이 되게 하는 경향이 있다. 이온 충돌이 약하면, 예를 들어, 보다 낮은 플라즈마 밀도, 상이한 가스 조성, 또는 압력, 등으로 인해, 막 응력은 인장성이 된다. 대부분의 VIM 프로세스들은 기판 편평도를 유지하기 위해, 스택 증착 동안 임의의 순간에 효과적인 막 응력이 거의 중성, 예를 들어, 0에 가까운, 등의 방식으로 최적화된다. 편평도로부터의 상당한 편차는 이상적인 프로세스 조건들로부터의 편차로 인해 또는 기판 보우를 발생시키는 이전의 프로세싱 단계들 후에, 증착 툴, 예를 들어, PECVD 챔버, 등으로 들어가는 웨이퍼들로 인해 발생한다.
CCP에서, 실리콘 웨이퍼는 병렬 플레이트 반응기의 전극들 중 일 전극으로서 작용한다. 보잉된 웨이퍼는 실제 전극, 예를 들어, 페데스탈, 기판 홀더, 등과 실리콘 사이에 갭을 생성할 것이다. 이러한 갭은 RF 시스템에 의해 플라즈마 임피던스와 직렬인 고 임피던스로 보인다. 결과적으로, 웨이퍼 보우는 프로세싱에 가용한 RF 전력을 제한한다. 특히, 듀얼 주파수 방전에서 이온 충격 강도는 웨이퍼 아래 갭에 센서티브하다. 듀얼 주파수 여기, 예를 들어, 400 ㎑와 결합된 13.56 ㎒, 등이 플라즈마 파라미터들의 보다 우수한 제어를 위해 사용된다. 고 주파수 (HF), 예를 들어, 13.56 ㎒, 등은 플라즈마 밀도에 영향을 주어 고 플라즈마 밀도를 생성하도록 사용되고, 저 주파수 (LF), 예를 들어, 1 ㎒ 미만, 등은 실리콘 기판의 표면에 충돌하는 이온들의 이온 에너지를 상승시킴으로써 웨이퍼 표면으로 이온들을 가속시키도록 사용된다. 웨이퍼 보우는 막 응력에 종속적이고, 막 응력은 막들에 충돌하는 이온들의 에너지 및 플럭스에 종속적이다.
LF 여기는 임피던스 표현식 Z = 1/ωC에서 알 수 있는 바와 같이 용량성 임피던스에 센서티브하다. 보잉된 웨이퍼는 예를 들어, ㎋ 범위, 등의 커패시터를 실리콘 아래에 생성한다. 부가적인 임피던스는 HF 전력보다 LF 전력 전달에 보다 영향을 준다. 결과적으로, 상이한 HF/LF 전력비로 인해 방전 특성들이 변경된다.
포지티브 보잉된 웨이퍼는 런 어웨이 (run away) 상황을 유발하고, 예를 들어, 웨이퍼 보우는 LF 임피던스를 상승시키고, 보다 적은 LF 전력이 프로세스를 구동하고, 막은 점점 더 인장성이 되고, 이는 결국 웨이퍼 보우를 증가시킨다.
스테이션 전력은 RF 센서들, 예를 들어, 전류 및 전압 (VI) 프로브들, 등으로 측정된다. 층들의 수가 어떤 수, 예를 들어, ON 스택의 50 층들, 등을 초과할 때 스테이션 전력이 드리프트하기 시작한다고 관찰된다. 과도하게 보잉된 웨이퍼들을 갖는 스테이션들인 일부 스테이션들에서, 전력은 예를 들어, 10 %만큼 높은, 등의 P %만큼 감소한다. 다른 스테이션들에서 전력은 모든 스테이션들이 공통 소스로부터 피딩된다는 사실로 인해 상승한다. 이와 같이, 스테이션 각각의 임피던스에 기초하여 스테이션들 사이에 전력이 분할된다. 스테이션 각각의 임피던스는 스테이션에서 프로세싱되는 웨이퍼의 웨이퍼 보우에 종속한다.
일부 실시예들에서, 본 명세서에 기술된 결합기 및 분배기는 하나 이상의 공통 RF 소스들을 공유하는 복수의 스테이션들에 전력을 인가한다. 결합기 및 분배기는 스테이션들로 전달된 LF 전력을 안정화하도록 사용된다. 복수의 션트 인덕터들을 사용한 결합기 및 분배기의 수정에 의해, 웨이퍼 보우에 대한 전력 전달이 둔화된다.
다양한 실시예들에서, 션트 인덕터가 결합기 및 분배기 내에서 구현된다. 션트 인덕터를 사용하여, LF 전력 안정성이 달성된다. 예를 들어, 보잉된 웨이퍼는 2 개의 CCP 전극들 사이에 등가의 직렬 리액턴스 방전을 증가시키고, 주 프로세스와 병렬로 진행되는 기생 방전은 보다 작은 저항 R을 갖는 총 스테이션 임피던스를 발생시킨다. 또 다른 예로서, 스테이션으로 전달된 전력이 P=I2R로 표현될 수 있기 때문에 (I는 스테이션 전류이고 R은 스테이션 저항이다), 저항 R의 강하는 전력 P의 강하를 발생시킨다. 또 다른 예로서, 전력식의 미분은 △P=I2△R+2IR△I를 산출하고, △P는 전달된 전력의 변화이고, △R은 저항의 변화이고, △I는 전류의 변화이다. 약화되는 저항 R, 예를 들어, 네거티브 △R, 등은 스테이션으로 전달된 전력을 감소시킨다. 이 효과는 상승하는 전류, 예를 들어, 포지티브 △I, 등으로 감소, 예를 들어, 상쇄, 등이 된다. 상승하는 전류는 션트 인덕터들의 인덕턴스들을 제어함으로써 달성된다. 상승하는 전류는 전력 P의 강하 △P를 감소시킨다. 예를 들어, 강하 △P는 예컨대 0으로부터 미리 결정된 범위 내 또는 0이 되게, 상당히 낮게 감소된다. 또 다른 예로서, △I/I = -△R/2R일 때 △P = 0이다.
일 실시예에서, 복수의 스테이션들에서 웨이퍼 보우를 제어하는 시스템이 기술된다. 시스템은 저 주파수 임피던스 매칭 회로에 커플링된 저 주파수 회로를 포함한다. 저 주파수 회로는 저 주파수 RF 신호를 제공한다. 시스템은 고 주파수 임피던스 매칭 네트워크에 커플링된 고 주파수 회로를 포함한다. 고 주파수 회로는 고 주파수 RF 신호를 제공한다. 고 주파수 회로는 저 주파수 회로에 커플링된다. 시스템은 고 주파수 회로 및 복수의 플라즈마 프로세싱 스테이션들에 커플링된 출력 회로를 포함한다. 출력 회로는 플라즈마 프로세싱 스테이션들로 제공할 복수의 결합된 RF 신호들을 생성하도록 고 주파수 RF 신호와 저 주파수 RF 신호를 결합한다. 션트 인덕터가 플라즈마 프로세싱 스테이션들 중 일 스테이션으로의 전류량을 상승시키기 위해 플라즈마 프로세싱 스테이션들 중 일 스테이션에 병렬로 커플링된다.
일 실시예에서, 복수의 플라즈마 프로세싱 스테이션들로 전력을 전달하는 시스템이 기술된다. 시스템은 제 1 주파수를 갖는 제 1 RF 신호를 생성하는 제 1 RF 생성기를 포함한다. 시스템은 제 2 주파수를 갖는 제 2 RF 신호를 생성하는 제 2 RF 생성기를 더 포함한다. 시스템은 제 1 RF 신호를 수신하도록 제 1 RF 생성기에 커플링된 제 1 매칭 네트워크를 포함한다. 제 1 매칭 네트워크는 제 1 RF 생성기로부터 제 1 RF 신호의 수신시 제 1 수정된 RF 신호를 출력한다. 시스템은 또한 제 2 RF 신호를 수신하도록 제 2 RF 생성기에 커플링된 제 2 매칭 네트워크를 포함한다. 제 2 매칭 네트워크는 제 2 RF 생성기로부터 제 2 RF 신호의 수신시 제 2 수정된 RF 신호를 출력한다. 시스템은 제 1 매칭 네트워크의 출력부 및 제 2 매칭 네트워크의 출력부에 커플링된 전력 분배기를 포함한다. 전력 분배기는 복수의 플라즈마 프로세싱 스테이션들로 결합된 RF 신호들을 제공하기 위해 제 1 수정된 RF 신호 및 제 2 수정된 RF 신호를 결합한다. 전력 분배기는 플라즈마 프로세싱 스테이션들에 커플링된 복수의 출력부들을 갖는다. 전력 분배기는 제 1 매칭 네트워크에 커플링된 저 주파수 회로를 포함한다. 저 주파수 회로는 저 주파수 RF 신호를 제공한다. 전력 분배기는 제 2 매칭 네트워크 및 저 주파수 회로에 커플링된 고 주파수 회로를 더 포함한다. 고 주파수 회로는 고 주파수 RF 신호를 제공한다. 전력 분배기는 고 주파수 회로 및 복수의 플라즈마 프로세싱 스테이션들에 커플링된 출력 회로를 포함한다. 출력 회로는 플라즈마 프로세싱 스테이션들로 제공할 결합된 RF 신호들을 생성하도록 저 주파수 RF 신호 및 고 주파수 RF 신호를 결합한다. 션트 인덕터가 플라즈마 프로세싱 스테이션들 중 일 스테이션으로의 전류량을 상승시키기 위해 플라즈마 프로세싱 스테이션들 중 일 스테이션에 병렬로 커플링된다.
일 실시예에서, 웨이퍼 보우를 감소시키는 방법이 기술된다. 방법은 저 주파수 임피던스 매칭 네트워크에 커플링된 저 주파수 회로에 의해 저 주파수 RF 신호를 제공하는 단계를 포함한다. 방법은 고 주파수 임피던스 매칭 네트워크에 커플링된 고 주파수 회로에 의해 고 주파수 RF 신호를 제공하는 단계를 더 포함한다. 방법은 복수의 플라즈마 프로세싱 스테이션들에 제공할 복수의 결합된 RF 신호들을 생성하도록 저 주파수 RF 신호와 고 주파수 RF 신호를 결합하는 단계를 포함한다. 방법은 저 주파수 회로의 션트 인덕터에 의해 웨이퍼 보우를 감소시키는 단계를 포함한다. 웨이퍼 보우는 플라즈마 프로세싱 스테이션들 중 일 스테이션으로의 전류량을 상승시키기 위해 션트 인덕터가 플라즈마 프로세싱 스테이션들 중 일 스테이션에 병렬로 커플링될 때 감소된다.
결합기 및 분배기의 몇몇 장점들은 RF 신호들로부터 기판 스테이션들로 전력을 제공하기 위해 복수의 주파수들의 RF 신호들을 생성하는 RF 생성기들을 턴 오프할 필요 없이, 기판 스테이션들 중 하나 이상에 대한 플라즈마 프로세싱을 턴 오프하는 것을 포함한다. 플라즈마 프로세싱의 턴 오프는 하나 이상의 기판 스테이션들에 대응하는 하나 이상의 더미 부하들로 복수의 주파수들의 RF 신호들을 커플링함으로써 달성된다.
결합기 및 분배기의 다른 장점들은 다양한 플라즈마 프로세스들을 수행하도록 결합기 및 분배기의 출력부들에서 파라미터의 값들을 제어하도록 튜닝 엘리먼트들을 제어하는 것을 포함한다. 튜닝 엘리먼트들은 결합기 및 분배기의 출력부들로부터 수신된 피드백에 기초하여 제어된다.
결합기 및 분배기의 또 다른 장점들은 DC 전력, 예를 들어, 플라즈마 챔버 내 플라즈마에 의해 생성되는 웨이퍼 DC 바이어스와 연관된 전력, 등을 차단하는 것을 포함한다.
결합기 및 분배기의 추가 장점들은 일부 실시예들에서, 주파수 당 RF 소스가 플라즈마 프로세싱 스테이션들을 구동한다는 것을 포함한다. 게다가, 다양한 실시예들에서, 모든 플라즈마 프로세싱 스테이션들은 동위상 (in phase) 으로 구동된다. 게다가, 일부 실시예들에서, 고 주파수 전력 및 저 주파수 전력은 플라즈마 프로세싱 스테이션들에 대해 턴 오프되거나 턴 온되어 동시에 턴 오프 또는 턴 온에 대한 동기화를 달성한다. 예를 들어, 점화되지 않은 스테이션들로 보다 많은 전류를 강제하여 (force) 점화를 강제하는 전류 밸런싱으로 인해 모든 플라즈마 프로세싱 스테이션들이 동시에 점화된다.
본 명세서에 기술된 시스템들 및 방법들의 부가적인 장점들은 결합기 및 분배기에 하나 이상의 션트 인덕터들을 사용하여 하나 이상의 웨이퍼들의 웨이퍼 보우를 감소시키는 것을 포함한다. 웨이퍼 보우는 하나 이상의 스테이션들로 공급될 전류량을 상승시키기 위해 하나 이상의 션트 인덕터들의 인덕턴스들을 제어함으로써 감소된다. 전류량들의 상승은 하나 이상의 스테이션들의 플라즈마 프로세스들에서 웨이퍼 보우를 감소시킨다.
첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 다른 양태들이 명백해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1은 웨이퍼를 프로세싱하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3은 인바운드 로드록 및 아웃바운드 로드록을 갖는 멀티-스테이션 프로세싱 툴의 실시예의 개략도를 도시한다.
도 4는 RF 전력을 결합하고 스테이션들로 RF 전력을 분배하는 결합기 및 분배기의 용도를 예시하는 시스템의 실시예의 도면이다.
도 5는 결합기 및 분배기의 실시예의 회로도를 예시하기 위한 시스템의 실시예이다.
도 6은 프로브 제어 및 시스템 제어에 의한 결합기 및 분배기의 튜닝 엘리먼트들의 제어를 예시하기 위한 시스템의 실시예의 도면이다.
도 7은 출력부들에서 파라미터의 값들이 서로 미리 결정된 범위 내에 있도록, 결합기 및 분배기의 다양한 튜닝 엘리먼트들의 값들과 결합기 및 분배기의 출력부들에서 파라미터의 값들 간의 대응관계의 예인 표 리스트를 예시하기 위한 실시예의 도면이다.
도 8a는 4 개의 프로세싱 스테이션들 각각에 대한 션트 인덕터를 사용함으로써 웨이퍼 보우 제어를 예시하기 위한 시스템의 실시예의 회로도이다.
도 8b는 스테이션으로 전달된 전력의 변화를 실질적으로 무시할 수 있도록 션트 인덕터들의 제어를 예시하기 위한 시스템의 실시예의 도면이다.
도 9a는 션트 인덕터가 튜닝 회로 및 인덕터를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 및 커패시터를 포함하는 또 다른 저 주파수 회로 사이에 커플링되는 것을 예시하기 위한 전력 결합기 및 분배기의 저 주파수 회로의 실시예의 회로도이다.
도 9b는 션트 인덕터가 고 주파수 차단 회로와 프로세싱 스테이션들 중 하나 사이에 커플링되는 것을 예시하기 위한 전력 결합기 및 분배기의 저 주파수 회로의 실시예의 회로도이다.
도 9c는 션트 인덕터가 저 주파수 회로의 입력부와 튜닝 회로 및 저 주파수 회로의 인덕터를 포함하는 저 주파수 회로 부분 사이에 커플링되는 것을 예시하기 위한 전력 결합기 및 분배기의 저 주파수 회로의 실시예의 회로도이다.
도 10은 션트 인덕터들이 사용되지 않을 때 및 션트 인덕터들이 사용될 때의 웨이퍼 보우들의 비교를 예시하는 도면이다.
도 11은 4 개의 프로세싱 스테이션들로 전달되는 저 주파수 전력의 변화에 대한 션트 인덕터들의 효과를 예시하기 위한 도면이다.
도 12a 및 도 12b는 프로세싱 스테이션들로 전달된 전력의 감소에 대한 션트 인덕터들의 사용의 효과를 예시하기 위한 플로우차트들의 실시예들의 도면들이다.
도 13a는 프로세싱 스테이션들 중 일 스테이션으로 전달된 전력이 프로세싱 스테이션들에서 프로세싱될 웨이퍼 상에 증착된 층들의 수가 증가함에 따라 감소하는 것을 예시하기 위한 그래프의 실시예의 도면이다.
도 13b는 프로세싱 스테이션들 중 일 스테이션으로 전달된 전력이 프로세싱 스테이션들에서 프로세싱될 웨이퍼 상에 증착된 층들의 수가 증가함에 따라 도 13a에 예시된 감소에 비해 보다 적게 감소하는 것을 예시하기 위한 그래프의 실시예의 도면이다.
이하의 실시예들은 웨이퍼 보우가 증가하지 않도록 복수의 플라즈마 프로세싱 스테이션들에서 웨이퍼 보우를 제어하는 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
막들의 증착은 바람직하게 PECVD (plasma enhanced chemical vapor deposition) 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 플라즈마 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 플라즈마 챔버 각각은 프로세싱할 하나 이상의 웨이퍼들을 하우징한다. 하나 이상의 플라즈마 챔버들은 규정된 위치 또는 위치들에서 운동, 예를 들어, 로테이션, 진동 또는 다른 교반, 등과 함께 또는 운동 없이, 규정된 위치 또는 위치들에 웨이퍼를 유지한다. 증착을 겪는 웨이퍼는 프로세싱 동안 챔버 내 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 막 증착은 단일 스테이션에서 전체적으로 일어나거나 막의 임의의 단편 (fraction) 이 임의의 수의 스테이션들에서 증착된다. 프로세스 동안, 웨이퍼 각각이 페데스탈, 예를 들어, 웨이퍼 척, 등 및/또는 플라즈마 챔버의 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다.
도 1은 웨이퍼 (101) 를 프로세싱하도록 사용된 PECVD 시스템의 예인, 기판 프로세싱 시스템 (100) 을 예시한다. 기판 프로세싱 시스템 (100) 은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 플라즈마 챔버 (102) 를 포함한다. 중심 칼럼 (central column) 은 일 실시예에서 전력 공급된 전극을 포함하는, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 복수의 매칭 네트워크들 (106) 에 더 커플링되는, 결합기 및 분배기 (121) 에 전기적으로 커플링된다. 매칭 네트워크들 (106) 은 복수의 RF 생성기들 (104) 에 커플링된다. RF 생성기들 (104) 는 프로브 제어 및 시스템 제어부 (110), 예를 들어 제어기, 등에 의해 제어된다. 제어기의 예들은 프로세서 및 메모리 디바이스를 포함한다. 예를 들어, 프로세서는 ASIC (application specific integrated circuit), PLD (programmable logic device), CPU (central processing unit), 또는 마이크로프로세서, 등이다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 저장 디스크들의 리던던트 어레이, 하드 디스크, 플래시 메모리, 등을 포함한다. 프로브 제어 및 시스템 제어부 (110) 는 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 작동시킨다. 프로세스 입력 및 제어부 (108) 는 웨이퍼 (101) 위에 막들을 형성하거나 증착하기 위해서, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, 등과 같은 프로세스 레시피들을 포함한다.
중심 칼럼은 또한 리프트 핀 제어부 (122) 에 의해 제어되는, 리프트 핀들 (120) 을 포함하는 것으로 도시된다. 리프트 핀들 (120) 은 엔트-이펙터로 하여금 웨이퍼 (101) 를 피킹하게 하기 (pick) 위해 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 그리고 엔드-이펙터에 의해 배치된 후에 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114) 에 연결되는 가스 공급 매니폴드 (112), 예를 들어, 설비로부터의 가스 화학물질 공급부들, 등을 더 포함한다. 수행되는 프로세싱에 따라, 프로브 제어 및 시스템 제어부 (110) 는 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 전방부와 페데스탈 (140) 사이에 규정된 공간 볼륨, 예를 들어, 갭 내에 분배된다.
또한, 일부 실시예들에서, 프로세스 가스들 (114) 은 미리 혼합되거나 미리 혼합되지 않는다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 올바른 프로세스 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용된다. 프로세스 가스들 (114) 은 유출부를 통해 플라즈마 챔버 (102) 를 나간다. 진공 펌프, 예를 들어, 1 또는 2 스테이지 기계식 건조 펌프, 터보분자식 펌프, 등은 프로세스 가스들을 외부로 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 플라즈마 챔버 (102) 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외부 구역을 둘러싸는 캐리어 링 (151) 이 또한 도시된다. 캐리어 링 (151) 은 페데스탈 (140) 의 중심의 웨이퍼 지지 영역으로부터 스텝 다운된 캐리어 링 지지부 영역 위에 놓인다. 캐리어 링 (151) 은 캐리어 링의 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경부, 등 및 캐리어 링의 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳과 가장 가까운 내측 반경부, 등을 포함한다. 캐리어 링 (151) 의 웨이퍼 에지 측은 캐리어 링 (151) 이 복수의 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (151) 은 웨이퍼 (101) 와 함께 리프팅되고 또 다른 스테이션으로, 예를 들어, 멀티-스테이션 시스템에서 로테이션된다.
일 실시예에서, 샤워헤드 (150) 내 상부 전극은, RF 전력이 RF 생성기들 (104) 로부터 페데스탈 (140) 내 하부 전극으로 공급될 때 접지된다.
일 실시예에서, 페데스탈 (140) 이 매칭 네트워크들 (106) 을 통해 RF 생성기들 (104) 에 전기적으로 커플링되는 대신, 샤워헤드 (150) 내 상부 전극이 RF 생성기들 (104) 로부터 전력을 수신하기 위해 복수의 매칭 네트워크들을 통해 RF 생성기들 (104) 에 커플링되고 페데스탈 (140) 내 하부 전극은 접지된다.
일부 실시예들에서, RF 생성기들 (104) 은 상이한 주파수들을 갖는 RF 신호들을 생성하고, 예를 들어, RF 생성기들 (104) 중 일 RF 생성기는 저 주파수를 갖는 RF 신호를 생성하고, RF 생성기들 (104) 중 또 다른 RF 생성기는 저 주파수보다 높은 고 주파수를 갖는 RF 신호를 생성한다.
도 2는 스테이션 1, 스테이션 2, 스테이션 3, 및 스테이션 4인 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 4 개의 스테이션들은 스파이더 포크들 (180) 에 의해 액세스된다. 일 실시예에서, 일 스테이션을 또 다른 스테이션으로부터 격리하기 위한 격리 벽 또는 다른 메커니즘이 없다. 스파이더 포크 (180) 각각은 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 위치된다. 이 도면에서, 스파이더 포크들 (180) 은 이들이 캐리어 링 (151) 아래에 있다는 것을 전달하기 위해 점선으로 도시된다. 인게이지먼트 및 로테이션 메커니즘 (220) 을 사용하여 스파이더 포크들 (180) 은, 스테이션 1 내지 스테이션 4로부터 동시에 캐리어 링들 (151) 의 하부 표면으로부터 캐리어 링들 (151) 을 상승 및 리프팅하고, 이어서 캐리어 링들 (151) 을 하강시키기 전에 2 이상의 스테이션 1 내지 스테이션 4 사이에서 로테이션한다. 로테이션 동안, 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 웨이퍼 (101) 상에서 발생하도록 적어도 하나의 캐리어 링들 (151) 이 웨이퍼 (101) 를 다음 위치로 지지한다.
도 3은 인바운드 로드록 (302) 및 아웃바운드 로드록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 (300) 의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (306) 은 대기 포트 (310) 를 통해 인바운드 로드록 (302) 내로 포드 (308) 를 통해 로딩된 카세트로부터 기판들, 예를 들어, 웨이퍼 (101), 등을 이동시킨다. 인바운드 로드록 (302) 은, 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드록 (302) 이 펌핑다운되도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (302) 은 또한 스테이션 1 내지 스테이션 4 중 하나와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 이 웨이퍼 (101) 를 인바운드 로드록 (302) 으로부터 프로세싱을 위해 스테이션 1의 페데스탈 (140) 로 이동시킨다.
일부 실시예들에서, 기판들이 스테이션 1 내지 스테이션 4 사이에서 진공 파괴 및/또는 공기 노출을 경험하지 않고 캐리어 링 (151) 을 사용하여 이송되도록 스테이션 1 내지 스테이션 4를 둘러싸는 인클로저 내에서 저압 분위기가 유지된다. 스테이션 1 내지 스테이션 4 각각은 프로세스 스테이션 기판 홀더 및 프로세스 가스 전달 라인 유입부들을 포함한다.
스파이더 포크들 (180) 은 스테이션 1 내지 스테이션 4 사이에서 기판들을 이송한다. 스파이더 포크들 (180) 은 스테이션 1 내지 스테이션 4 중 하나로부터 스테이션 1 내지 스테이션 4 중 또 다른 하나로 웨이퍼 (101) 를 로테이션하고 웨이퍼 (101) 의 이송을 인에이블한다. 이송은 웨이퍼 (101) 를 리프팅하는 외측 하부 표면으로부터 캐리어 링들 (151) 을 리프팅하도록 스파이더 포크들 (180) 을 인에이블함으로써 일어나고, 웨이퍼 (101) 와 캐리어 링 (151) 을 함께 다음 스테이션으로 로테이션한다. 일 구성예에서, 스파이더 포크들 (180) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
다양한 실시예들에서, 4 이외의 수의 스테이션들이 사용된다. 예를 들어, 3 또는 2 또는 5 개의 플라즈마 프로세싱 스테이션들이 웨이퍼 (101) 를 프로세싱하도록 사용된다.
도 4는 RF 전력을 결합하고 RF 전력을 스테이션 1 내지 스테이션 4로 분배하는 결합기 및 분배기 (121) 의 사용을 예시하기 위한 시스템 (400) 의 실시예의 도면이다. 시스템 (400) 은 저 주파수 생성기 (402) 및 고 주파수 생성기 (404) 를 포함한다. 고 주파수 생성기 (404) 의 예는 13 ㎒ 또는 27 ㎒ 또는 60 ㎒의 동작 주파수를 갖는 RF 생성기를 포함한다. 저 주파수 생성기 (402) 의 예는 2 ㎒ 또는 400 ㎑의 동작 주파수를 갖는 생성기를 포함한다.
시스템 (400) 은 저 주파수 매칭 네트워크 (406) 및 고 주파수 매칭 네트워크 (408) 를 더 포함한다. 저 주파수 매칭 네트워크 (406) 의 입력부는 동축 케이블 (410) 을 통해 저 주파수 생성기 (402) 의 출력부에 커플링되고, 고 주파수 매칭 네트워크 (408) 의 입력부는 또 다른 동축 케이블 (412) 을 통해 고 주파수 생성기 (404) 의 출력부에 커플링된다. 매칭 네트워크는 하나 이상의 커패시터들, 하나 이상의 인덕터들, 및/또는 하나 이상의 레지스터들을 갖는 회로를 포함한다.
저 주파수 매칭 네트워크 (406) 의 출력부는 동축 케이블 (414) 을 통해 결합기 및 분배기 (121) 에 커플링되고, 고 주파수 생성기 (404) 의 출력부는 결합기 및 분배기 (121) 에 커플링된다. 예를 들어, 고 주파수 매칭 네트워크 (408) 의 출력부를 결합기 및 분배기 (121) 의 입력부에 커플링하는 동축 케이블이 없다. 일부 실시예들에서, 동축 케이블은 고 주파수 매칭 네트워크 (408) 의 출력부를 결합기 및 분배기 (121) 의 입력부에 커플링한다.
결합기 및 분배기 (121) 의 제 1 출력부 OUT#1은 동축 케이블 (416A) 을 통해 스테이션 1, 예를 들어, 스테이션 1의 샤워헤드 (150) 또는 스테이션 1의 페데스탈 (140), 등에 커플링된다. 더욱이, 결합기 및 분배기 (121) 의 제 2 출력부 OUT#2는 동축 케이블 (416B) 을 통해 스테이션 2, 예를 들어, 스테이션 2의 샤워헤드 (150) 또는 스테이션 2의 페데스탈 (140), 등에 커플링된다. 결합기 및 분배기 (121) 의 제 3 출력부 OUT#3은 동축 케이블 (416C) 을 통해 스테이션 3, 예를 들어, 스테이션 3의 샤워헤드 (150) 또는 스테이션 3의 페데스탈 (140), 등에 커플링된다. 더욱이, 결합기 및 분배기 (121) 의 제 4 출력부 OUT#4는 동축 케이블 (416D) 을 통해 스테이션 4, 예를 들어, 스테이션 4의 샤워헤드 (150) 또는 스테이션 4의 페데스탈 (140), 등에 커플링된다.
파라미터 프로브 (408A) 는 OUT#1에 커플링되고, 파라미터 프로브 (408B) 는 OUT#2에 커플링되고, 파라미터 프로브 (408C) 는 OUT#3에 커플링되고, 파라미터 프로브 (408D) 는 OUT#4에 커플링된다. 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 는, 결합기 및 분배기 (121) 에 더 커플링되는 프로브 제어 및 시스템 제어부 (110) 에 커플링된다. 파라미터 프로브의 예들은 복소 전압 및 전류 센서, 복소 전압 센서, 복소 전류 센서, 임피던스 센서, DC 바이어스 전압 센서, 복소 전력 프로브, 등을 포함한다.
저 주파수 생성기 (402) 는 주파수, 예를 들어, 2 ㎒ 주파수, 400 ㎑ 주파수, 등을 갖는 RF 신호를 생성하고, 동축 케이블 (410) 을 통해 저 주파수 매칭 네트워크 (406) 의 입력부로 RF 신호를 제공한다. 저 주파수 매칭 네트워크 (406) 는 저 주파수 RF 생성기 (402) 로부터 RF 신호의 수신시 수정된 RF 신호를 생성하도록 저 주파수 매칭 네트워크 (406) 의 출력부에 커플링되는 부하, 예를 들어, 동축 케이블 (414), 결합기 및 분배기 (121), 동축 케이블 (416A) 내지 동축 케이블 (416D), 및 스테이션 1 내지 스테이션 4, 등의 임피던스를 저 주파수 매칭 네트워크 (406) 의 입력부에 커플링되는, 소스, 예를 들어, 동축 케이블 (410) 및 저 주파수 생성기 (402), 등의 임피던스와 매칭한다.
유사하게, 고 주파수 생성기 (404) 는 주파수, 예를 들어, 13 ㎒ 주파수, 27 ㎒ 주파수, 60 ㎒ 주파수, 등을 갖는 RF 신호를 생성하고, 동축 케이블 (412) 을 통해 고 주파수 매칭 네트워크 (408) 의 입력부에 RF 신호를 제공한다. 고 주파수 매칭 네트워크 (408) 는 고 주파수 RF 생성기 (404) 로부터 RF 신호의 수신시 수정된 RF 신호를 생성하도록 고 주파수 매칭 네트워크 (408) 의 출력부에 커플링되는 부하, 예를 들어, 결합기 및 분배기 (121), 동축 케이블 (416A) 내지 동축 케이블 (416D), 및 스테이션 1 내지 스테이션 4, 등의 임피던스를 고 주파수 매칭 네트워크 (408) 의 입력부에 커플링되는 소스, 예를 들어, 동축 케이블 (412) 및 고 주파수 생성기 (404), 등의 임피던스와 매칭한다.
결합기 및 분배기 (121) 는 저 주파수 매칭 네트워크 (406) 및 고 주파수 매칭 네트워크 (408) 로부터 수정된 RF 신호들을 수신하고, 결합된 RF 신호들을 생성하도록 RF 신호들을 결합한다. 결합된 RF 신호들 중 일 결합된 RF 신호는 OUT#1을 통해 스테이션 1로 전송되고, 다른 일 결합된 RF 신호는 OUT#2를 통해 스테이션 2로 전송되고, 또 다른 일 결합된 RF 신호는 OUT#3을 통해 스테이션 3으로 전송되고, 또 다른 일 결합된 RF 신호는 OUT#4를 통해 스테이션 4로 전송된다.
파라미터 프로브 (408A) 는 OUT#1에서 파라미터의 값, 예를 들어, 복소 전압 및 전류, DC 바이어스 전압, 복소 임피던스, 복소 전력, 등을 측정하고, 이 값을 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 더욱이, 파라미터 프로브 (408B) 는 OUT#2에서 파라미터의 값을 측정하고, 이 값을 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 파라미터 프로브 (408C) 는 OUT#3에서 파라미터의 값을 측정하고, 이 값을 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 파라미터 프로브 (408D) 는 OUT#4에서 파라미터의 값을 측정하고, 이 값을 프로브 제어 및 시스템 제어부 (110) 에 제공한다.
프로브 제어 및 시스템 제어부 (110) 의 프로세서는 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 로부터 수신된 파라미터의 값들에 기초하여 결합기 및 분배기 (121) 의 대응하는 하나 이상의 튜닝 회로들의 변수, 예를 들어, 커패시턴스, 등의 하나 이상의 값들을 결정한다. 예를 들어, 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 스테이션 1과 연관된 파라미터의 값이 스테이션 2와 연관된 파라미터의 값의 미리 결정된 범위 내, 예를 들어, 같은 등, 하도록 결정하고, 결합기 및 분배기 (121) 의 튜닝 회로들 중 일 튜닝 회로의 변수의 값은 V1이고 결합기 및 분배기 (121) 의 튜닝 회로의 또 다른 튜닝 회로의 변수의 값은 V2이다. 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 파라미터의 값들 사이의 미리 결정된 범위를 달성하기 위해 변수의 값들 V1 및 V2를 달성하도록 결합기 및 분배기 (121) 의 튜닝 회로들을 제어한다. 또 다른 예로서, 결합기 및 분배기 (121) 의 모든 튜닝 회로들의 변수의 값들 및 출력 OUT#1 내지 출력 OUT#4에서 파라미터의 값들 사이의 대응 관계, 예를 들어, 1-대-1 매칭, 연관, 맵핑, 룩업 테이블의 행, 등은 프로세서에 커플링되는 메모리 디바이스 내에 저장된다. 파라미터 프로브 (408A) 로부터 파라미터의 값 및 파라미터 프로브 (408B) 로부터 파라미터의 값의 수신시, 프로세서는 값들이 서로로부터 미리 결정된 범위 내에 있지 않다고 결정한다. 프로세서는, OUT#1에서의 파라미터의 값이 OUT#2에 커플링된 튜닝 회로들 중 또 다른 하나의 파라미터의 값으로부터 미리 결정된 범위 내에 있도록 OUT#1에 커플링된 튜닝 회로들 중 하나의 변수의 값 및/또는 OUT#2에 커플링된 튜닝 회로들 중 하나의 변수의 값을 결정하기 위해 메모리 디바이스로부터의 이 대응 관계에 액세스한다. 프로세서는, OUT#1에서의 파라미터의 값이 OUT#2에서의 파라미터의 값으로부터 미리 결정된 범위 내에 있도록 OUT#1에 커플링된 튜닝 회로의 변수의 값 및/또는 OUT#2에 커플링된 튜닝 회로의 변수의 값을 제어한다.
결합기 및 분배기 (121) 의 출력부들의 수는 스테이션들의 수와 매칭한다는 것을 주의해야 한다. 예를 들어, 웨이퍼 (101) 를 프로세싱하기 위해 3 개의 스테이션들이 사용되는 경우, 결합기 및 분배기 (121) 는 3 개의 출력부들을 갖고, 출력부 각각은 스테이션들 중 대응하는 일 스테이션에 커플링된다.
일부 실시예들에서, 저 주파수 생성기 (402) 대신, 중간 주파수 생성기가 사용된다. 중간 주파수 생성기의 예는 1 ㎒ 또는 2 ㎒의 동작 주파수를 갖는 RF 생성기를 포함한다. 이들 실시예들에서, 중간 주파수 생성기는 중간 주파수를 갖는 RF 신호를 생성한다. 더욱이, 저 주파수 매칭 네트워크 (406) 대신, 중간 주파수 매칭 네트워크가 사용된다.
다양한 실시예들에서, 프로브 제어 및 시스템 제어부 (110) 에 의해 수행되기 때문에, 본 명세서에 기술된 기능들, 예를 들어, 전력 분배 기능, 등을 수행하도록 프로브 제어 및 시스템 제어부 (110) 로 인스트럭션들을 제공하기 위해 프로브 제어 및 시스템 제어부 (110) 에 툴 제어기가 커플링된다.
일부 실시예들에서, 프로브 제어 및 시스템 제어부 (110) 는 프로브 제어 및 시스템 제어부 (110) 에 의해 수행되기 때문에 본 명세서에 기술된 기능들을 수행하기 위한 임의의 수의 제어기들을 포함하고, 기능들은 제어기들 사이에 분산된다. 예를 들어, 툴 제어기는 프로브 제어 및 시스템 제어부 (110) 의 일부이다.
다양한 실시예들에서, 결합기 및 분배기 (121), 고 주파수 매칭 네트워크 (408), 및 저 주파수 매칭 네트워크 (406) 는 스테이션 1 내지 스테이션 4으로부터 이격되어 위치된다. 예를 들어, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각의 길이는 4 피트 내지 6 피트의 범위이다. 또 다른 예로서, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각의 길이는 6 피트 내지 8 피트의 범위이다.
일부 실시예들에서, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각은 결합기 및 분배기 (121) 의 일부이다. 예를 들어, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각은 50 Ω RF 송신 라인이 아니다. 예시를 위해, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각은 입력 및 출력에서 50 Ω의 임피던스에 직면하지 않는다. 대신, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각을 통해 전송될 RF 전력은 동축 케이블의 길이를 따라 전압 및 전류의 변화를 겪는다. 예시를 위해, 동축 케이블 (416A) 내지 동축 케이블 (416D) 각각은 대응하고, 동축 케이블을 통과하는 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4로부터 수신된 RF 신호에 직렬 커패시턴스들 및 직렬 인덕턴스들을 제공한다.
도 5는 결합기 및 분배기 (121) 의 실시예의 회로도를 예시하기 위한 시스템 (500) 의 실시예이다. 시스템 (500) 은 프로브 제어 및 시스템 제어부 (110) 를 포함하고, 결합기 및 분배기 (121) 를 더 포함한다.
결합기 및 분배기 (121) 는 저 주파수 회로 (506), 고 주파수 회로 (508), 및 출력 회로 (510) 를 갖는다. 저 주파수 회로 (506) 는 출력 회로 (510) 에 더 커플링되는 고 주파수 회로 (508) 에 커플링된다. 저 주파수 회로 (506) 는 DC 차단 커패시터들 C1, C2, C3, 및 C4를 포함한다. 저 주파수 회로 (506) 는 가변 커패시터들인, 복수의 튜닝 회로들 C5, C6, C7, 및 C8을 더 포함하고, 저 주파수 회로 (506) 는 인덕터들 L1, L2, L3, 및 L4를 더 포함한다. 저 주파수 회로 (506) 는 고 주파수 차단 회로 (504A), 고 주파수 차단 회로 (504B), 고 주파수 차단 회로 (504C), 및 고 주파수 차단 회로 (504D) 를 포함한다. 고 주파수 차단 회로 (504A) 는 커패시터 C9와 병렬로 커플링된 인덕터 L5를 포함한다. 예를 들어, 인덕터 L5의 일 단부는 출력부 O1에 연결되고, 인덕터 L5의 반대편 단부는 단부 E1에 연결된다. 더욱이, 예에서, 커패시터 C9의 단부는 출력부 O1에 연결되고, 커패시터 C9의 반대편 단부는 단부 E1에 연결된다. 유사하게, 고 주파수 차단 회로 (504B) 는 커패시터 C11와 병렬로 커플링된 인덕터 L6를 포함하고, 고 주파수 차단 회로 (504C) 는 커패시터 C14와 병렬로 커플링된 인덕터 L7을 포함하고, 그리고 고 주파수 차단 회로 (504D) 는 커패시터 C15와 병렬로 커플링된 인덕터 L8을 포함한다. 예를 들어, 인덕터 L6의 단부는 출력부 O2에 연결되고 인덕터 L6의 반대편 단부는 단부 E3에 연결된다. 더욱이, 일 예에서, 커패시터 C11의 일 단부는 출력부 O2에 연결되고, 커패시터 C11의 반대편 단부는 단부 E3에 연결된다. 저 주파수 회로 (506) 는 커패시터들 C10, C12, C14, 및 C16을 더 포함한다.
고 주파수 회로 (508) 는 커패시터들 C18, C20, C21, 및 C23을 포함한다. 고 주파수 회로 (508) 는 가변 커패시터들인, 튜닝 회로들 C17, C19, C22, 및 C24를 더 포함한다. 일부 실시예들에서, 가변 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24 각각은 진공 커패시터이다.
출력 회로 (510) 는 더미 부하들 DL1, DL2, DL3, 및 DL4를 포함하고, 밸런싱 인덕터들 L9, L11, L13, 및 L15를 더 포함한다. 출력 회로 (510) 는 스위치들 S1, S2, S3, 및 S4를 포함한다. 스위치의 예는 트랜지스터 또는 하나 이상의 트랜지스터들의 조합을 포함한다.
더미 부하 DL1은 인덕터 L10에 병렬로 커플링된 커패시터 C26을 포함하고, DC 차단 커패시터 C25를 더 포함한다. 유사하게, 더미 부하 DL2는 인덕터 L12에 병렬로 커플링된 커패시터 C28을 포함하고, DC 차단 커패시터 C27을 더 포함한다. 또한, 더미 부하 DL3은 인덕터 L14에 병렬로 커플링된 커패시터 C30을 포함하고, DC 차단 커패시터 C29를 더 포함한다. 더미 부하 DL4는 인덕터 L16에 병렬로 커플링된 커패시터 C32를 포함하고, DC 차단 커패시터 C31을 더 포함한다. DC 차단 커패시터들 C25, C27, C29, 및 C30 각각은 DC 전력이 더미 부하 DL1 내지 더미 부하 DL4의 대응하는 커패시터들 C26, C28, C29, 및 C32, 그리고 대응하는 인덕터들 L10, L12, L14, 및 L16에 도달하는 것을 차단한다. 예시를 위해, DC 차단 커패시터 C25는 DC 전력이 스테이션 1의 플라즈마로부터 OUT#1을 통해 커패시터 C26 및 인덕터 L10으로 전송되는 것을 차단한다. DC 전력은 대응하는 스테이션 1 내지 스테이션 4 내에서 생성된 플라즈마로부터 수신된다. 예를 들어, DC 차단 커패시터 C25에 의해 차단된 DC 전력은 스테이션 1에서 플라즈마에 의해 생성된 웨이퍼 DC 바이어스에 의해 생성되고, DC 차단 커패시터 C27에 의해 차단된 DC 전력은 스테이션 2에서 플라즈마에 의해 생성된 웨이퍼 DC 바이어스에 의해 생성된다.
커패시터 C1 내지 커패시터 C4는 동축 케이블 (414) (도 4) 및 입력부 (520) 를 통해 저 주파수 매칭 네트워크 (406) 로부터 저 주파수의 수정된 RF 신호를 수신하도록 저 주파수 매칭 네트워크 (406) (도 4) 에 저 주파수 회로 (506) 의 입력부 (520) 를 통해 커플링된다. 커패시터 C1 내지 커패시터 C4는 스테이션 1 내지 스테이션 4 내에서 생성된 플라즈마로부터 수신된 DC 전력이 입력부 (520), 동축 케이블 (414), 저 주파수 매칭 네트워크 (406), 및 동축 케이블 (410) (도 4) 을 통해 저 주파수 RF 생성기 (402) (도 4) 에 도달하는 것을 차단한다. 예를 들어, 커패시터 C1은 스테이션 1에서 플라즈마에 의해 생성된 웨이퍼 DC 바이어스에 의해 생성된 전력을 차단하고, 커패시터 C2는 스테이션 2에서 플라즈마에 의해 생성된 웨이퍼 DC 바이어스에 의해 생성된 전력을 차단한다.
인덕터 L1 내지 인덕터 L4 각각은 고 주파수에서 커패시터로서 작용한다. 고 주파수 차단 회로 (504A) 내지 고 주파수 차단 회로 (504D) 는 고 주파수 회로 (510) 의 입력부 (522) 를 통해 고 주파수 매칭 네트워크 (408) (도 4) 로부터 수신된 고 주파수의 수정된 RF 신호의 고 주파수가 입력부 (520), 동축 케이블 (414), 저 주파수 매칭 네트워크 (406), 및 동축 케이블 (410) 을 통해 저 주파수 RF 생성기 (402) 에 도달하는 것을 차단한다. 예를 들어, 고 주파수 차단 회로 (504A) 는 입력부 (522) 를 통해 수신된 고 주파수의 수정된 RF 신호가 인덕터 L1, 커패시터 C1, 입력부 (520), 동축 케이블 (414), 저 주파수 매칭 네트워크 (406), 및 동축 케이블 (410) 을 통해 저 주파수 RF 생성기 (402) 에 도달하는 것을 차단한다.
커패시터들 C10, C12, C14, 및 C16은 대응하는 고 주파수 차단 회로 (504A) 내지 고 주파수 차단 회로 (504D) 로부터 누설된 모든 잔여 고 주파수 전력을 위한 접지로의 경로를 제공한다. 예를 들어, 커패시터 C10은 일 단부 E2에서 접지 연결부에 커플링되고 반대편 단부 E1에서 고 주파수 차단 회로 (504A) 에 커플링된다. 또 다른 예로서, 커패시터 C12는 일 단부 E4에서 접지 연결부에 커플링되고 반대편 단부 E3에서 고 주파수 차단 회로 (504B) 에 커플링된다. 대응하는 하나 이상의 가변 커패시터 C5 내지 가변 커패시터 C8의 하나 이상의 커패시턴스들은 2 이상의 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들이 서로 미리 결정된 범위 내에 있도록 변화된다. 유사하게, 대응하는 하나 이상의 가변 커패시터들 C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들은 2 이상의 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들이 서로 미리 결정된 범위 내에 있도록 변화된다. 일부 실시예들에서, 대응하는 하나 이상의 가변 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들은 2 이상의 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들이 서로 미리 결정된 범위 내에 있도록 변화된다. 커패시터들 C18, C20, C21, 및 C23은 동축 케이블 (414) 및 입력부 (520) 를 통해 저 주파수 매칭 네트워크 (406) 로부터 수신된 저 주파수의 수정된 RF 신호를 필터링한다. 예를 들어, 커패시터 C18은 동축 케이블 (414), 입력부 (520), 커패시터 C1, 인덕터 L1, 및 고 주파수 차단 회로 (504A) 를 통해 저 주파수 매칭 네트워크 (406) 로부터 수신된 저 주파수의 수정된 RF 신호를 필터링한다.
스위치 S1 내지 스위치 S4 각각은 스위치의 보통 개방된 단자에 커플링됨으로써 개방, 예를 들어, 보통 개방 (NO: normally open), 등이 되고, 스위치의 보통 폐쇄된 단자에 커플링됨으로써 폐쇄, 예를 들어, 보통 폐쇄 (NC: normally closed), 등이 된다. 스위치 S1 내지 스위치 S4 각각은 스위치의 공통 단자 (COM) 에 대해 각각 개방되거나 폐쇄된다. 예를 들어, 오프 신호, 예를 들어 미리 명시된 양보다 적은 양의 전류, 등이 프로세서로부터 스위치 S1로 전송될 때, 스위치 S1은 개방된 위치, 예를 들어, 오프 상태, 등에 있다. 더욱이, 온 신호, 예를 들어 미리 명시된 양보다 많은 전류량, 등이 프로세서로부터 스위치 S1로 전송될 때, 스위치는 폐쇄된 위치, 예를 들어, 온 상태, 등에 있다.
스위치 S1 내지 스위치 S4는 프로브 제어 및 시스템 제어부 (110) 에 의해 제어되도록 프로브 제어 및 시스템 제어부 (110) 에 커플링된다. 스위치 S1 내지 스위치 S4는 프로브 제어 및 시스템 제어부 (110) 의 프로세서로부터 제어 신호의 수신시 개방 위치 또는 폐쇄 위치에 있도록 제어된다. 예를 들어, 스위치 S1은 스테이션 1 내에서 플라즈마가 인에이블, 예를 들어, 생성, 유지, 등이 되도록 인덕터 L9 및 출력 회로 (510) 의 OUT#1을 통해 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O1을 스테이션 1에 커플링하기 위해 폐쇄된다. 다른 예로서, 스위치 S2는 스테이션 2 내에서 플라즈마가 인에이블되도록 인덕터 L11 및 출력 회로 (510) 의 OUT#2를 통해 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O2를 스테이션 2에 커플링하기 위해 폐쇄된다. 또 다른 예로서, 스위치 S3은 스테이션 3 내에서 플라즈마가 인에이블되도록 인덕터 L13 및 출력 회로 (510) 의 OUT#3을 통해 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O3을 스테이션 3에 커플링하기 위해 폐쇄된다. 또 다른 예로서, 스위치 S4는 스테이션 4 내에서 플라즈마가 인에이블되도록 인덕터 L15 및 출력 회로 (510) 의 OUT#4를 통해 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O4를 스테이션 4에 커플링하기 위해 폐쇄된다. 또 다른 예로서, 스위치 S1은 스테이션 1 내에서 플라즈마가 디스에이블, 예를 들어, 생성되지 않고, 턴 오프되는, 등 하도록 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O1을 더미 부하 DL1에 커플링하기 위해 개방된다. 또 다른 예로서, 스위치 S2는 스테이션 2 내에서 플라즈마가 디스에이블되도록 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O2를 더미 부하 DL2에 커플링하기 위해 개방된다. 또 다른 예로서, 스위치 S3은 스테이션 3 내에서 플라즈마가 디스에이블되도록 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O3을 더미 부하 DL3에 커플링하기 위해 개방된다. 또 다른 예로서, 스위치 S4는 스테이션 4 내에서 플라즈마가 디스에이블되도록 저 주파수 회로 (506) 및 고 주파수 회로 (508) 의 출력부 O4를 더미 부하 DL4에 커플링하기 위해 폐쇄된다.
하나 이상의 스테이션 1 내지 스테이션 4으로 RF 전력을 스위칭함으로써, 하나 이상의 스테이션 1 내지 스테이션 4에서 플라즈마를 인에이블하도록 전력이 하나 이상의 스테이션 1 내지 스테이션 4로 선택적으로 방향전환된다. 예를 들어, 스테이션 1 내에서 플라즈마를 인에이블하기 위해 출력부 O1에서 결합된 RF 신호의 RF 전력이 인덕터 L9, OUT#1, 및 동축 케이블 (416A) (도 4) 을 통해 스테이션 1로 전송되도록, 스위치 S1은 프로브 제어 및 시스템 제어부 (110) 에 의해 폐쇄된 위치에 있도록 제어된다. 다른 예로서, 스테이션 2 내에서 플라즈마를 인에이블하기 위해 출력부 O2에서 결합된 RF 신호의 RF 전력이 인덕터 L11, OUT#2, 및 동축 케이블 (416B) (도 4) 을 통해 스테이션 2로 전송되도록, 스위치 S2는 프로브 제어 및 시스템 제어부 (110) 에 의해 폐쇄된 위치에 있도록 제어된다. 또 다른 예로서, 스테이션 3 내에서 플라즈마를 인에이블하기 위해 출력부 O3에서 결합된 RF 신호의 RF 전력이 인덕터 L13, OUT#3, 및 동축 케이블 (416C) (도 4) 을 통해 스테이션 3으로 전송되도록, 스위치 S3은 프로브 제어 및 시스템 제어부 (110) 에 의해 폐쇄된 위치에 있도록 제어된다. 또 다른 예로서, 스테이션 4 내에서 플라즈마를 인에이블하기 위해 출력부 O4에서 결합된 RF 신호의 RF 전력이 인덕터 L15, OUT#4, 및 동축 케이블 (416D) (도 4) 을 통해 스테이션 4로 전송되도록, 스위치 S4는 프로브 제어 및 시스템 제어부 (110) 에 의해 폐쇄된 위치에 있도록 제어된다.
한편, 스테이션 1이 플라즈마 프로세싱, 예를 들어, 플라즈마 점화, 인에이블, 또는 제어, 등에 사용될 때, 스테이션 1로의 RF 전력은 더미 부하 DL1로부터 스테이션 1로 방향전환된다. 예를 들어, 스테이션 1이 웨이퍼 (101) 를 프로세싱하는데 사용될 때, 스위치 S1은 출력부 O1에서 결합된 RF 신호의 RF 전력이 인덕터 L9 및 OUT#1을 통해 스테이션 1로 방향전환되도록 스위치 S1을 폐쇄하기 위해 프로브 제어 및 시스템 제어부 (110) 에 의해 제어된다. 다른 한편으로, 스테이션 1 내지 스테이션 4 중 일 스테이션이 플라즈마 프로세싱에 사용되지 않을 때, 스테이션으로의 RF 전력은 스테이션에 대응하는 더미 부하로 방향 전환된다. 예를 들어, 스테이션 1이 웨이퍼 (101) 를 프로세싱하는데 사용되지 않을 때, 스위치 S1은 출력부 O1에서 결합된 RF 신호의 RF 전력이 더미 부하 DL1로 방향 전환되도록 스위치 S1을 개방하기 위해 프로브 제어 및 시스템 제어부 (110) 에 의해 제어된다. 스테이션 1로의 RF 전력을 제거하기 위해 저 주파수 RF 생성기 (402) 및 고 주파수 RF 생성기 (404) 중 하나 또는 양자를 턴 오프, 예를 들어, 전력 오프, 전력을 제거, 등을 할 필요가 없다.
다양한 실시예들에서, 출력부 O1 내지 출력부 O4는 출력 회로 (510) 의 일부이다.
일부 실시예들에서, 더미 부하 DL1은 스테이션 1의 임피던스로부터 미리 결정된 한계 내, 예를 들어, 동일한, 등의 임피던스를 갖고, 더미 부하 DL2는 스테이션 2의 임피던스로부터 미리 결정된 한계 내인 임피던스를 갖고, 더미 부하 DL3은 스테이션 3의 임피던스로부터 미리 결정된 한계 내인 임피던스를 갖고, 더미 부하 DL4는 스테이션 4의 임피던스로부터 미리 결정된 한계 내인 임피던스를 갖는다.
저 주파수 매칭 네트워크 (406) (도 4) 로부터 입력부 (520) 에서 수신되는 수정된 RF 신호는 출력부 O1 내지 출력부 O4에 RF 신호들을 제공하도록 인덕터 L1 내지 인덕터 L4, 커패시터 C5 내지 커패시터 C8, 고 주파수 차단 회로 (504A) 내지 고 주파수 차단 회로 (504D), 및 커패시터들 C10, C12, C14, 및 C16에 의해 프로세싱된다. 예를 들어, 입력부 (520) 에서 수신되는 수정된 RF 신호의 일부는 출력부 O1에 저 주파수 RF 신호를 제공하도록 커패시터 C1, 인덕터 L1, 커패시터 C5, 고 주파수 차단 회로 (504A), 및 커패시터 C10에 의해 프로세싱된다. 다른 예로서, 입력부 (520) 에 의해 수신되는 수정된 RF 신호의 일부는 출력부 O2에 저 주파수 RF 신호를 제공하도록 커패시터 C2, 인덕터 L2, 커패시터 C6, 고 주파수 차단 회로 (504B), 및 커패시터 C12에 의해 프로세싱된다. 또 다른 예로서, 입력부 (520) 에서 수신되는 수정된 RF 신호의 일부는 출력부 O3에 저 주파수 RF 신호를 제공하도록 커패시터 C3, 인덕터 L3, 커패시터 C7, 고 주파수 차단 회로 (504C), 및 커패시터 C14에 의해 프로세싱된다. 또 다른 예로서, 입력부 (520) 에서 수신되는 수정된 RF 신호의 일부는 출력부 O4에 저 주파수 RF 신호를 제공하도록 커패시터 C4, 인덕터 L4, 커패시터 C8, 고 주파수 차단 회로 (504D), 및 커패시터 C16에 의해 프로세싱된다.
유사하게, 고 주파수 매칭 네트워크 (408) (도 4) 로부터 입력부 (522) 에서 수신되는 수정된 RF 신호는 결합기 및 분배기 (121) 내 출력부 O1 내지 출력부 O4에 RF 신호들을 제공하도록 커패시터 C17 내지 커패시터 C24에 의해 프로세싱된다. 예를 들어, 입력부 (522) 에서 수신되는 고 주파수의 수정된 RF 신호의 일부는 출력부 O1에 고 주파수 RF 신호를 제공하도록 커패시터들 C17 및 C18에 의해 프로세싱된다. 다른 예로서, 입력부 (522) 에서 수신되는 고 주파수의 수정된 RF 신호의 일부는 출력부 O2에 고 주파수 RF 신호를 제공하도록 커패시터들 C19 및 C20에 의해 프로세싱된다. 또 다른 예로서, 입력부 (522) 에서 수신되는 고 주파수의 수정된 RF 신호의 일부는 출력부 O3에 고 주파수 RF 신호를 제공하도록 커패시터들 C21및 C22에 의해 프로세싱된다. 또 다른 예로서, 입력부 (522) 에서 수신되는 고 주파수의 수정된 RF 신호의 일부는 출력부 O4에 고 주파수 RF 신호를 제공하도록 커패시터들 C23 및 C24에 의해 프로세싱된다.
저 주파수 회로 (506) 및 고 주파수 회로 (508) 로부터 출력부 O1 내지 출력부 O4에서 수신된 RF 신호들은 출력부 O1 내지 출력부 O4에 결합된 RF 신호들을 제공하도록 출력부 O1 내지 출력부 O4에서 결합된다. 예를 들어, 저 주파수 회로 (506) 로부터 출력부 O1에서 수신된 RF 신호는 출력부 O1에서 결합된 RF 신호를 생성하도록 고 주파수 회로 (508) 로부터 출력부 O1에서 수신된 RF 신호와 출력부 O1에서 합산된다. 다른 예로서, 저 주파수 회로 (506) 로부터 출력부 O2에서 수신된 RF 신호는 출력부 O2에서 결합된 RF 신호를 생성하도록 고 주파수 회로 (508) 로부터 출력부 O2에서 수신된 RF 신호와 출력부 O2에서 합산된다. 또 다른 예로서, 저 주파수 회로 (506) 로부터 출력부 O3에서 수신된 RF 신호는 출력부 O3에서 결합된 RF 신호를 생성하도록 고 주파수 회로 (508) 로부터 출력부 O3에서 수신된 RF 신호와 출력부 O3에서 합산된다. 또 다른 예로서, 저 주파수 회로 (506) 로부터 출력부 O4에서 수신된 RF 신호는 출력부 O4에서 결합된 RF 신호를 생성하도록 고 주파수 회로 (508) 로부터 출력부 O4에서 수신된 RF 신호와 출력부 O4에서 합산된다.
출력부 O1에서 생성된 결합된 RF 신호는 스테이션 1에서 웨이퍼 (101) 의 플라즈마 프로세싱을 위해 출력부 O1로부터 폐쇄된 위치의 스위치 S1, 밸런싱 인덕터 L9, 및 OUT#1을 통해 스테이션 1로 전송되거나 개방된 위치의 스위치 S1을 통해 더미 부하 DL1로 전송된다. 유사하게, 출력부 O2에서 생성된 결합된 RF 신호는 스테이션 2에서 웨이퍼 (101) 의 플라즈마 프로세싱을 위해 출력부 O2로부터 폐쇄된 위치의 스위치 S2, 밸런싱 인덕터 L11, 및 OUT#2를 통해 스테이션 2로 전송되거나 개방된 위치의 스위치 S2를 통해 더미 부하 DL2로 전송된다. 더욱이, 출력부 O3에서 생성된 결합된 RF 신호는 스테이션 3에서 웨이퍼 (101) 의 플라즈마 프로세싱을 위해 출력부 O3으로부터 폐쇄된 위치의 스위치 S3, 밸런싱 인덕터 L13, 및 OUT#3을 통해 스테이션 3으로 전송되거나 개방된 위치의 스위치 S3을 통해 더미 부하 DL3으로 전송된다. 또한, 출력부 O4에서 생성된 결합된 RF 신호는 스테이션 4에서 웨이퍼 (101) 의 플라즈마 프로세싱을 위해 출력부 O4로부터 폐쇄된 위치의 스위치 S4, 밸런싱 인덕터 L15, 및 OUT#4를 통해 스테이션 4로 전송되거나 개방된 위치의 스위치 S4를 통해 더미 부하 DL4로 전송된다.
밸런싱 인덕터 L9는 스테이션 1 내에서 플라즈마를 신속하게 점화하기 위해 회로들이 미리 규정된 동작 주파수로부터 미리 결정된 범위 내에서 공진하도록, 회로들, 예를 들어, 스테이션 1의 샤워헤드 (150) 및 스테이션 1의 페데스탈, 등의 공진 주파수를 변화시킨다. 유사하게, 밸런싱 인덕터 L11은 스테이션 2 내에서 플라즈마를 신속하게 점화하기 위해 회로들이 미리 규정된 동작 주파수로부터 미리 결정된 범위 내에서 공진하도록, 회로들, 예를 들어, 스테이션 2의 샤워헤드 (150) 및 스테이션 2의 페데스탈, 등의 공진 주파수를 변화시킨다. 또한, 밸런싱 인덕터 L13은 스테이션 3 내에서 플라즈마를 신속하게 점화하기 위해 회로들이 미리 규정된 동작 주파수로부터 미리 결정된 범위 내에서 공진하도록, 회로들, 예를 들어, 스테이션 3의 샤워헤드 (150) 및 스테이션 3의 페데스탈, 등의 공진 주파수를 변화시킨다. 게다가, 밸런싱 인덕터 L15는 스테이션 4 내에서 플라즈마를 신속하게 점화하기 위해 회로들이 미리 규정된 동작 주파수로부터 미리 결정된 범위 내에서 공진하도록, 회로들, 예를 들어, 스테이션 4의 샤워헤드 (150) 및 스테이션 4의 페데스탈, 등의 공진 주파수를 변화시킨다. 미리 규정된 동작 주파수는 동작 중일 때 저 주파수 생성기 (402) 의 동작의 주파수이거나 동작 중일 때 저 주파수 생성기 (402) 의 동작의 주파수와 동작 중일 때 고 주파수 생성기 (404) 의 동작의 주파수의 결합이다. 밸런싱 인덕터의 추가 기술은 전체가 본 명세서에 참조로서 인용된, 미국 특허 제 6,199,506 호에 제공된다.
결합된 RF 신호들 중 하나가 스테이션 1 내지 스테이션 4 중 하나에 제공되지 않는 경우, 출력부 O1 내지 출력부 O4 중 대응하는 일 출력부가 더미 부하 DL1 내지 더미 부하 DL4 중 대응하는 일 더미 부하에 커플링된다. 예를 들어, 결합된 RF 신호들 중 하나가 스테이션 1에 제공되지 않으면, 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 출력부 O1을 더미 부하 DL1에 커플링하기 위해 스위치 S1을 개방하도록 스위치 S1로 신호를 전송한다. 더미 부하 DL1은 출력부 OUT#2 내지 출력부 OUT#4를 통해 다른 결합된 RF 신호들을 수신하는 다른 스테이션 2 내지 스테이션 4가 대응하는 입력부들에서 임피던스의 변화를 알지 못하도록 스테이션 1의 임피던스로부터 미리 결정된 한계 내의 임피던스를 갖는다.
일부 실시예들에서, 스위치 S1 내지 스위치 S4의 위치들, 예를 들어, 개방된 위치, 폐쇄된 위치, 등이 프로세스 파라미터를 달성하기 위해 모니터링되고 제어되고, 이의 예들은 이하에 제공된다. 예를 들어, 센서, 예를 들어, 전압 센서, 전류 센서, 등이 스위치가 개방된 위치에 있는지 또는 폐쇄된 위치에 있는지 여부를 결정하기 위해 스위치의 보통 폐쇄된 단자 또는 보통 개방된 단자에 커플링된다. 센서는 전송 케이블, 예컨대 직렬 전송 케이블, 병렬 전송 케이블, 또는 USB (universal serial bus) 케이블을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링된다. 센서는 전송 케이블을 통해 스위치의 위치를 프로세서에 제공한다. 프로세서는 위치가 미리 결정된 프로세스 파라미터, 예를 들어, 압력, 온도, 에칭 레이트, 증착 레이트, 복소 전력, 등에 대응하는지 여부를 결정한다. 위치가 미리 결정된 프로세스 파라미터에 대응하지 않는다고 결정시, 프로세서는 위치가 미리 결정된 프로세스 파라미터에 대응하도록 스위치의 위치를 변화시킨다. 미리 결정된 프로세스 파라미터와 스위치의 위치 사이의 대응 관계, 예를 들어, 1-대-1 관계, 맵핑, 등이 메모리 디바이스 내에 저장된다. 이러한 방식으로, 하나 이상의 스위치 S1 내지 스위치 S4의 위치들에 대응하는 미리 결정된 프로세스 파라미터를 달성하도록 하나 이상의 스위치 S1 내지 스위치 S4의 위치들이 모니터링되고 제어된다.
일부 실시예들에서, 스위치 S1 내지 스위치 S4의 폐쇄 또는 개방은 스테이션 1 내지 스테이션 4로의 전력을 동기된 방식으로 인에이블하거나 디스에이블하기 위해 동기화, 예를 들어, 동시에 일어나고, 미리 결정된 시간 범위 내에서 일어나는, 등 한다. 예를 들어, 프로세서는 스위치들을 동기하여 폐쇄하거나 스위치들을 동기하여 개방하도록 하나 이상의 스위치 S1 내지 스위치 S4로 동기하여, 예를 들어, 동시에, 미리 결정된 시간 범위 내, 등에 신호를 전송한다.
도 6은 프로브 제어 및 시스템 제어부 (110) 에 의한 결합기 및 분배기 (121) 의 튜닝 엘리먼트들의 제어를 예시하기 위한 시스템 (600) 의 실시예의 도면이다. 시스템 (600) 은 일부가 예시되는 결합기 및 분배기 (121), 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D), 및 복수의 모터들 M1, M2, M3, M4, M5, M6, M7, 및 M8을 포함한다. 모터 M1은 연결 메커니즘 (602A) 을 통해 커패시터 C5 에 커플링된다. 유사하게, 모터 M2는 연결 메커니즘 (602B) 을 통해 커패시터 C6에 커플링되고, 모터 M3은 연결 메커니즘 (602C) 을 통해 커패시터 C7에 커플링되고, 모터 M4는 연결 메커니즘 (602D) 을 통해 커패시터 C8에 커플링된다. 더욱이, 모터 M5는 연결 메커니즘 (602E) 을 통해 커패시터 C17에 커플링되고, 모터 M6은 연결 메커니즘 (602F) 을 통해 커패시터 C19에 커플링되고, 모터 M7은 연결 메커니즘 (602G) 을 통해 커패시터 C22에 커플링되고, 그리고 모터 M8은 연결 메커니즘 (602H) 을 통해 커패시터 C24에 커플링된다. 연결 메커니즘의 예들은 하나 이상의 로드들 (rods), 또는 복수의 로드들과 하나 이상의 기어들의 조합, 등을 포함한다.
파라미터 프로브 (408A) 는 전송 케이블 (604A), 예를 들어, 직렬 전송 케이블, 병렬 전송 케이블, 또는 USB 케이블, 등을 통해 프로브 제어 및 시스템 제어부 (110) 에 커플링된다. 유사하게, 파라미터 프로브 (408B) 는 전송 케이블 (604B) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 커플링되고, 파라미터 프로브 (408C) 는 전송 케이블 (604C) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 커플링되고, 파라미터 프로브 (408D) 는 전송 케이블 (604D) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 커플링된다.
파라미터 프로브 (408A) 는 OUT#1에 커플링되고, 파라미터 프로브 (408B) 는 OUT#2에 커플링되고, 파라미터 프로브 (408C) 는 OUT#3에 커플링되고, 그리고 파라미터 프로브 (408D) 는 OUT#4에 커플링된다. 파라미터 프로브 (408A) 는 OUT#1에서 파라미터 프로브 (408A) 에 의해 측정된 파라미터의 값을 전송 케이블 (604A) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 유사하게, 파라미터 프로브 (408B) 는 OUT#2에서 파라미터 프로브 (408B) 에 의해 측정된 파라미터의 값을 전송 케이블 (604B) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 더욱이, 파라미터 프로브 (408C) 는 OUT#3에서 파라미터 프로브 (408C) 에 의해 측정된 파라미터의 값을 전송 케이블 (604C) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 제공한다. 파라미터 프로브 (408D) 는 OUT#4에서 파라미터 프로브 (408D) 에 의해 측정된 파라미터의 값을 전송 케이블 (604D) 을 통해 프로브 제어 및 시스템 제어부 (110) 에 제공한다.
결합된 RF 신호들의 RF 전력이 온, 예를 들어, 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4, 등을 통해 스테이션 1 내지 스테이션 4로 제공될 때, 프로세스 파라미터들, 예를 들어, 상기 기술된 바와 같은 파라미터, 스테이션 1 내지 스테이션 4 내의 압력, 스테이션 1 내지 스테이션 4 내의 온도, 등은 인시츄로 변화한다. 더욱이, 결합된 RF 신호의 RF 전력이 온일 때, 스테이션 1 내지 스테이션 4에서 플라즈마가 생성되고 유지되고, 프로브 제어 및 시스템 제어부 (110) 의 프로세서가 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 로부터 파라미터의 값들을 수신하고 OUT#1, OUT#2, OUT#3, 및 OUT#4에서 파라미터의 값들이 최소화되도록, 예를 들어, 서로 미리 결정된 범위 내에 있도록, 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스가 변화되어야 하는지 여부를 결정한다. 예를 들어, 프로세서는 파라미터 프로브 (408A) 로부터 수신된 파라미터의 값을 파라미터 프로브 (408B) 로부터 수신된 파라미터의 값과 비교하고, 값들이 서로 미리 결정된 범위 내에 있는지 여부를 결정한다. 값들이 미리 결정된 범위 내에 있지 않다고 결정시, 프로세서는 대응하는 하나 이상의 C5, C6, C17, 및 C19의 하나 이상의 커패시턴스들의 값들을 식별하도록 프로브 제어 및 시스템 제어부 (110) 의 메모리 디바이스에 저장된 대응 관계에 액세스한다. 하나 이상의 값들의 식별시, 프로세서는 커패시터 C5의 커패시턴스의 식별된 값이 달성되도록 모터 M1을 구동하기 위한 양의 전류를 생성한다. 예시를 위해, 구동 전류량은 프로세서로부터 모터 M1의 스테이터로 전송된다. 구동 전류의 수신시, 스테이터는 모터 M1을 구동하기 위해 모터 M1의 로터를 회전시키도록 전기장을 생성한다. 모터 M1은 커패시터 C5의 커패시턴스의 식별된 값을 달성하기 위해 커패시터 C5의 플레이트를 회전시키도록 또는 커패시터 C5의 플레이트들 간의 거리를 변화시키도록 구동된다. 커패시터 C5의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 전력의 레벨을 더 변화시키도록 출력부 O1에서 저 주파수를 갖는 RF 신호의 전력의 레벨을 더 변화시키기 위해, 커패시터 C5로부터 출력되는 저 주파수 RF 신호의 전력의 레벨, 예를 들어, 양, 피크-투-피크 크기, RMS (root mean square) 값, 등을 변화시킨다. 일부 실시예들에서, 커패시터 C5의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 위상을 더 변화시키도록 출력부 O1에서 저 주파수를 갖는 RF 신호의 위상을 더 변화시키기 위해, 커패시터 C5로부터 출력되는 저 주파수 RF 신호의 위상을 변화시킨다. 다양한 실시예들에서, 커패시터 C5의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 위상 및 전력의 레벨을 더 변화시키도록 출력부 O1에서 저 주파수를 갖는 RF 신호의 위상 및 전력의 레벨을 더 변화시키기 위해, 커패시터 C5로부터 출력되는 저 주파수 RF 신호의 위상 및 전력의 레벨을 변화시킨다.
유사하게, 대응하는 하나 이상의 커패시터들 C5, C6, C17, 및 C19의 하나 이상의 커패시턴스들의 값들의 식별시, 프로세서는 커패시터 C6의 커패시턴스의 식별된 값이 달성되도록 모터 M2를 구동하기 위한 양의 전류를 생성한다. 커패시터 C6의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 전력의 레벨을 더 변화시키도록 출력부 O2에서 저 주파수를 갖는 RF 신호의 전력의 레벨을 더 변화시키기 위해, 커패시터 C6으로부터 출력되는 저 주파수 RF 신호의 전력의 레벨을 변화시킨다. 일부 실시예들에서, 커패시터 C6의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 위상을 더 변화시키도록 출력부 O2에서 저 주파수를 갖는 RF 신호의 위상을 더 변화시키기 위해, 커패시터 C6로부터 출력되는 저 주파수 RF 신호의 위상을 변화시킨다. 다양한 실시예들에서, 커패시터 C6의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 위상 및 전력의 레벨을 더 변화시키도록 출력부 O2에서 저 주파수를 갖는 RF 신호의 위상 및 전력의 레벨을 더 변화시키기 위해, 커패시터 C6로부터 출력되는 저 주파수 RF 신호의 위상 및 전력의 레벨을 변화시킨다.
더욱이, 유사하게, 대응하는 하나 이상의 커패시터들 C5, C6, C17, 및 C19의 하나 이상의 커패시턴스들의 값들의 식별시, 프로세서는 커패시터 C17의 커패시턴스의 식별된 값이 달성되도록 모터 M5를 구동하기 위한 양의 전류를 생성한다. 커패시터 C17의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 전력의 레벨을 더 변화시키도록 출력부 O1에서 고 주파수를 갖는 RF 신호의 전력의 레벨을 더 변화시키기 위해, 커패시터 C17로부터 출력되는 고 주파수 RF 신호의 전력의 레벨을 변화시킨다. 일부 실시예들에서, 커패시터 C17의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 위상을 더 변화시키도록 출력부 O1에서 고 주파수를 갖는 RF 신호의 위상을 더 변화시키기 위해, 커패시터 C17로부터 출력되는 고 주파수 RF 신호의 위상을 변화시킨다. 다양한 실시예들에서, 커패시터 C17의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 위상 및 전력의 레벨을 더 변화시키도록 출력부 O1에서 고 주파수를 갖는 RF 신호의 위상 및 전력의 레벨을 더 변화시키기 위해, 커패시터 C17로부터 출력되는 고 주파수 RF 신호의 위상 및 전력의 레벨을 변화시킨다.
게다가, 유사하게, 대응하는 하나 이상의 커패시터들 C5, C6, C17, 및 C19의 하나 이상의 커패시턴스들의 값들의 식별시, 프로세서는 커패시터 C19의 커패시턴스의 식별된 값이 달성되도록 모터 M6을 구동하기 위한 양의 전류를 생성한다. 커패시터 C19의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 전력의 레벨을 더 변화시키도록 출력부 O2에서 고 주파수를 갖는 RF 신호의 전력의 레벨을 더 변화시키기 위해, 커패시터 C19로부터 출력되는 고 주파수 RF 신호의 전력의 레벨을 변화시킨다. 일부 실시예들에서, 커패시터 C19의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 위상을 더 변화시키도록 출력부 O2에서 고 주파수를 갖는 RF 신호의 위상을 더 변화시키기 위해, 커패시터 C19로부터 출력되는 고 주파수 RF 신호의 위상을 변화시킨다. 다양한 실시예들에서, 커패시터 C19의 커패시턴스의 변화는 OUT#2로부터 스테이션 2로 제공된 결합된 RF 신호의 위상 및 전력의 레벨을 더 변화시키도록 출력부 O2에서 고 주파수를 갖는 RF 신호의 위상 및 전력의 레벨을 더 변화시키기 위해, 커패시터 C19로부터 출력되는 고 주파수 RF 신호의 위상 및 전력의 레벨을 변화시킨다. 이러한 방식으로, 커패시터들 C5, C6, C17, 및 C19의 하나 이상의 커패시턴스들은 OUT#1 및 OUT#2에서 파라미터의 값들이 서로 미리 결정된 범위 내일 때까지 변화된다.
다양한 실시예들에서, 결합기 및 분배기 (121) 의 커패시터에 커플링되는 모터를 구동하기 위한 양의 전류와 이 전류량으로 달성된 커패시터의 커패시턴스 간의 연관 관계, 예를 들어, 1-대-1 관계, 맵핑, 등이 메모리 디바이스에 저장되는 대응 관계로 저장된다.
일부 실시예들에서, 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 로부터의 파라미터의 값들을 수신하고, OUT#1에서 파라미터의 값이 제 1 미리 설정된 범위 내이고, OUT#2에서 파라미터의 값이 제 2 미리 설정된 범위 내이고, OUT#3에서 파라미터의 값이 제 3 미리 설정된 범위 내이고, 그리고 OUT#4에서 파라미터의 값이 제 4 미리 설정된 범위 내이도록 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들이 변화되어야 하는지 여부를 결정한다. 예를 들어, 프로세서는 파라미터 프로브 (408A) 로부터 수신된 파라미터의 값을 수신하고, 그리고 이 값이 제 1 미리 설정된 범위 내인지 여부를 결정한다. 이 값이 제 1 미리 설정된 범위 내에 있지 않다고 결정시, 프로세서는 이 값이 제 1 미리 설정된 범위 내일 때까지 대응하는 하나 이상의 커패시터들 C5 및 C17의 하나 이상의 커패시턴스들의 값들을 식별하도록 프로브 제어 및 시스템 제어부 (110) 의 메모리 디바이스에 저장된 대응 관계에 액세스한다.
이들 실시예들에서, 대응하는 하나 이상의 커패시터들 C5 및 C17의 하나 이상의 커패시턴스들의 값들의 식별시, 프로세서는 커패시터 C5의 커패시턴스의 식별된 값이 달성되도록 모터 M1을 구동하기 위한 양의 전류를 생성한다. 예시를 위해, 구동 전류량은 프로세서로부터 모터 M1의 스테이터로 전송된다. 구동 전류량은 제 1 미리 설정된 범위를 달성하기 위해 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 전력의 레벨 및/또는 위상을 더 변화시키도록 출력부 O1에서 저 주파수를 갖는 RF 신호의 전력의 레벨 및/또는 위상을 더 변화시키게 커패시터 C5로부터 출력되는 저 주파수 RF 신호의 전력의 레벨 및/또는 위상을 변화시키도록 커패시터 C5의 커패시턴스를 변화시킨다. 유사하게, 하나 이상의 값들의 식별시, 프로세서는 커패시터 C17의 커패시턴스의 식별된 값이 달성되도록 모터 M5를 구동하기 위한 양의 전류를 생성한다. 커패시터 C17의 커패시턴스의 변화는 OUT#1로부터 스테이션 1로 제공된 결합된 RF 신호의 전력의 레벨 및/또는 위상을 더 변화시키도록 출력부 O1에서 고 주파수를 갖는 RF 신호의 전력의 레벨 및/또는 위상을 더 변화시키게 커패시터 C17로부터 출력되는 고 주파수 RF 신호의 전력의 레벨 및/또는 위상을 변화시키도록 커패시터 C17의 커패시턴스를 변화시킨다. 이러한 방식으로, 커패시터들 C5 및 C17의 하나 이상의 커패시턴스들은 OUT#1에서 파라미터의 값이 제 1 미리 설정된 범위 내일 때까지 변화된다. 프로세스 가변성은 제 1 미리 설정된 범위 내로 OUT#1에서의 파라미터의 값, 및/또는 제 2 미리 설정된 범위 내로 OUT#2에서의 파라미터의 값, 및/또는 제 3 미리 설정된 범위 내로 OUT#3에서의 파라미터의 값, 및/또는 제 4 미리 설정된 범위 내로 OUT#4에서의 파라미터의 값을 달성함으로써 달성된다.
다양한 실시예들에서, OUT#1에서의 파라미터의 값이 제 1 미리 설정된 범위 내이고 OUT#2에서의 파라미터의 값이 제 2 미리 설정된 범위 내일 때, OUT#1 및 OUT#2에서의 파라미터의 값들은 서로 미리 결정된 범위 내에 있다. 다시, 프로세스 가변성은 OUT#1 및 OUT#2에서의 파라미터의 값들이 서로 미리 결정된 범위 내에 있을 때 달성된다. 유사하게, 일부 실시예들에서, 프로세스 가변성은 OUT#1 및 OUT#2에서의 파라미터의 값들이 미리 결정된 범위 밖이지만 OUT#1에서의 파라미터의 값이 제 1 미리 설정된 범위 내이고 OUT#2에서의 파라미터의 값이 제 2 미리 설정된 범위 내일 때 달성된다.
다양한 실시예들에서, 파라미터 프로브 (408D) 는 OUT#4에서의 제 1 전력량을 측정하고, 파라미터 프로브 (408C) 는 OUT#3에서의 제 2 전력량을 측정하고, 파라미터 프로브 (408B) 는 OUT#2에서의 제 3 전력량을 측정하고, 그리고 파라미터 프로브 (408A) 는 OUT#1에서의 제 4 전력량을 측정한다. 제 1 양은 제 2 양보다 크고, 제 2 양은 제 3 양보다 크다. 제 3 양은 제 4 양보다 크다. 측정된 전력량들은 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 로부터 프로브 제어 및 시스템 제어부 (110) 로 제공된다. 프로브 제어 및 시스템 제어부 (110) 는 서로 미리 결정된 범위 내인 OUT#1 내지 OUT#4에서의 전력량을 달성하도록 결정한다. 프로브 제어 및 시스템 제어부 (110) 는 제 4 전력량이 OUT#4에서의 제 1 전력량으로부터 미리 결정된 범위 내이도록 OUT#1에서 결합된 RF 신호의 제 4 전력량을 더 상승시키기 위해 커패시터 C17로부터 출력부 O1로의 전력량을 상승시키게 커패시터 C17의 커패시턴스를 감소시키기 위해 모터 M5로 제어 신호를 전송한다.
일부 실시예들에서, 파라미터의 인라인 측정치들을 제공하는, 파라미터 프로브 (408A) 내지 파라미터 프로브 (408D) 대신 또는 이에 더하여, 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들이 웨이퍼 측정치들, 예를 들어, 웨이퍼 측정 디바이스들, 등을 사용하여 획득된 측정치들에 기초하여 상기 기술된 방식으로 수정된다. 웨이퍼 측정치들의 예들은 에칭 레이트들 및 증착 레이트들을 포함한다. 에칭 레이트 또는 증착 레이트는 본 명세서에서 프로세스 레이트로 참조된다. 웨이퍼 측정 디바이스의 예들은 에칭 레이트 측정 디바이스, 증착 레이트 측정 디바이스, 등을 포함한다. 예시를 위해, 웨이퍼 측정 디바이스들, 예를 들어, 4 개의 웨이퍼 측정 디바이스들, 등이 대응하는 전송 케이블들을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링되고, 대응하는 스테이션 1 내지 스테이션 4로의 조준선 (line of sight) 을 갖는다. 조준선은 대응하는 스테이션 1 내지 스테이션 4 내에서 플라즈마가 생성되는 공간 내로 지향된다. 예를 들어, 웨이퍼 측정 디바이스는 플라즈마에 의해 방출된 복사선의 강도를 측정하기 위해 스테이션 1 내 플라즈마를 모니터링하는 분광계를 포함한다. 강도는 스테이션 1의 플라즈마에 의해 프로세싱되는 웨이퍼 (101) 의 층의 에칭 레이트 또는 증착 레이트에 비례한다. 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 강도에 비례하는 프로세스 레이트를 결정하기 위해 전송 케이블을 통해 측정된 강도를 수신한다. 또 다른 예로서, 공지의 레시피에 대해, 웨이퍼 측정 디바이스는 웨이퍼 (101) 의 프로세싱, 예를 들어, 에칭, 웨이퍼 상의 재료들의 증착, 등 동안 또는 전에 시간 tm1에서 웨이퍼 (101) 의 두께를 측정하고, 웨이퍼 (101) 의 프로세싱 후 시간 tm2에서 웨이퍼 (101) 의 두께를 측정한다. 웨이퍼 측정 디바이스는 시간 tm2 및 시간 tm1 간의 차에 대한 시간 tm2에서의 두께와 시간 tm1에서의 두께 간의 차의 비로서 웨이퍼 (101) 의 프로세스 레이트를 결정한다. 프로세스 레이트는 프로브 제어 및 시스템 제어부 (110) 의 프로세서로의 전송 케이블을 통해 웨이퍼 측정 디바이스에 의해 제공된다. 일부 실시예들에서, 프로세스 레이트를 결정하는 웨이퍼 측정 디바이스 대신, 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 측정된 강도로부터 프로세스 레이트를 결정하고 저장을 위해 메모리 디바이스로 프로세스 레이트를 제공한다. 이들 실시예들에서, 에칭 레이트 및 증착 레이트가 부가적인 파라미터의 예들이다. 이들 실시예들에서, 프로세서는 프로세스 레이트가 미리 결정된 프로세스 레이트로부터 미리 규정된 범위와 매칭하거나 범위 내인지 여부를 결정한다. 프로세스 레이트가 미리 규정된 범위 내에 없다는 결정시, 프로세스 레이트가 미리 결정된 프로세스 레이트로부터 미리 규정된 범위 내에 있도록 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들을 수정하기로 결정한다. 미리 결정된 프로세스 레이트와 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들 간의 대응 관계가 메모리 디바이스에 저장된다. 이 방식에서, 스테이션 1 내지 스테이션 4 중 하나 이상과 연관된 미리 결정된 프로세스 레이트를 달성하도록 대응하는 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 하나 이상의 커패시턴스들이 수정된다.
몇몇 실시예들에서, 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서 파라미터를 센싱하는 대신 또는 이에 더하여, OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들이 최소화되도록 위치들을 제어하기 위해 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 위치들, 예를 들어, 플레이트들 간의 거리들, 플레이트들 간의 각도들, 등을 결정하기 위해 위치 센서들이 사용된다. 위치 센서들의 예들은 선형 센서들 및 회전 센서들을 포함한다. 예시를 위해, 위치 센서들은 전위차계 또는 유도성 위치 센서들 또는 회전 인코더들을 포함한다. 위치 센서 각각은 커패시터의 위치를 측정하기 위해 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24 중 대응하는 커패시터들에 인접하게 배치된다. 위치는 위치 센서로부터 전송 케이블을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서로 제공된다. 프로브 제어 및 시스템 제어부 (110) 는 미리 결정된 프로세스 파라미터에 대응하는지 여부를 결정한다. 위치가 미리 결정된 프로세스 파라미터에 대응하지 않는다는 결정시, 프로세서는 위치가 미리 결정된 프로세스 파라미터에 대응, 예를 들어, 1-대-1 관계, 맵핑, 등을 하도록 상기 기술된 방식으로 커패시터의 위치를 변화시킨다. 커패시터의 위치와 미리 결정된 프로세스 파라미터 간의 대응 관계는 메모리 디바이스에 저장된다. 이러한 방식으로, 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 위치들이 모니터링되고, 하나 이상의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 위치들에 대응하는 미리 결정된 프로세스 파라미터를 달성하도록 제어된다.
도 7은 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들이 서로 미리 결정된 범위 내에 있도록, 결합기 및 분배기 (121) 의 튜닝 엘리먼트들의 값들과 출력부들 OUT#1, OUT#2, OUT#3, 및 OUT#4에서의 파라미터의 값들 간의 대응관계의 예인 표 리스트 (700) 를 예시하기 위한 실시예의 도면이다. 표 리스트 (700) 는 결합기 및 분배기 (121) 의 메모리 디바이스에 저장된다. 표 리스트 (700) 는 출력부들 OUT#1 내지 OUT#4에서의 파라미터의 값들, 및 결합기 및 분배기 (121) 의 커패시터들 C5, C6, C7, C8, C17, C19, C22, 및 C24의 변수들의 값들의 행들을 포함한다.
표 리스트 (700) 의 제 1 행은 OUT#1에서의 파라미터의 값 VL1, OUT#2에서의 파라미터의 값 VL2, OUT#3에서의 파라미터의 값 VL3, OUT#4에서의 파라미터의 값 VL4, 커패시터 C5의 커패시턴스의 값 V1, 커패시터 C6의 커패시턴스의 값 V2, 커패시터 C7의 커패시턴스의 값 V3, 커패시터 C8의 커패시턴스의 값 V4, 커패시터 C17의 커패시턴스의 값 V5, 커패시터 C19의 커패시턴스의 값 V6, 커패시터 C22의 커패시턴스의 값 V7, 및 커패시터 C24의 커패시턴스의 값 V8 사이의 대응 관계이다. 예를 들어, OUT#1에서의 파라미터의 값이 VL1로 측정되고 OUT#2에서의 파라미터의 값이 VL2가 아닐 때, OUT#2에서의 값 VL2을 달성하기 위해, 커패시터 C5의 커패시턴스의 값이 V1가 되도록 변화되고, 그리고/또는 커패시터 C6의 커패시턴스의 값이 V2가 되도록 변화되고, 그리고/또는 커패시터 C17의 커패시턴스의 값이 V5가 되도록 변화되고, 그리고/또는 커패시터 C19의 커패시턴스의 값이 V6가 되도록 변화된다. 값 VL1 및 값 VL2는 서로 미리 결정된 범위 내에 있다. 유사하게, 표 리스트 (700) 의 제 2 행은 OUT#1에서의 파라미터의 값 VL5, OUT#2에서의 파라미터의 값 VL6, OUT#3에서의 파라미터의 값 VL7, OUT#4에서의 파라미터의 값 VL8, 커패시터 C5의 커패시턴스의 값 V9, 커패시터 C6의 커패시턴스의 값 V10, 커패시터 C7의 커패시턴스의 값 V11, 커패시터 C8의 커패시턴스의 값 V12, 커패시터 C17의 커패시턴스의 값 V13, 커패시터 C19의 커패시턴스의 값 V14, 커패시터 C22의 커패시턴스의 값 V15, 및 커패시터 C24의 커패시턴스의 값 V16 사이의 대응 관계이다.
도 8a는 스테이션 1 내지 스테이션 4 각각에 션트 인덕터를 사용함으로써 웨이퍼 보우를 패시브 제어하는 방법을 예시하기 위한 시스템 (800) 의 실시예의 회로도이다. 시스템 (800) 은, 결합기 및 분배기 (121) (도 5) 대신 사용되는 결합기 및 분배기 (802) 를 포함한다. 결합기 및 분배기 (802) 는, 결합기 및 분배기 (802) 가 저 주파수 회로 (806) 를 포함하는 것을 제외하고 결합기 및 분배기 (121) 와 같다. 저 주파수 회로 (806) 는, 예를 들어, 저 주파수 회로 (806) 가 복수의 션트 인덕터들 L40, L42, L44, 및 L46을 포함하는 것을 제외하고 저 주파수 회로 (506) 와 구조체 및 기능이 같다. 션트 인덕터 L40은 커패시터 C10과 병렬로 커플링되고, 션트 인덕터 L42는 커패시터 C12와 병렬로 커플링되고, 션트 인덕터 L44는 커패시터 C14와 병렬로 커플링되고, 션트 인덕터 L46은 커패시터 C16과 병렬로 커플링된다.
일 실시예에서, 션트 인덕터 L40, L42, L44, 및 L46 각각은 고정되고, 예를 들어, 대응하는 스테이션에서 웨이퍼 (101) 의 프로세싱, 예컨대 웨이퍼 상에 막들을 증착하는 동안 고정된 값을 갖는다. 예를 들어, 션트 인덕터 L40은 스테이션 1에서의 웨이퍼 (101) 의 프로세싱 동안 고정된 값을 갖고, 션트 인덕터 L42는 스테이션 2에서의 웨이퍼 (101) 의 프로세싱 동안 고정된 값을 갖는다. 션트 인덕터 L40, L42, L44, 및 L46 각각의 값은 웨이퍼 (101) 의 프로세싱 전 또는 후에 또 다른 션트 인덕터로 션트 인덕터를 대체함으로써 사용자에 의해 수동으로 변화된다.
더욱이, 션트 인덕터 L40은 고 주파수 차단 회로 (504A) 및 접지 전위에 연결된다. 예를 들어, 션트 인덕터 L40의 단부 E1은 인덕터 L5 및 고 주파수 차단 회로 (504A) 의 커패시터 C9에 연결되고, 션트 인덕터 L40의 반대편 단부 E2는 접지 전위에 연결된다. 유사하게, 션트 인덕터 L42는 고 주파수 차단 회로 (504B) 및 접지 전위에 연결되고, 션트 인덕터 L44는 고 주파수 차단 회로 (504C) 및 접지 전위에 연결되고, 그리고 션트 인덕터 L46은 고 주파수 차단 회로 (504D) 및 접지 전위에 연결된다. 예를 들어, 션트 인덕터 L42의 단부 E3은 인덕터 L6 및 고 주파수 차단 회로 (504B) 의 커패시터 C11에 연결되고, 션트 인덕터 L42의 단부 E4는 접지 전위에 연결된다.
또한, 션트 인덕터 L40는 고 주파수 차단 회로 (504A), 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통해 스테이션 1에 커플링된다. 유사하게, 션트 인덕터 L42는 고 주파수 차단 회로 (504B), 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통해 스테이션 2에 커플링된다. 게다가, 션트 인덕터 L44는 고 주파수 차단 회로 (504C), 보통 폐쇄된 위치인 스위치 S3, 인덕터 L13, OUT#3, 및 동축 케이블 (416C) 을 통해 스테이션 3에 커플링된다. 또한, 션트 인덕터 L46은 고 주파수 차단 회로 (504D), 보통 폐쇄된 위치인 스위치 S4, 인덕터 L15, OUT#4, 및 동축 케이블 (416D) 을 통해 스테이션 4에 커플링된다.
게다가, 션트 인덕터 L40은 보통 개방된 위치인 스위치 S1을 통해 더미 부하 DL1에 커플링된다. 예를 들어, 션트 인덕터 L40은 보통 개방된 위치인 스위치 S1을 통해 더미 부하 DL1의 커패시터 C25에 연결된다. 유사하게, 션트 인덕터 L42 는 보통 개방된 위치인 스위치 S2를 통해 더미 부하 DL2에 커플링되고, 션트 인덕터 L44는 보통 개방된 위치인 스위치 S3를 통해 더미 부하 DL3에 커플링되고, 그리고 션트 인덕터 L46는 보통 개방된 위치인 스위치 S4를 통해 더미 부하 DL4에 커플링된다. 예를 들어, 션트 인덕터 L42는 보통 개방된 위치인 스위치 S2를 통해 더미 부하 DL2의 커패시터 C27에 연결된다.
션트 인덕터 L40는 단부 E1을 통해 커패시터 C5에 연결된다. 유사하게, 션트 인덕터 L42는 단부 E2를 통해 커패시터 C11에 연결된다. 또한, 션트 인덕터 L44는 커패시터 C7에 연결되고, 션트 인덕터 L44는 커패시터 C8에 연결된다.
게다가, 션트 인덕터 L40은 단부 E1, 커패시터 C5, 및 커패시터 C1을 통해 입력부 (520) 에 커플링된다. 유사하게, 션트 인덕터 L42는 단부 E3, 커패시터 C6, 및 커패시터 C2를 통해 입력부 (520) 에 커플링된다. 또한, 션트 인덕터 L44는 커패시터 C7 및 커패시터 C3을 통해 입력부 (520) 에 커플링되고, 그리고 션트 인덕터 L46은 커패시터 C8 및 커패시터 C4를 통해 입력부 (520) 에 커플링된다.
고 주파수 차단 회로 (504A), 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통한 스테이션 1로의 션트 인덕터 L40의 커플링은 션트 인덕터 L40으로 하여금 스테이션 1로 공급되는 전류량을 제어하게 한다. 예를 들어, 션트 인덕터 L40의 인덕턴스는 고 주파수 차단 회로 (504A), 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통해 스테이션 1로 공급된 전류량을 상승시키도록 변화된다. 또 다른 예로서, 션트 인덕터 L40의 인덕턴스가 변화될 때, 션트 인덕터 L40으로부터 단부 E1, 고 주파수 차단 회로 (504A), 출력부 O1, 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통해 스테이션 1로 흐르는 전류량이 변화, 예컨대 상승하거나 감소된다.
전류량의 상승은 웨이퍼 보우를 감소시키기 위해 스테이션 1에서 프로세싱될 웨이퍼 (101) 의 표면 상에 충돌하는 이온들의 양을 증가시킨다. 예를 들어, 션트 인덕터 L40이 고 주파수 차단 회로 (504A), 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통해 스테이션 1의 샤워헤드 (150) 에 커플링될 때, 션트 인덕터 L40은 스테이션 1의 샤워헤드 (150) 로의 전류량을 상승시키도록 변화된다. 샤워헤드 (150) 로의 전류는 동축 케이블 (416A) 이 샤워헤드 (150) 에 커플링되고, 페데스탈 (140) 이 접지 전위 또는 또 다른 전위에 커플링될 때 상승된다. 샤워헤드 (150) 로의 전류량의 상승은 스테이션 1에서 프로세싱될 웨이퍼 (101) 의 오목한 웨이퍼 보우를 감소시키도록 샤워헤드 (150) 와 페데스탈 (140) 사이의 포지티브 이온들의 플라즈마의 양을 상승시킨다. 또 다른 예로서, 션트 인덕터 L40이 고 주파수 차단 회로 (504A), 보통 폐쇄된 위치인 스위치 S1, 인덕터 L9, OUT#1, 및 동축 케이블 (416A) 을 통해 스테이션 1의 페데스탈 (140) 에 커플링될 때, 션트 인덕터 L40은 스테이션 1의 페데스탈 (140) 로의 전류량을 상승시키도록 변화된다. 페데스탈 (140) 로의 전류는 동축 케이블 (416A) 이 페데스탈 (140) 에 커플링되고 샤워헤드 (150) 가 접지 전위 또는 또 다른 전위에 커플링될 때 상승된다. 페데스탈 (140) 로의 전류량의 상승은 스테이션 1에서 프로세싱될 웨이퍼 (101) 의 볼록한 웨이퍼 보우를 감소시키도록 샤워헤드 (150) 와 페데스탈 (140) 사이의 포지티브 이온들의 플라즈마의 양을 상승시킨다.
유사하게, 고 주파수 차단 회로 (504B), 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통한 스테이션 2로의 션트 인덕터 L42의 커플링은 션트 인덕터 L42로 하여금 스테이션 2로 공급되는 전류량을 제어하게 한다. 예를 들어, 션트 인덕터 L42의 인덕턴스는 고 주파수 차단 회로 (504B), 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통해 스테이션 2로 공급된 전류량을 상승시키도록 변화된다. 또 다른 예로서, 션트 인덕터 L42의 인덕턴스가 변화될 때, 션트 인덕터 L42로부터 단부 E3, 고 주파수 차단 회로 (504B), 출력부 O2, 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통해 스테이션 2로 흐르는 전류량이 변화, 예컨대 상승하거나 감소된다.
전류량의 상승은 웨이퍼 보우를 감소시키기 위해 스테이션 2에서 프로세싱될 웨이퍼 (101) 상에 충돌하는 이온들의 양을 상승시킨다. 예를 들어, 션트 인덕터 L42가 고 주파수 차단 회로 (504B), 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통해 스테이션 2의 샤워헤드 (150) 에 커플링될 때, 션트 인덕터 L42는 스테이션 2의 샤워헤드 (150) 로의 전류량을 상승시키도록 변화된다. 샤워헤드 (150) 로의 전류는 동축 케이블 (416B) 이 샤워헤드 (150) 에 커플링되고, 페데스탈 (140) 이 접지 전위 또는 또 다른 전위에 커플링될 때 상승된다. 샤워헤드 (150) 로의 전류량의 상승은 스테이션 2에서 프로세싱될 웨이퍼 (101) 의 오목한 웨이퍼 보우를 감소시키도록 샤워헤드 (150) 로부터 페데스탈 (140) 로 이동하는 포지티브 이온들의 플라즈마의 양을 상승시킨다. 또 다른 예로서, 션트 인덕터 L42가 고 주파수 차단 회로 (504B), 보통 폐쇄된 위치인 스위치 S2, 인덕터 L11, OUT#2, 및 동축 케이블 (416B) 을 통해 스테이션 2의 페데스탈 (140) 에 커플링될 때, 션트 인덕터 L42는 스테이션 2의 페데스탈 (140) 로의 전류량을 상승시키도록 변화된다. 페데스탈 (140) 로의 전류는 동축 케이블 (416B) 이 페데스탈 (140) 에 커플링되고 샤워헤드 (150) 가 접지 전위 또는 또 다른 전위에 커플링될 때 상승된다. 페데스탈 (140) 로의 전류량의 상승은 스테이션 2에서 프로세싱될 웨이퍼 (101) 의 볼록한 웨이퍼 보우를 감소시키도록 샤워헤드 (150) 로부터 페데스탈 (140) 로 이동하는 포지티브 이온들의 플라즈마의 양을 상승시킨다.
더욱이, 유사하게, 고 주파수 차단 회로 (504C), 보통 폐쇄된 위치인 스위치 S3, 인덕터 L13, OUT#3, 및 동축 케이블 (416C) 을 통한 스테이션 3으로의 션트 인덕터 L44의 커플링은 션트 인덕터 L44로 하여금 스테이션 3으로 공급되는 전류량을 제어하게 한다. 유사하게, 고 주파수 차단 회로 (504D), 보통 폐쇄된 위치인 스위치 S4, 인덕터 L15, OUT#4, 및 동축 케이블 (416D) 을 통한 스테이션 4로의 션트 인덕터 L46의 커플링은 션트 인덕터 L46으로 하여금 스테이션 4로 공급되는 전류량을 제어하게 한다.
패시브 엘리먼트, 예를 들어, 션트 인덕터 L40 또는 L42 또는 L44 또는 L46, 등이 결합기 및 분배기 (802) 내에 구현된다. 결합기 및 분배기 (802) 는 단일 챔버 또는 복수의 챔버들에 위치된 스테이션 1 내지 스테이션 4 중 2 이상으로 전력을 공급한다. 샤워헤드 (150) 와 페데스탈 (140) 사이의 이온들이 양을 증가시킴으로써, 션트 인덕터 L40, L42, L44, 및 L46 각각은, 스테이션에 공급된 전력이 웨이퍼 (101) 의 보잉에 영향을 받지 않는 방식으로, 스테이션 1 내지 스테이션 4 중 대응하는 하나의 웨이퍼 보우에 대한 총 임피던스의 응답을 변화시킨다. 예를 들어, 션트 인덕터 L40의 인덕턴스는 스테이션 1의 총 임피던스 응답을 변화시키도록 수정되고, 션트 인덕터 L42의 인덕턴스는 스테이션 2의 총 임피던스 응답을 변화시키도록 수정되고, 션트 인덕터 L44의 인덕턴스는 스테이션 3의 총 임피던스 응답을 변화시키도록 수정되고, 그리고 션트 인덕터 L46의 인덕턴스는 스테이션 4의 총 임피던스 응답을 변화시키도록 수정된다. 일부 실시예들에서, 결합기 및 분배기 (802) 는 최초 보우가 보다 낮은 RF 전력 그리고 이어서 훨씬 보다 강한 보우를 야기할 때 런-어웨이 상황의 기회들을 감소시킨다. 또 다른 예로서, 이하에 더 기술되는 파라미터 프로브는 출력부 OUT#1에 또는 웨이퍼 (101) 의 프로세싱 동안 파라미터를 첫번째로 측정하기 위해 스테이션 1의 입력부에 커플링된다. 측정된 파라미터가 사용자에 의해 판독되고 션트 인덕터 L40의 값이 스테이션 1로의 전류량을 상승시키기 위해 웨이퍼 (101) 의 프로세싱 후에 사용자에 의해 수동으로 수정된다. 다시, 웨이퍼 (101) 의 두번째 프로세싱 동안, 파라미터 프로브가 파라미터를 측정한다. 두번째는 첫번째 이후이다. 두번째 프로세싱 후에, 인덕터 L40의 값은 사용자에 의해 수동으로 변화된다. 파라미터를 측정하고 인덕터 L40의 인덕턴스를 변화시키는 이 프로세스는 인덕턴스가 파라미터의 미리 결정된 값으로부터 미리 결정된 한계 내일 때까지 반복된다. 이와 같이, 파라미터의 미리 결정된 값을 달성하기 위해 인덕터 L40가 패시브 제어된다. 유사하게, 인덕터들 L42, L44, 및 L46의 인덕턴스들은 대응하는 인덕턴스들이 파라미터의 대응하는 미리 결정된 값들로부터 대응하는 미리 결정된 한계 내일 때까지 수동을 수정된다.
일 실시예에서, 일 스테이션에 대한 파라미터의 미리 결정된 값으로부터 미리 결정된 한계는 또 다른 스테이션에 대한 파라미터의 미리 결정된 값으로부터 미리 결정된 한계와 상이하다. 일 실시예에서, 일 스테이션에 대한 파라미터의 미리 결정된 값으로부터 미리 결정된 한계는 또 다른 스테이션에 대한 파라미터의 미리 결정된 값으로부터 미리 결정된 한계와 같다. 일 실시예에서, 일 스테이션에 대한 파라미터의 미리 결정된 값은 또 다른 스테이션에 대한 파라미터의 미리 결정된 값과 상이하다. 일 실시예에서, 일 스테이션에 대한 파라미터의 미리 결정된 값은 또 다른 스테이션에 대한 파라미터의 미리 결정된 값과 같다.
도 8b는 전달된 전력의 0 % 변화 또는 전달된 전력의 0 % 변화로부터 미리 결정된 한계 이내와 같이, 실질적으로 무시할 수 있는 전달된 전력의 변화를 달성하기 위한 가변 션트 인덕터들 L401, L421, L441, 및 L461의 제어를 예시하기 위한 시스템 (810) 의 실시예의 도면이다. 가변 션트 인덕터들 L401, L421, L441, 및 L461을 제어함으로써, 스테이션 1 내지 스테이션 4에서 웨이퍼들 (101) 의 웨이퍼 보우가 능동적으로 제어된다. 시스템 (810) 은 프로브 제어 및 시스템 제어부 (110) 및 결합기 및 분배기 (812) 를 포함한다. 결합기 및 분배기 (812) 는 션트 인덕터 L40이 션트 인덕터 L401로 대체되고, 션트 인덕터 L42가 가변 션트 인덕터 L421로 대체되고, 션트 인덕터 L44가 가변 션트 인덕터 L441로 대체되고, 그리고 션트 인덕터 L46이 가변 션트 인덕터 L461로 대체되는 것을 제외하고 결합기 및 분배기 (802) 와 같다. 예를 들어, 하나 이상의 션트 인덕터들 L401, L421, L441, 및 L461의 인덕턴스는 웨이퍼 (101) 의 프로세싱 동안 변화되도록 프로브 제어 및 시스템 제어부 (110) 에 의해 제어된다. 예시를 위해, 션트 인덕터 L401의 인덕턴스는 스테이션 1에서 웨이퍼 (101) 상에 박막들의 증착 동안 상승되거나 감소되도록 프로브 제어 및 시스템 제어부 (110) 에 의해 제어된다. 또 다른 예시로서, 션트 인덕터 L421의 인덕턴스는 스테이션 2에서 웨이퍼 (101) 상에 박막들의 증착 동안 상승되거나 감소되도록 프로브 제어 및 시스템 제어부 (110) 에 의해 제어된다.
시스템 (810) 은 동축 케이블 (416A) 의 단부 (814A) 에 커플링되는 파라미터 프로브 Pa를 더 포함하고, 단부 (814A) 는 OUT#1에 커플링된다. 단부 (814A) 는 스테이션 1의 입력부에 위치된다. 더욱이, 시스템 (810) 은 동축 케이블 (416B) 의 단부 (814B) 에 커플링되는 파라미터 프로브 Pb를 포함하고, 단부 (814B) 는 OUT#2에 커플링된다. 단부 (814B) 는 스테이션 2의 입력부에 위치된다. 또한, 시스템 (810) 은 동축 케이블 (416C) 의 단부 (814C) 에 커플링되는 파라미터 프로브 Pc를 더 포함하고, 단부 (814C) 는 OUT#3에 커플링된다. 단부 (814C) 는 스테이션 3의 입력부에 위치된다. 시스템 (810) 은 동축 케이블 (416D) 의 단부 (814D) 에 커플링되는 파라미터 프로브 Pd를 더 포함하고, 단부 (814D) 는 OUT#4에 커플링된다. 단부 (814D) 는 스테이션 4의 입력부에 위치된다.
단부 (814A) 는 스테이션 1의 입력부에 위치된다. 예를 들어, 단부 (814A) 는 스테이션 1의 페데스탈 (140) 또는 샤워헤드 (150) 에 연결된다. 유사하게, 단부 (814B) 는 스테이션 2의 입력부에 위치되고, 단부 (814C) 는 스테이션 3의 입력부에 위치되고, 그리고 단부 (814D) 는 스테이션 4의 입력부에 위치된다. 예를 들어, 단부 (814B) 는 스테이션 2의 샤워헤드 (150) 또는 페데스탈 (140) 에 커플링되고, 단부 (814C) 는 스테이션 3의 샤워헤드 (150) 또는 페데스탈 (140) 에 커플링되고, 그리고 단부 (814D) 는 스테이션 4의 샤워헤드 (150) 또는 페데스탈 (140) 에 커플링된다.
파라미터 프로브 Pa 내지 파라미터 프로브 Pd 각각의 예는 전력 센서, 예컨대 스테이션으로 전달된 전력을 측정하는 센서를 포함한다. 예시를 위해, 파라미터 프로브 Pa는 OUT#1로부터 동축 케이블 (416A) 및 단부 (814A) 를 통해 스테이션 1로 전달되는 전력을 측정한다. 또 다른 예시로서, 파라미터 프로브 Pb는 OUT#2로부터 동축 케이블 (416B) 및 단부 (814B) 를 통해 스테이션 2로 전달되는 전력을 측정한다. 스테이션으로 전달된 전력의 예는 동축 케이블을 통해 스테이션으로 공급되는 전력과 스테이션으로부터 전달된 동축 케이블을 통해 반사된 전력 간의 차이다. 파라미터 프로브 Pa 내지 파라미터 프로브 Pd 각각의 또 다른 예는 스테이션으로 공급된 전력 및 스테이션으로부터 반사된 전력을 측정하는 전력 센서를 포함한다.
시스템 (810) 은 또한 복수의 모터들 Ma, Mb, Mc, 및 Md를 포함한다. 모터 Ma는 연결 메커니즘 (816A) 을 통해 션트 인덕터 L401에 커플링된다. 유사하게, 모터 Mb는 연결 메커니즘 (816B) 을 통해 션트 인덕터 L421에 커플링되고, 모터 Mc는 연결 메커니즘 (816C) 을 통해 션트 인덕터 L441에 커플링되고, 그리고 모터 Md는 연결 메커니즘 (816D) 을 통해 션트 인덕터 L461에 커플링된다.
모터들 Ma, Mb, Mc, 및 Md 각각은 프로브 제어 및 시스템 제어부 (110) 에 커플링된다. 예를 들어, 모터 Ma는 프로브 제어 및 시스템 제어부 (110) 내에 위치된, 드라이버, 예컨대 하나 이상의 트랜지스터들을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링된다. 또 다른 예로서, 모터 Mb는 프로브 제어 및 시스템 제어부 (110) 내에 위치된, 드라이버, 예컨대 하나 이상의 트랜지스터들을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링된다. 모터 Mc는 프로브 제어 및 시스템 제어부 (110) 내에 위치된, 드라이버, 예컨대 하나 이상의 트랜지스터들을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링된다. 유사하게, 모터 Md는 프로브 제어 및 시스템 제어부 (110) 내에 위치된, 드라이버, 예컨대 하나 이상의 트랜지스터들을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 커플링된다.
단부 (814A) 에서 스테이션 1로 전달된 전력은 파라미터 프로브 Pa로부터 전송 케이블 (818A) 을 통해 프로브 제어 및 시스템 제어부 (110) 의 프로세서로 전송된다. 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 프로브 제어 및 시스템 제어부 (110) 의 메모리 디바이스에 저장된 미리 설정된 시간 기간에 걸쳐 전달된 전력을 수신한다. 프로세서는 미리 결정된 시간 기간에 걸쳐 단부 (814A) 에 전달된 전력의 변화가 실질적으로 무시할 수 있는지, 예컨대 0 % 변화로부터 미리 결정된 한계 이내인지 여부를 결정한다. 예시를 위해, 프로세서는 미리 결정된 시간 기간에 걸쳐 단부 (814A) 에 전달된 전력의 변화가 미리 결정된 한계, 예컨대 1 % 미만인지 여부를 결정한다. 다른 예로서, 프로세서는 미리 결정된 시간 기간에 걸쳐 단부 (814A) 에 전달된 전력의 변화가 미리 결정된 한계, 예컨대 0.08 % 미만인지 여부를 결정한다. 또 다른 예로서, 프로세서는 미리 결정된 시간 기간에 걸쳐 단부 (814A) 에 전달된 전력의 변화가 미리 결정된 한계, 예컨대 미리 결정된 백분율 미만인지 여부를 결정한다. 프로세서는 파라미터 프로브 Pa에 의해 측정된 전력으로부터 표준 편차를 계산한다. 미리 결정된 백분율은 메모리 디바이스에 저장된다.
단부 (814A) 에서 스테이션 1로 전달된 전력의 변화가 0 % 변화로부터 미리 결정된 한계보다 크다는 결정시, 프로세서는 션트 인덕터 L401의 인덕턴스를 변화시키도록 션트 인덕터 L401을 제어한다. 예를 들어, 프로세서는 션트 인덕터 L401의 인덕턴스를 수정하기 위해 션트 인덕터 L401의 와인딩들에 대한 코어의 위치를 변화시키도록 션트 인덕터 L401의 코어의 위치를 변화시키게 모터 Ma로 신호를 전송한다. 프로세서는 단부 (814A) 에서 스테이션 1로 전달된 전력의 변화가 실질적으로 무시할 수 있는, 예컨대 0 % 변화로부터 미리 결정된 한계 미만일 때까지 션트 인덕터 L401의 인덕턴스를 변화시키도록 션트 인덕터 L401을 제어한다. 스테이션 1로 전달된 전력의 변화가 실질적으로 무시할 수 있을 때, 웨이퍼 (101) 의 웨이퍼 보우가 감소된다.
유사하게, 미리 결정된 시간 기간에 걸쳐 파라미터 프로브 Pb에 의해 측정되는 전달된 전력에 기초하여, 프로브 제어 및 시스템 제어부 (110) 는 단부 (814B) 에서 스테이션 2로 전달된 전력의 변화가 실질적으로 무시할 수 있도록 션트 인덕터 L421을 제어한다. 더욱이, 미리 결정된 시간 기간에 걸쳐 파라미터 프로브 Pc에 의해 측정되는 전달된 전력에 기초하여, 프로브 제어 및 시스템 제어부 (110) 는 단부 (814C) 에서 스테이션 3으로 전달된 전력의 변화가 실질적으로 무시할 수 있도록 션트 인덕터 L441을 제어한다. 또한, 미리 결정된 시간 기간에 걸쳐 파라미터 프로브 Pd에 의해 측정되는 전달된 전력에 기초하여, 프로브 제어 및 시스템 제어부 (110) 는 단부 (814D) 에서 스테이션 4로 전달된 전력의 변화가 실질적으로 무시할 수 있도록 션트 인덕터 L461을 제어한다.
가변 션트 인덕터들 L401, L421, L441, 및 L461 대신 션트 인덕터들 L40, L42, L44, 및 L46이 사용되는 실시예에서, 션트 인덕터 40은 파라미터 프로브 Pa에 의해 측정될 때 단부 (814A) 에 전달된 전력의 변화가 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 의해 실질적으로 무시할 수 있는 것으로 결정될 때까지 또 다른 고정 션트 인덕터에 의해 수동으로 대체된다. 더욱이, 션트 인덕터 42는 파라미터 프로브 Pb에 의해 측정될 때 단부 (814B) 에 전달된 전력의 변화가 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 의해 실질적으로 무시할 수 있는 것으로 결정될 때까지 또 다른 고정 션트 인덕터에 의해 수동으로 대체된다. 유사하게, 션트 인덕터 44는 파라미터 프로브 Pc에 의해 측정될 때 단부 (814C) 에 전달된 전력의 변화가 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 의해 실질적으로 무시할 수 있는 것으로 결정될 때까지 또 다른 고정 션트 인덕터에 의해 수동으로 대체된다. 또한, 션트 인덕터 46는 파라미터 프로브 Pd에 의해 측정될 때 단부 (814D) 에 전달된 전력의 변화가 프로브 제어 및 시스템 제어부 (110) 의 프로세서에 의해 실질적으로 무시할 수 있는 것으로 결정될 때까지 또 다른 고정 션트 인덕터에 의해 수동으로 대체된다.
일 실시예에서, 단부 814A 내지 단부 814D에서 전달된 전력을 측정하는 대신, 전달된 전력은 출력부들 OUT#1 내지 OUT#4에서 측정된다.
일 실시예에서, 출력부들 OUT#1 내지 OUT#4에서 또는 단부 814A 내지 단부 814D에서 전달된 전력을 측정하는 대신, 전달된 전력은 동축 케이블 (416A) 내지 동축 케이블 (416D) 상의 임의의 지점에서 측정된다.
일 실시예에서, 전달된 전력이 측정되고 파라미터 프로브로부터 프로브 제어 및 시스템 제어부 (110) 로 전송되는 대신, 공급된 전력 및 반사된 전력이 측정되고 파라미터 프로브로부터 프로브 제어 및 시스템 제어부 (110) 의 프로세서로 전송된다. 프로브 제어 및 시스템 제어부 (110) 의 프로세서는 스테이션으로 전달된 전력을 계산하기 위해 스테이션으로 공급된 전력과 스테이션으로부터 반사된 전력 사이의 차를 계산한다.
도 9a는 션트 인덕터 900이 튜닝 회로 및 인덕터를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 및 커패시터를 포함하는 또 다른 저 주파수 회로 부분 사이에 위치되는, 션트 인덕터 900의 실시예의 회로도이다. 저 주파수 회로 부분들은 도 8a의 저 주파수 회로 (806) 일부이다. 션트 인덕터 L40, 또는 L42, 또는 L44, 또는 L46은 션트 인덕터 900이 고정일 때 션트 인덕터 900의 예이다. 유사하게, 션트 인덕터 L401, 또는 L421, 또는 L441, 또는 L461은 션트 인덕터 900이 가변일 때 션트 인덕터 900의 예이다. 션트 인덕터 900의 일 단부는 접지 연결부에 커플링된다. 션트 인덕터 900의 또 다른 단부는 튜닝 회로 및 인덕터를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 및 커패시터를 포함하는 또 다른 저 주파수 회로 부분 사이에 위치되는 단부 Ea에 커플링된다. 예를 들어, 션트 인덕터 L40은 커패시터 C5 및 인덕터 L1를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 (504A) 및 커패시터 C10을 포함하는 또 다른 저 주파수 회로 부분 사이에 위치된 단부 E1 (도 8a) 에 커플링된다. 다른 예로서, 션트 인덕터 L42는 커패시터 C6 및 인덕터 L2를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 (504B) 및 커패시터 C12를 포함하는 또 다른 저 주파수 회로 부분 사이에 위치된 단부 E3 (도 8a) 에 커플링된다. 또 다른 예로서, 션트 인덕터 L44는 커패시터 C7 및 인덕터 L3을 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 (504C) 및 커패시터 C14를 포함하는 또 다른 저 주파수 회로 부분 사이에 위치된 단부 Ea에 커플링된다. 여전히 또 다른 예로서, 션트 인덕터 L46은 커패시터 C8 및 인덕터 L4를 포함하는 저 주파수 회로 부분과 고 주파수 차단 회로 (504D) 및 커패시터 C16을 포함하는 또 다른 저 주파수 회로 부분 사이의 단부 Ea에 커플링된다.
도 9b는 션트 인덕터 900이 저 주파수 회로 (506) 의 고 주파수 차단 회로와 플라즈마 프로세싱 스테이션 1 내지 플라즈마 프로세싱 스테이션 4 중 하나 사이에 커플링되는 션트 인덕터 900의 실시예의 회로도이다. 예를 들어, 션트 인덕터 L40은 결합기 및 분배기 (121) 의 고 주파수 차단 회로 (504A) 와 스위치 S1 사이에 커플링된다. 션트 인덕터 L40의 일 단부는 접지 연결부에 커플링되고, 션트 인덕터 900의 또 다른 단부는 고 주파수 차단 회로 (504A) 와 고 주파수 차단 회로 (504A) 에 연결되는 스위치 S1 사이의 지점에서 단부 Eb에 커플링된다. 다른 예로서, 션트 인덕터 L42는 고 주파수 차단 회로 (504B) 와 스위치 S2 사이에 위치된 단부 Eb, 예컨대 출력부 O2에 커플링된다. 또 다른 예로서, 션트 인덕터 L44는 고 주파수 차단 회로 (504C) 와 스위치 S3 사이에 위치된 단부 Eb, 예컨대 출력부 O3에 커플링된다. 여전히 또 다른 예로서, 션트 인덕터 L46은 고 주파수 차단 회로 (504D) 와 스위치 S4 사이에 위치된 단부 Eb, 예컨대 출력부 O4에 커플링된다.
다른 예로서, 션트 인덕터 900은 출력 부분 (510) 의 스위치와 출력 부분 (510) 의 인덕터 사이에 커플링된다. 추가 예시를 위해, 션트 인덕터 L40은 스위치 S1과 인덕터 L9 사이의 지점 P1 (도 8a) 과 같은 단부 Eb에 연결된다. 다른 예시로서, 션트 인덕터 L42는 스위치 S2와 인덕터 L11 사이의 지점 P2과 같은 단부 Eb에 연결된다. 또 다른 예시로서, 션트 인덕터 L44는 스위치 S3과 인덕터 L13 사이의 지점과 같은 단부 Eb에 연결된다. 또 다른 예시로서, 션트 인덕터 L46은 스위치 S4와 인덕터 L15 사이의 지점과 같은 단부 Eb에 연결된다.
또 다른 예로서, 션트 인덕터 900은 출력 부분 (510) 과 플라즈마 프로세싱 스테이션 1 내지 플라즈마 프로세싱 스테이션 4 중 하나 사이에 커플링된다. 추가 예시를 위해, 션트 인덕터 L40은 동축 케이블 (416A) 상에서 출력부 OUT#1과 플라즈마 프로세싱 스테이션 1 사이의 지점과 같은 단부 Eb에 연결된다. 다른 예시로서, 션트 인덕터 L42는 동축 케이블 (416B) 상에서 출력부 OUT#2와 플라즈마 프로세싱 스테이션 2 사이의 지점과 같은 단부 Eb에 연결된다. 또 다른 예시로서, 션트 인덕터 L44는 동축 케이블 (416C) 상에서 출력부 OUT#3과 플라즈마 프로세싱 스테이션 3 사이의 지점과 같은 단부 Eb에 연결된다. 다른 예시로서, 션트 인덕터 L46은 동축 케이블 (416D) 상에서 출력부 OUT#4와 플라즈마 프로세싱 스테이션 4 사이의 지점과 같은 단부 Eb에 연결된다.
또 다른 예로서, 션트 인덕터 900은 밸런싱 인덕터들 L9, L11, L13, 및 L15 중 하나와 밸런싱 인덕터에 커플링되는 출력부들 OUT#1 내지 OUT#4 중 하나 사이에 커플링된다. 추가 예시를 위해, 션트 인덕터 L40은 인덕터 L9와 결합기 및 분배기 (121) 의 출력부 OUT#1 사이의 지점 P3과 같은 단부 Eb에 커플링된다. 다른 예시로서, 션트 인덕터 L42는 인덕터 L11과 결합기 및 분배기 (121) 의 출력부 OUT#2 사이의 지점 P4과 같은 단부 Eb에 커플링된다. 또 다른 예시로서, 션트 인덕터 L44는 인덕터 L13과 결합기 및 분배기 (121) 의 출력부 OUT#3 사이의 지점과 같은 단부 Eb에 커플링된다. 다른 예시로서, 션트 인덕터 L46은 인덕터 L15와 결합기 및 분배기 (121) 의 출력부 OUT#4 사이의 지점과 같은 단부 Eb에 커플링된다.
션트 인덕터 900이 단부 Ea 대신 단부 Eb에 커플링된다는 것을 주의해야 한다.
도 9c는 션트 인덕터 900이 저 주파수 회로 (506) 의 입력부 (520) 와 튜닝 회로 및 인덕터 저 주파수 회로 부분 사이에 커플링되는 션트 인덕터 900의 실시예의 회로도이다. 저 주파수 회로 부분은 저 주파수 회로 (506) 의 부분이다. 예를 들어, 션트 인덕터 900의 일 단부는 접지 연결부에 커플링되고 션트 인덕터 900의 또 다른 단부는 저 주파수 회로 (506) 의 입력부 (520) 와 DC 차단 커패시터 C1 내지 DC 차단 커패시터 C4 사이에 위치된 단부 Ec에 커플링된다. 추가 예시를 위해, 션트 인덕터 L40은 커패시터 C1과 저 주파수 회로 (506) 의 입력부 (520) (도 8a) 사이의 지점 P5와 같은 단부 Ec에 연결된다. 다른 예시로서, 션트 인덕터 L42는 커패시터 C2와 저 주파수 회로 (506) 의 입력부 (520) 사이의 지점 P6 (도 8a) 과 같은 단부 Ec에 연결된다. 또 다른 예시로서, 션트 인덕터 L44는 커패시터 C3과 저 주파수 회로 (506) 의 입력부 (520) 사이의 지점과 같은 단부 Ec에 연결된다. 또 다른 예시를 위해, 션트 인덕터 L46은 커패시터 C4와 저 주파수 회로 (506) 의 입력부 (520) 사이의 지점과 같은 단부 Ec에 연결된다.
또 다른 예로서, 션트 인덕터 900의 일 단부는 접지 연결부에 커플링되고 션트 인덕터 900의 또 다른 단부는 DC 차단 커패시터 C1 내지 DC 차단 커패시터 C4 중 하나와 DC 차단 커패시터에 커플링되는 인덕터 L1 내지 인덕터 L4 중 하나 사이에 위치된 단부 Ec에 커플링된다. 추가 예시를 위해, 션트 인덕터 L40은 커패시터 C1과 인덕터 L1 사이의 지점 P7과 같은 단부 Ec에 연결된다. 다른 예시를 위해, 션트 인덕터 L42는 커패시터 C2와 인덕터 L2 사이의 지점 P8 (도 8a) 과 같은 단부 Ec에 연결된다. 또 다른 예시를 위해, 션트 인덕터 L44는 커패시터 C3과 인덕터 L3 사이의 지점과 같은 단부 Ec에 연결된다. 다른 예시를 위해, 션트 인덕터 L46은 커패시터 C4와 인덕터 L4 사이의 지점과 같은 단부 Ec에 연결된다.
일 실시예에서, 션트 인덕터들 L40, L42, L44, 및 L46을 패시브 제어하기 위해 도 8a를 참조하여 상기 기술된 방법은 저 주파수 회로 (506) 의 입력부 (520) 와 스테이션 1 내지 스테이션 4 중 일 스테이션의 입력부 사이의 션트 인덕터 900의 커플링 위치와 무관하게 션트 인덕터 900에 동일하게 적용가능하다는 것을 주의해야 한다. 예를 들어, 션트 인덕터 900의 값은 동축 케이블 (416A) 의 단부 (814A) 에서 파라미터 프로브 Pa에 의해 측정된 파라미터의 값이 파라미터의 미리 결정된 값으로부터 미리 결정된 한계 내일 때까지 스테이션 1에서 웨이퍼 (101) 의 프로세싱 후에 사용자에 의해 수동으로 변화된다. 또 다른 예로서, 션트 인덕터 900의 값은 동축 케이블 (416B) 의 단부 (814B) 에서 파라미터 프로브 Pb에 의해 측정된 파라미터의 값이 파라미터의 미리 결정된 값으로부터 미리 결정된 한계 내일 때까지 스테이션 2에서 웨이퍼 (101) 의 프로세싱 후에 사용자에 의해 수동으로 변화된다.
일 실시예에서, 션트 인덕터들 L401, L421, L441, 및 L461을 능동 제어하기 위해 도 8b를 참조하여 상기 기술된 방법은 저 주파수 회로 (506) 의 입력부 (520) 와 스테이션 1 내지 스테이션 4 중 일 스테이션의 입력부 사이의 션트 인덕터 900의 커플링 위치와 무관하게 션트 인덕터 900에 동일하게 적용가능하다는 것을 주의해야 한다. 예를 들어, 션트 인덕터 900의 값은 동축 케이블 (416A) 의 단부 (814A) 에서 파라미터 프로브 P1에 의해 측정된 파라미터의 값이 파라미터의 미리 결정된 값으로부터 미리 결정된 한계 내일 때까지 스테이션 1에서 웨이퍼 (101) 의 프로세싱 후에 모터 Ma를 사용하여 변화된다. 이 예에서, 션트 인덕터 L900이 저 주파수 회로 (506) 의 입력부 (520) 와 동축 케이블 (416A) 의 단부 (814A) 사이에 커플링된다. 또 다른 예로서, 션트 인덕터 900의 값은 동축 케이블 (416B) 의 단부 (814B) 에서 파라미터 프로브 P2에 의해 측정된 파라미터의 값이 파라미터의 미리 결정된 값으로부터 미리 결정된 한계 내일 때까지 스테이션 2에서 웨이퍼 (101) 의 프로세싱 후에 모터 Mb를 사용하여 변화된다. 이 예에서, 션트 인덕터 L900이 저 주파수 회로 (506) 의 입력부 (520) 와 동축 케이블 (416B) 의 단부 (814B) 사이에 커플링된다.
션트 인덕터 900은 단부 Ea 또는 단부 Eb 대신 단부 Ec에 커플링된다는 것을 주의해야 한다.
일 실시예에서, 션트 인덕터 900은 스테이션에 병렬로 커플링된다는 것을 더 주의해야 한다. 예를 들어, 션트 인덕터 L40은 스테이션 1에 병렬로 커플링되고, 션트 인덕터 L42는 스테이션 2에 병렬로 커플링되고, 션트 인덕터 L44는 스테이션 3에 병렬로 커플링되고, 그리고 션트 인덕터 L46은 스테이션 4에 병렬로 커플링된다. 예시를 위해, 션트 인덕터 L40의 일 단부는 동축 케이블 (416A) 에 커플링되고 션트 인덕터 L40의 또 다른 단부는 접지 연결부에 커플링된다. 유사하게, 스테이션 1의 일 단부, 예컨대 페데스탈 (140) 또는 샤워헤드 (150) 는 동축 케이블 (416A) 에 커플링되고, 스테이션 1의 또 다른 단부, 예컨대 페데스탈 (140) 또는 샤워헤드 (150) 는 접지 연결부에 커플링된다. 페데스탈 (140) 은 샤워헤드 (150) 와 비교하여 스테이션 1의 반대편 단부에 위치된다. 또 다른 예로서, 션트 인덕터 L401은 스테이션 1에 병렬로 커플링되고, 션트 인덕터 L421은 스테이션 2에 병렬로 커플링되고, 션트 인덕터 L441은 스테이션 3에 병렬로 커플링되고, 그리고 션트 인덕터 L461은 스테이션 4에 병렬로 커플링된다. 또 다른 예로서, 션트 인덕터 900은 도 8b에 예시된 저 주파수 회로 (506) 의 입력부 (520) 와 단부 814A 내지 단부 814D 중 일 단부 사이의 지점에 커플링된다. 또 다른 예로서, 션트 인덕터 900은 저 주파수 회로 (506) 와 단부 814A 내지 단부 814D 중 일 단부 사이의 지점에 커플링된다. 또 다른 예로서, 션트 인덕터 900이 도 9a 내지 도 9c를 참조하여 기술된 바와 같이 스테이션 1 내지 스테이션 4 중 일 스테이션에 커플링되는 다양한 방식들은 션트 인덕터 900과 스테이션 1 내지 스테이션 4 중 일 스테이션 사이의 병렬 커플링의 예시들이다.
도 10은 션트 인덕터들 L40, L42, L44, 및 L46이 사용되지 않을 때와 션트 인덕터들 L40, L42, L44, 및 L46이 사용될 때 웨이퍼 보우들의 비교를 예시하는 도면이다. 도 10의 상반부에 도시된 바와 같이, 션트 인덕터들 L40, L42, L44, 및 L46이 사용되지 않을 때, 웨이퍼 (101) 에 충돌하는 보다 많은 양의 이온들로 인해 포지티브 보우가 있다. 시간에 따라 웨이퍼 (101) 상에 증착된 층들의 수의 증가와 함께, 도 10의 상반부의 그래프에 도시된, 웨이퍼 (101) 가 프로세싱되는 스테이션 1로 전달되는 저 주파수 전력이 감소된다. 저 주파수 전력의 감소와 함께, 예를 들어, "보다 적은 이온 충돌", 등으로 도시되는 웨이퍼 (101) 에 충돌하는 이온들의 수의 감소 및 예를 들어, "보다 포지티브한 보우", 등으로 도시되는 웨이퍼 보우의 증가가 있다.
도 10의 하반부를 참조하면, 션트 인덕터들 L40, L42, L44, 및 L46이 시스템 (800) 에서 사용될 때, 전달된 저 주파수 전력은 도 10의 하반부의 그래프에 도시된 바와 같이 실질적으로 일정하게 남는다. 전달된 저 주파수 전력은 실질적으로 일정하게 남고, 웨이퍼 (101) 상에 실질적으로 일정한 이온 충돌이 있다. 시간 경과에 따라, 웨이퍼 (101) 상에 증착되는 층들의 수가 증가하지만, 도 10의 하반부에 "안정한 웨이퍼 보우"로 도시된 웨이퍼 보우의 최소 변화가 있고, 예를 들어, 변화가 없는 등 한다.
도 11은 스테이션 1 내지 스테이션 4로 전달되는 저 주파수 전력의 변화에 대한 션트 인덕터들 L40, L42, L44, 및 L46의 효과를 예시하는 도면이다. 회로 (1102) 에 도시된 바와 같이, 스테이션 1 내지 스테이션 4 중 일 스테이션 내의 플라즈마의 저항은 Rp로 지정되고, 플라즈마의 용량성 리액턴스는 Xp로 지정되고, 웨이퍼 (101) 가 보우할 때 보다 네거티브가 된다. 스테이션 1 내지 스테이션 4 중 일 스테이션에 있는 것으로 생각되는 저항은 Rst이고 스테이션 1 내지 스테이션 4 중 일 스테이션에 있는 것으로 생각되는 리액턴스는 Xst이다. 웨이퍼 (101) 가 보우할 때 저항 Rst는 감소한다. 전류 I가 스테이션 1 내지 스테이션 4 중 하나에 제공되고, 웨이퍼 (101) 보우할 때 실질적으로 동일하게 남아 있는다. 웨이퍼가 보우할 때, 스테이션 1 내지 스테이션 4 중 하나로 전달된 전력 P는 저항 Rst의 감소와 함께 감소된다. 저항 Rst에 영향을 주는 저항 Rc는 샤워헤드 (150) 의 저항, 스테이션 1 내지 스테이션 4 중 일 스테이션의 벽의 저항, 페데스탈 (140) 의 저항, 및 스테이션 1 내지 스테이션 4 중 일 스테이션 내 기생 플라즈마의 저항을 포함한다. 리액턴스 Xp에 영향을 주는 리액턴스 Xc는 샤워헤드 (150) 의 리액턴스, 스테이션 1 내지 스테이션 4 중 일 스테이션의 벽의 리액턴스, 페데스탈 (140) 의 리액턴스, 및 스테이션 1 내지 스테이션 4 중 일 스테이션 내 기생 플라즈마의 리액턴스를 포함한다. 션트 인덕터들 L40, L42, L44, 및 L46은 스테이션 1 내지 스테이션 4 중 일 스테이션으로 제공, 예컨대 전달 또는 공급되는 전력을 더 제어하기 위해, 스테이션 1 내지 스테이션 4 중 일 스테이션으로 제공되는 전류 I를 제어, 예컨대 상승시키도록 사용된다. 예를 들어, 션트 인덕터 L40의 인덕턴스는 스테이션 1로 전달된 전력의 감소, 예를 들어, △P, 등을 더 무시하기 위해, 스테이션 1로 공급된 전류의 변화, 예를 들어, △I, 등을 달성하도록 수정된다. 또 다른 예로서, 스테이션 1로 전달된 전력의 변화 △P는 △I/I가 -△R/2R와 같을 때 0이다. 션트 인덕터 L40의 값을 선택함으로써, △/I는 -△R/2R와 같다. 전류 I의 상대적인 변화 △I/I는 저항 R의 상대적인 변화 △R/R의 1/2이고, 저항의 상대적인 변화와 반대되는 부호를 갖는다. △I/I가 -△R/2R와 같도록 션트 인덕터 L40의 값이 선택되어, 스테이션 1에서 웨이퍼 (101) 의 웨이퍼 보우는 스테이션 1로 전달된 전력에 영향을 주지 않는다. 스테이션 1로 전달된 전력은 웨이퍼 (101) 상에 증착된 층들의 수의 증가와 함께 감소한다.
도 12a 및 도 12b는 스테이션 1 내지 스테이션 4로 전달된 전력의 감소에 대한 션트 인덕터들 L40, L42, L44, 및 L46의 사용 효과를 예시하기 위한 플로우차트들의 실시예들의 도면들이다. 션트 인덕터들 L40, L42, L44, 및 L46의 인덕턴스들은 스테이션 1 내지 스테이션 4에 공급되는 전류를 상승, 예를 들어, △I가 포지티브가 되게 하는, 등을 위해 제어, 예를 들어, 미리 규정되거나, 미리 설정되거나, 동적으로 제어되거나 한다. 전류의 상승은 스테이션 1 내지 스테이션 4로 전달된 전력의 감소와 대응한다. 전달된 전력의 감소는 웨이퍼 (101) 상에 증착된 층들의 수의 증가에 따라 일어난다.
션트 인덕터 L40은 스테이션 1의 저항의 저항 강하를 완화, 예를 들어, △R<<0, 등으로 완화시키고 또한 △I>0이도록 스테이션 1로의 전류를 상승시킨다. △R은 △Rst과 같다는 것을 주의해야 한다. 2 개의 전력 영향 메커니즘들, 예를 들어, I2△R 및 2IR△I, 등은 △P로 나타낸, 스테이션 1로 전달된 전력의 변화가 실질적으로 무시할 수 있도록, 예컨대 0 %와 같거나 0 %로부터 미리 결정된 한계 이내이도록 서로 상쇄된다. 예시를 위해, △P의 값은 0.05 % 내지 1 %의 범위이다. 또 다른 예시로서, △P의 값은 0.7 %이다. 미리 결정된 한계는 메모리 디바이스에 저장된다. 유사하게, 션트 인덕터 L42는 스테이션 2의 저항의 저항 강하를 완화, 예를 들어, △R<<0, 등으로 완화시키고 또한 스테이션 2로의 전류를 상승시킨다. 션트 인덕터 L44는 스테이션 3의 저항의 저항 강하를 완화, 예를 들어, △R<<0, 등으로 완화시키고 또한 스테이션 3으로의 전류를 상승시킨다. 션트 인덕터 L46은 스테이션 4의 저항의 저항 강하를 완화, 예를 들어, △R<<0, 등으로 완화시키고 또한 스테이션 4로의 전류를 상승시킨다.
도 13a는 웨이퍼 (101) 상에 증착된 층들의 수의 증가와 함께 스테이션 1 내지 스테이션 4에 전달된 저 주파수 전력이 감소하는 것을 예시하는 그래프 1302의 실시예의 도면이다. 그래프 1302는 저 주파수 전력 대 시간을 플롯팅한다. 시간의 증가와 함께, 웨이퍼 (101) 상에 증착된 층들의 수가 증가한다. 멀티-스테이션 툴 상, 예를 들어, 스테이션 1 내지 스테이션 4 모두, 등의 스테이션 LF 전력은 어떤 문턱값 수의 스택 층들이 웨이퍼 (101) 상에 증착된 후 드리프트된다. 션트 인덕터들 L40, L42, L44, 및 L46은 도 13b의 이하에 예시된 바와 같이 전력 전달을 안정화시킨다.
도 13b는 웨이퍼 (101) 상에 증착된 층들의 수의 증가와 함께 스테이션 1로 전달된 전력이 도 13a에 예시된 감소와 비교하여 보다 덜 감소되는 것을 예시하는 그래프 1304의 실시예의 도면이다. 그래프 1304는 션트 인덕터 L40이 스테이션 1 내지 스테이션 4 중 하나에 사용될 때, 스테이션 1 내지 스테이션 4 중 일 스테이션으로 전달된 저 주파수 전력 대 시간을 플롯팅한다.
증착된 층 각각, 예를 들어, 옥사이드 또는 나이트라이드, 등이 그래프 1302와 비교하여 그래프 1304에서 좁은 전력 스파이크로 나타낸다. 스파이크 각각의 최대 크기는 스테이션 1 내지 스테이션 4 중 하나로 전달된 전력을 나타낸다.
본 명세서에 기술된 실시예들은 휴대형 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 가전, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 네트워크를 통해 링크된 원격 프로세싱 하드웨어 유닛들에 의해 태스크들이 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템은 프로세싱 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 위한 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 플랫폼 또는 플랫폼들을 포함하는 반도체 프로세싱 장비를 포함한다. 이들 시스템은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 동안에 또는 이후에 그의 동작을 제어하기 위한 전자 장치들과 통합된다. 이 전자 장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는 프로세싱 요건들 및/또는 시스템 유형에 따라 본 명세서에서 기술된 임의의 프로세스들을 제어하도록 프로그램되며, 이러한 프로세스는 프로세스 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, RF 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 위치 및 동작 설정, 및 시스템에 연결되거나 시스템과 인터페이싱하는 툴 및 다른 전달 툴들 및/또는 로드록들 내외로의 웨이퍼 이송 등을 포함한다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정되며, 이들은 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고 엔드포인트 측정, 등을 인에이블한다. 집적 회로는 프로그램 인스트럭션들을 저장하는 펌웨어 형태로 된 칩들, DSP들 (digital signal processors), ASIC들로서 규정되는 칩들, PLD들, 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 를 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상의 또는 이에 대한 특정한 프로세스를 실행하기 위한 동작 파라미터들을 규정하는 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기 또는 시스템으로 통신되는 인스트럭션들이다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하기 위해서 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나 시스템에 커플링되거나 이와 달리 시스템에 네트워킹되거나 이들의 조합으로 된 컴퓨터에 커플링되거나 컴퓨터의 일부이다. 예를 들어, 제어기는 "클라우드" 내에 있거나 팹 (fab) 호스트 컴퓨터 시스템의 일부 또는 전부이며, 이는 웨이퍼 프로세싱을 위한 원격 액세스를 가능하게 한다. 컴퓨터는 제조 동작들의 현 진행 사항을 모니터링하기 위해서 시스템으로의 원격 액세스를 인에이블하며, 지난 제조 동작들의 이력을 검사하고, 복수의 제조 동작들로부터의 경향성들 또는 성능 계측사항들을 검사하고, 현 프로세싱의 파라미터를 변화시키게 하며 현 프로세싱을 따르도록 프로세싱 단계들을 설정하게 하고, 새로운 프로세스를 시작하게 한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 프로세스 레시피들을 네트워크를 통해 시스템에 제공하고, 이 네트워크는 로컬 네트워크 또는 인터넷을 포함한다. 원격 컴퓨터는 사용자 인터페이스들을 포함하고, 이 인터페이스는 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하며, 이들은 이어서 원격 컴퓨터로부터 시스템으로 통신된다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들의 각각에 대한 파라미터들을 명시하는 인스트럭션들을 설정사항들의 데이터의 형태로 수신한다. 파라미터들은 수행될 프로세스 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정된다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어 서로 네트워킹된 하나 이상의 개별 제어기들을 포함시키고 예를 들어 본 명세서에서 기술된 프로세스들 및 제어들과 같은 공통 목적을 위해서 작동시킴으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 서로 결합되는 이격되게 위치한 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 추적 챔버 또는 모듈, 및 반도체 웨이퍼들을 제조 및/또는 제작시에 사용되거나 연관된 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상기 기술된 동작들이 몇몇 타입들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기를 포함하는 플라즈마 챔버, TCP (transformer coupled plasma) 챔버, CCP (capacitively coupled plasma) 반응기, 도전체 툴들, 유전체 툴들, ECR (electron cyclotron resonance) 반응기를 포함하는 플라즈마 챔버 등에 적용된다는 것을 더 주의한다. 예를 들어, 하나 이상의 RF 생성기들이 ICP 반응기 내 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일, 플랫-형상 코일, 등을 포함한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라, 제어기는 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접하는 툴들, 이웃하는 툴들, 공장 전반에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 반도체 제조 공장에서 웨이퍼들의 용기들을 툴 위치들 및/또는 로드 포트들로 그리고 이들로부터 이동하는 재료 이송 시에 사용되는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 일부 실시예들이 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해될 것이다. 이들 동작들은 물리량들을 물리적으로 조작하는 동작들이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
일부 실시예들은 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 또한 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 범용 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터 판독가능 코드로서 제조될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터-판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), RAM (read-only memory), ROM (random-access memory), CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들, 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터-판독가능 매체는 또한 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다.
방법 동작들이 상기에 특정한 순서로 기술되었지만, 다양한 실시예들에서, 다른 관리 동작들이 동작들 사이에서 수행되고, 또는 방법 동작들이 약간 상이한 시간들에 일어나도록 조정되고, 또는 다양한 간격들로 방법 동작들의 발생을 가능하게 하는 시스템 내에 분산되고, 또는 상기 기술된 순서와 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 특징들이 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 조합된다는 것을 더 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변화들 및 수정들이 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (24)

  1. 복수의 저 주파수 RF (radio frequency) 신호들을 출력하기 위해 저 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 저 주파수 경로들;
    복수의 고 주파수 RF 신호들을 출력하기 위해 고 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 고 주파수 경로들로서, 상기 복수의 고 주파수 경로들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로에 커플링되는, 상기 복수의 고 주파수 경로들;
    복수의 결합된 신호들을 복수의 플라즈마 프로세싱 스테이션들로 출력하기 위해 상기 복수의 저 주파수 RF 신호들과 상기 복수의 고 주파수 RF 신호들을 결합하도록 구성된 복수의 출력 경로들로서, 상기 복수의 출력 경로들 각각은 상기 복수의 저 주파수 경로들의 상기 대응하는 일 저 주파수 경로와 상기 복수의 고 주파수 경로들 중 대응하는 일 고 주파수 경로에 커플링되는, 상기 복수의 출력 경로들; 및
    상기 복수의 플라즈마 프로세싱 스테이션들로 제공된 복수의 전류량들을 제어하도록 구성된 복수의 션트 인덕터들로서, 상기 복수의 션트 인덕터들 각각은 상기 복수의 저 주파수 경로들의 상기 대응하는 일 저 주파수 경로에 커플링되는, 상기 복수의 션트 인덕터들을 포함하는, 전력 분배기.
  2. 제 1 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 고 주파수 차단 회로의 제 1 인덕터 및 제 2 인덕터를 포함하고, 상기 제 1 인덕터는 상기 제 2 인덕터로의 지점에서 커플링되고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로의 상기 지점 및 접지 연결부에 커플링되는, 전력 분배기.
  3. 제 2 항에 있어서,
    상기 지점은 커패시터에 커플링되고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로의 상기 커패시터에 평행하게 커플링되는, 전력 분배기.
  4. 제 1 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 제 2 인덕터 및 지점을 포함하고, 상기 지점은 상기 커패시터와 상기 제 1 인덕터 사이에 있고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되고, 상기 지점은 가변 커패시터 및 상기 복수의 션트 인덕터들 중 대응하는 일 션트 인덕터에 커플링되는, 전력 분배기.
  5. 제 1 항에 있어서,
    입력부로서, 상기 복수의 저 주파수 경로들은 상기 저 주파수 수정된 RF 신호를 수신하기 위해 상기 입력부에 커플링되고, 상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 및 제 2 인덕터를 포함하고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 그리고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되는, 상기 입력부; 및
    복수의 지점들로서, 상기 복수의 지점들 각각은 상기 입력부와 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로의 상기 커패시터 사이에 있고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 지점들 중 대응하는 일 지점에 커플링되는, 상기 복수의 지점들을 더 포함하는, 전력 분배기.
  6. 제 1 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 및 제 2 인덕터를 포함하고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 그리고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되는, 전력 분배기.
  7. 제 6 항에 있어서,
    상기 복수의 고 주파수 RF 경로들 각각은 커패시터를 포함하는, 전력 분배기.
  8. 제 7 항에 있어서,
    상기 복수의 고 주파수 RF 경로들 각각의 상기 커패시터는 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로의 상기 제 2 인덕터에 커플링되는, 전력 분배기.
  9. 제 1 항에 있어서,
    상기 저 주파수 수정된 RF 신호는 저 주파수 매칭 네트워크로부터 수신되고 그리고 상기 고 주파수 수정된 RF 신호는 고 주파수 매칭 네트워크로부터 수신되는, 전력 분배기.
  10. 제 1 항에 있어서,
    상기 복수의 출력 경로들은 인덕터 또는 더미 부하에 커플링되도록 구성되는 스위치를 포함하는, 전력 분배기.
  11. 복수의 저 주파수 RF 신호들을 출력하기 위해 저 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 저 주파수 경로들;
    복수의 고 주파수 RF 신호들을 출력하기 위해 고 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 고 주파수 경로들로서, 상기 복수의 고 주파수 경로들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로에 커플링되는, 상기 복수의 고 주파수 경로들;
    복수의 결합된 신호들을 복수의 플라즈마 프로세싱 스테이션들로 출력하기 위해 상기 복수의 저 주파수 RF 신호들과 상기 복수의 고 주파수 RF 신호들을 결합하도록 구성된 복수의 출력 경로들로서, 상기 복수의 출력 경로들 각각은 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로와 상기 복수의 고 주파수 경로들 중 대응하는 일 고 주파수 경로에 커플링되는, 상기 복수의 출력 경로들; 및
    상기 복수의 플라즈마 프로세싱 스테이션들로 제공된 복수의 전류량들을 제어하도록 구성된 복수의 션트 인덕터들로서, 상기 복수의 션트 인덕터들 각각은 상기 복수의 출력 경로들 중 대응하는 일 출력 경로에 커플링되는, 상기 복수의 션트 인덕터들을 포함하는, 전력 분배기.
  12. 제 11 항에 있어서,
    상기 복수의 출력 경로들 각각은 스위치, 인덕터, 및 상기 인덕터와 상기 스위치 사이의 지점을 포함하고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 출력 경로들 중 대응하는 일 출력 경로의 상기 지점에 커플링되는, 전력 분배기.
  13. 제 11 항에 있어서,
    상기 복수의 출력 경로들 각각은 스위치, 인덕터, 및 상기 복수의 플라즈마 프로세싱 스테이션들에 커플링되도록 구성된 복수의 출력부들을 포함하고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 출력 경로들 중 상기 대응하는 일 출력 경로의 상기 인덕터와 상기 복수의 출력부들 중 대응하는 일 출력부 사이의 지점에 커플링되는, 전력 분배기.
  14. 제 11 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 및 제 2 인덕터를 포함하고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 그리고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되는, 전력 분배기.
  15. 제 14 항에 있어서,
    상기 복수의 고 주파수 RF 경로들 각각은 커패시터를 포함하는, 전력 분배기.
  16. 제 15 항에 있어서,
    상기 복수의 고 주파수 RF 경로들 각각의 상기 커패시터는 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로의 상기 제 2 인덕터에 커플링되는, 전력 분배기.
  17. 제 11 항에 있어서,
    상기 저 주파수 수정된 RF 신호는 저 주파수 매칭 네트워크로부터 수신되고 그리고 상기 고 주파수 수정된 RF 신호는 고 주파수 매칭 네트워크로부터 수신되는, 전력 분배기.
  18. 제 11 항에 있어서,
    상기 복수의 출력 경로들은 인덕터 또는 더미 부하에 커플링되도록 구성되는 스위치를 포함하는, 전력 분배기.
  19. 복수의 저 주파수 RF 신호들을 출력하기 위해 저 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 저 주파수 경로들;
    복수의 고 주파수 RF 신호들을 출력하기 위해 고 주파수 수정된 RF 신호를 수신하도록 구성된 복수의 고 주파수 경로들로서, 상기 복수의 고 주파수 경로들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로에 커플링되는, 상기 복수의 고 주파수 경로들;
    복수의 결합된 신호들을 복수의 플라즈마 프로세싱 스테이션들로 출력하기 위해 상기 복수의 저 주파수 RF 신호들과 상기 복수의 고 주파수 RF 신호들을 결합하도록 구성된 복수의 출력 경로들로서, 상기 복수의 출력 경로들 각각은 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로와 상기 복수의 고 주파수 경로들 중 대응하는 일 고 주파수 경로에 커플링되고, 상기 복수의 출력 경로들은 복수의 출력부들을 갖는, 상기 복수의 출력 경로들; 및
    복수의 션트 인덕터들로서, 상기 복수의 션트 인덕터들 각각은 상기 복수의 플라즈마 프로세싱 스테이션들 중 대응하는 일 플라즈마 프로세싱 스테이션에 제공된 전류량을 제어하기 위해 상기 복수의 저 주파수 경로들의 입력부와 상기 복수의 출력 경로들의 상기 복수의 출력부 중 대응하는 일 출력부 사이에 커플링되는, 상기 복수의 션트 인덕터들을 포함하는, 전력 분배기.
  20. 제 19 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 고 주파수 차단 회로의 제 1 인덕터 및 제 2 인덕터를 포함하고, 상기 제 1 인덕터는 상기 고 주파수 차단 회로의 상기 제 2 인덕터로의 지점에서 커플링되고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 저 주파수 경로들 중 대응하는 일 저 주파수 경로의 상기 지점 및 접지 연결부에 커플링되는, 전력 분배기.
  21. 제 19 항에 있어서,
    상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 제 2 인덕터 및 지점을 포함하고, 상기 지점은 상기 커패시터와 상기 제 1 인덕터 사이에 있고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되고, 상기 지점은 가변 커패시터 및 상기 복수의 션트 인덕터들 중 대응하는 일 션트 인덕터에 커플링되는, 전력 분배기.
  22. 제 19 항에 있어서,
    입력부로서, 상기 복수의 저 주파수 경로들은 상기 저 주파수 수정된 RF 신호를 수신하기 위해 상기 입력부에 커플링되고, 상기 복수의 저 주파수 경로들 각각은 커패시터, 제 1 인덕터, 및 제 2 인덕터를 포함하고, 상기 커패시터는 상기 제 1 인덕터에 커플링되고 그리고 상기 제 1 인덕터는 상기 제 2 인덕터에 커플링되는, 상기 입력부; 및
    복수의 지점들로서, 상기 복수의 지점들 각각은 상기 입력부와 상기 복수의 저 주파수 경로들 중 상기 대응하는 일 저 주파수 경로의 상기 커패시터 사이에 있고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 지점들 중 대응하는 일 지점에 커플링되는, 상기 복수의 지점들을 더 포함하는, 전력 분배기.
  23. 제 19 항에 있어서,
    상기 복수의 출력 경로들 각각은 스위치, 인덕터, 및 상기 인덕터와 상기 스위치 사이의 지점을 포함하고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 출력 경로들 중 대응하는 일 출력 경로의 상기 지점에 커플링되는, 전력 분배기.
  24. 제 19 항에 있어서,
    상기 복수의 출력 경로들 각각은 스위치 및 인덕터를 포함하고, 상기 복수의 션트 인덕터들 각각은 상기 복수의 출력 경로들 중 상기 대응하는 일 출력 경로의 상기 인덕터와 상기 복수의 출력 경로들의 상기 복수의 출력부들 중 대응하는 일 출력부 사이의 지점에 커플링되는, 전력 분배기.
KR1020200106486A 2016-07-25 2020-08-24 복수의 스테이션들에서 웨이퍼 보우 제어 KR102188339B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662366515P 2016-07-25 2016-07-25
US62/366,515 2016-07-25
US15/640,053 US10553465B2 (en) 2016-07-25 2017-06-30 Control of water bow in multiple stations
US15/640,053 2017-06-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170088772A Division KR102149573B1 (ko) 2016-07-25 2017-07-13 복수의 스테이션들에서 웨이퍼 보우 제어

Publications (2)

Publication Number Publication Date
KR20200104269A true KR20200104269A (ko) 2020-09-03
KR102188339B1 KR102188339B1 (ko) 2020-12-09

Family

ID=60990113

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170088772A KR102149573B1 (ko) 2016-07-25 2017-07-13 복수의 스테이션들에서 웨이퍼 보우 제어
KR1020200106486A KR102188339B1 (ko) 2016-07-25 2020-08-24 복수의 스테이션들에서 웨이퍼 보우 제어

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170088772A KR102149573B1 (ko) 2016-07-25 2017-07-13 복수의 스테이션들에서 웨이퍼 보우 제어

Country Status (5)

Country Link
US (4) US10553465B2 (ko)
JP (1) JP7037894B2 (ko)
KR (2) KR102149573B1 (ko)
CN (2) CN107658200B (ko)
TW (1) TWI760349B (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
JP7286666B2 (ja) * 2018-02-23 2023-06-05 ラム リサーチ コーポレーション 高電力回路からの切り離しを伴わない静電容量測定
KR20230048459A (ko) * 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
US10304663B1 (en) * 2018-07-19 2019-05-28 Lam Research Corporation RF generator for generating a modulated frequency or an inter-modulated frequency
US10991550B2 (en) 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
WO2020092005A1 (en) * 2018-10-30 2020-05-07 Lam Research Corporation Substrate state detection for plasma processing tools
KR20210089254A (ko) * 2018-12-05 2021-07-15 램 리써치 코포레이션 기판 내에 격리 피처들 및 치밀 피처들 에칭
US11437262B2 (en) * 2018-12-12 2022-09-06 Applied Materials, Inc Wafer de-chucking detection and arcing prevention
US11515123B2 (en) 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
KR20200078729A (ko) 2018-12-21 2020-07-02 삼성전자주식회사 플라즈마 챔버로부터 수신되는 신호를 필터링하기 위한 전자 회로
US10720305B2 (en) 2018-12-21 2020-07-21 Advanced Energy Industries, Inc. Plasma delivery system for modulated plasma systems
WO2020214477A1 (en) * 2019-04-15 2020-10-22 Lam Research Corporation Early warning systems and methods for determining capacitor failures
KR20200126177A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 Rf 파워 모니터링 장치, 및 그 장치를 포함하는 pe 시스템
TWI737996B (zh) * 2019-05-16 2021-09-01 華景電通股份有限公司 晶圓載具監控系統及其監控方法
WO2021021955A1 (en) 2019-07-29 2021-02-04 Advanced Energy Industries, Inc. Multiplexed power generator output with channel offsets for pulsed driving of multiple loads
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11631583B2 (en) 2019-10-25 2023-04-18 Applied Materials, Inc. RF power source operation in plasma enhanced processes
WO2021118862A2 (en) * 2019-12-13 2021-06-17 Lam Research Corporation Multi-state pulsing for achieving a balance between bow control and mask selectivity
WO2024072496A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Broadband supply circuitry for a plasma processing system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000003415A1 (en) * 1998-07-13 2000-01-20 Applied Komatsu Technology, Inc. Rf matching network with distributed outputs
KR20040018344A (ko) * 2001-03-30 2004-03-03 램 리서치 코포레이션 플라즈마 여기 코일을 위한 전류 센서를 포함하는 유도성플라즈마 프로세서
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US20080180179A1 (en) * 2007-01-25 2008-07-31 Mks Instruments, Inc. RF Power Amplifier Stability Network
KR100934402B1 (ko) * 2009-09-07 2009-12-31 아리온테크 주식회사 알에프 스플리트 모니터링 시스템
US20140302256A1 (en) * 2013-03-27 2014-10-09 Applied Materials, Inc. High impedance rf filter for heater with impedance tuning device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000075660A (ko) 1997-02-24 2000-12-26 로버트 엠. 포터 직렬전원의 병렬 출력 고주파 발생기
WO2001005020A1 (en) 1999-07-13 2001-01-18 Tokyo Electron Limited Radio frequency power source for generating an inductively coupled plasma
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US8129283B2 (en) * 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
CN101287327B (zh) * 2007-04-13 2011-07-20 中微半导体设备(上海)有限公司 射频功率源系统及使用该射频功率源系统的等离子体反应腔室
GB0823565D0 (en) * 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
US9305750B2 (en) * 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
KR101151414B1 (ko) 2010-02-23 2012-06-04 주식회사 플라즈마트 임피던스 정합 장치
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
JP5781349B2 (ja) * 2011-03-30 2015-09-24 東京エレクトロン株式会社 プラズマ処理装置
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9779196B2 (en) * 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000003415A1 (en) * 1998-07-13 2000-01-20 Applied Komatsu Technology, Inc. Rf matching network with distributed outputs
KR20040018344A (ko) * 2001-03-30 2004-03-03 램 리서치 코포레이션 플라즈마 여기 코일을 위한 전류 센서를 포함하는 유도성플라즈마 프로세서
JP2004533090A (ja) * 2001-03-30 2004-10-28 ラム リサーチ コーポレーション プラズマ励起コイル用電流センサを含む誘導プラズマ処理装置
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US20080180179A1 (en) * 2007-01-25 2008-07-31 Mks Instruments, Inc. RF Power Amplifier Stability Network
KR100934402B1 (ko) * 2009-09-07 2009-12-31 아리온테크 주식회사 알에프 스플리트 모니터링 시스템
US20140302256A1 (en) * 2013-03-27 2014-10-09 Applied Materials, Inc. High impedance rf filter for heater with impedance tuning device

Also Published As

Publication number Publication date
CN111508810A (zh) 2020-08-07
JP2018022685A (ja) 2018-02-08
US11183406B2 (en) 2021-11-23
US10553465B2 (en) 2020-02-04
US11823928B2 (en) 2023-11-21
JP7037894B2 (ja) 2022-03-17
KR102188339B1 (ko) 2020-12-09
US20180025930A1 (en) 2018-01-25
CN107658200B (zh) 2020-04-14
CN111508810B (zh) 2023-08-08
US20220051919A1 (en) 2022-02-17
CN107658200A (zh) 2018-02-02
TWI760349B (zh) 2022-04-11
US20200118856A1 (en) 2020-04-16
KR20180011712A (ko) 2018-02-02
KR102149573B1 (ko) 2020-08-31
US20240055285A1 (en) 2024-02-15
TW201816832A (zh) 2018-05-01

Similar Documents

Publication Publication Date Title
KR102188339B1 (ko) 복수의 스테이션들에서 웨이퍼 보우 제어
KR102241517B1 (ko) 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기
CN110301029B (zh) 在等离子体反应器中提供寄生成分的分流消除系统和方法
TW202343527A (zh) 具有針對快速交替製程之高速匹配網路阻抗切換的基板處理工具
TW202415147A (zh) 用以調整多電漿處理站範圍之阻抗或功率的結合及分配器

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant