KR20200091469A - 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착 - Google Patents

비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착 Download PDF

Info

Publication number
KR20200091469A
KR20200091469A KR1020207020459A KR20207020459A KR20200091469A KR 20200091469 A KR20200091469 A KR 20200091469A KR 1020207020459 A KR1020207020459 A KR 1020207020459A KR 20207020459 A KR20207020459 A KR 20207020459A KR 20200091469 A KR20200091469 A KR 20200091469A
Authority
KR
South Korea
Prior art keywords
molybdenum
substrate
precursor
deposition
depositing
Prior art date
Application number
KR1020207020459A
Other languages
English (en)
Inventor
제이알 로버트 라이트
솽 멍
브라이언 씨 헨드릭스
토마스 에이치 바움
필립 에스에이치 첸
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Priority to KR1020227046171A priority Critical patent/KR102622565B1/ko
Publication of KR20200091469A publication Critical patent/KR20200091469A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L27/11524
    • H01L27/11551
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

증착을 위한 전구체로서, 본원에서 (알킬-아렌)2Mo로 또한 지칭되는, 비스(알킬-아렌) 몰리브데넘, 예를 들어 비스(에틸-벤젠) 몰리브데넘 ((EtBz)2Mo)의 사용에 의해 기판 상에 몰리브데넘 물질을 증착시키기 위한 증착 방법, 뿐만 아니라 증착된 물질을 함유하는 구조가 기재된다.

Description

비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착
관련 출원에 대한 상호-참조
본 출원은 2018년 1월 19일에 출원된 미국 가특허 출원 제62/619,363호의 35 USC 119에 따른 이익을 주장하며, 이 출원의 개시내용은 모든 목적을 위해 그 전문이 본원에 참고로 포함된다.
발명의 분야
본 개시내용은 증착을 위한 전구체로서, 본원에서 (알킬-아렌)2Mo로 또한 지칭되는, 비스(알킬-아렌) 몰리브데넘, 예를 들어 비스(에틸-벤젠) 몰리브데넘 ((EtBz)2Mo)의 사용에 의해 기판 상에 몰리브데넘-함유 물질을 증착시키기 위한 증착 방법에 관한 것이다.
반도체 및 마이크로전자 디바이스, 및 이러한 디바이스의 제조 방법은, 전극, 비아, 배리어 층, 인터커넥트, 시드 층, 및 다양한 다른 구조와 같은 디바이스 구조에 사용된 금속 및 금속-함유 물질을 포함한다. 제조 동안, 금속 또는 금속-함유 물질은, 예를 들어 원자층 증착, 화학 증착, 또는 그의 변형 또는 파생형에 의한 것을 의미하는, 증착에 의해 디바이스 상에 배치된다. 증착된 물질은 "전구체"의 형태로 증착 공정에 제공되며, 이것은 정확한 조건 하에 기화되고 기판에 노출될 경우, 단독으로 또는 또 다른 물질과의 조합으로, 기판 상에 증착될 무기 또는 유기금속 시약일 수 있다.
전도성 구조에 일반적으로 사용되는 하나의 금속은 텅스텐이다. 텅스텐을 증착하는 일반적인 방법에 따르면, 텅스텐 헥사플루오라이드 (WF6)는 증착된 텅스텐이 유래되는 전구체로서 사용된다. 텅스텐 헥사플루오라이드의 사용에 의해 증착된 텅스텐의 단점은 플루오린이 수소 (H2)와 반응하여 플루오린화수소 (HF)를 형성하고, 이것이, 예를 들어, 실리콘 웨이퍼의 에칭을 야기함으로써 기판에 불리할 수 있다는 것이다.
몰리브데넘은, 예를 들어, 텅스텐에 대한 대안으로서 마이크로전자 디바이스에 사용되었던 저-저항률 내화 금속이다. 몰리브데넘은 높은 융점, 높은 열 전도율, 낮은 열팽창계수, 및 낮은 전기 저항률을 갖는다. 몰리브데넘은 확산 배리어, 전극, 포토마스크, 인터커넥트로서, 또는 저-저항률 게이트 구조로서 사용되었거나 또는 사용하기 위해 제안되었다. 몰리브데넘은 폴리실리콘-금속 게이트 전극 구조를 포함하는 메모리 칩, 로직 칩, 및 다른 디바이스에 사용된 텅스텐을 대체하기 위한 후보이다. 몰리브데넘을 함유하는 박막은 일부 유기 발광 다이오드, 액정 디스플레이, 및 또한 박막 태양 전지 및 광기전체에 또한 사용될 수 있다.
다양한 전구체 물질 및 증착 기술은 마이크로전자 디바이스 기판 상에 금속 물질을 증착시키기 위해 사용되어 왔다. 증착 기술은 화학 증착 (CVD) 및 원자층 증착 (ALD) 뿐만 아니라 UV 레이저 광-해리 CVD, 플라즈마-보조 CVD, 및 플라즈마-보조 ALD와 같은 이들 공정의 많은 변형을 포함할 수 있다. CVD 및 ALD 공정은 마이크로전자 산업에서 점점 더 사용되고 있는데, 이들 방법이 고도의 비평면 마이크로전자 디바이스 기하구조에 탁월한 컨포멀 스텝 커버리지를 허용할 수 있기 때문이다.
반도체 및 마이크로전자 산업의 연구원들은 유용한 금속 또는 금속-함유 층 및 구조를 제공하기 위해 기판 상에 금속을 증착하기 위한 개선된 물질 및 방법을 지속적으로 찾고 있다. 현재, 연구원들은 텅스텐 이외의 금속, 예를 들어 몰리브데넘의 사용을 포함하여, 텅스텐 헥사플루오라이드를 피하는 방법 및 물질에 관심이 있다. 몰리브데넘의 화학 증착에 유용한 일부 물질 및 기술이 기재되었다. 예를 들어, 국제 특허 공보 WO2017/143246 (PCT/US2017/018455)을 참조한다. 연구 노력은 마이크로전자 디바이스 기판 상에 몰리브데넘을 증착하기 위한 증착 방법에 사용하기 위해 또 다른 유용한 물질 및 기술을 식별하는 것에 대하여 계속된다.
요약
하기 설명에 따르면, 출원인은 증착 공정에서 몰리브데넘 전구체로서, 본원에서 (알킬-아렌)2Mo로 또한 지칭되는, 비스(알킬-아렌) 몰리브데넘 화합물, 예를 들어 비스(에틸-벤젠) 몰리브데넘 ((EtBz)2Mo)의 사용에 의해 마이크로전자 기판의 표면 상에 몰리브데넘 물질을 증착할 수 있다는 것을 결정하였다. 몰리브데넘은 마이크로전자 디바이스 기판의 일부분으로서 유용할 임의의 형태 또는 구조로서, 예를 들어 또 다른 물질 (예를 들어, 탄소, 몰리브데넘 카바이드를 형성하기 위해)과 조합하여 몰리브데넘을 함유하는 시드 층으로서, 또는 전도성 벌크 몰리브데넘 구조 (즉, 원소 몰리브데넘)로서 증착될 수 있다.
몰리브데넘 할라이드 및 옥시할라이드 전구체와 같은, 개발 중인 다른 잠재적인 몰리브데넘 전구체는 매우 낮은 저항률을 갖는 매우 고순도, 금속, 몰리브데넘 막을 증착시키는데 효과적인 것으로 나타났다. 그러나, 이들 전구체를 사용한, 티타늄 니트라이드 또는 다른 원하는 기판 표면 상의 금속 몰리브데넘 막의 핵생성은 잠재적으로 제한되는 것으로 밝혀졌다. 몰리브데넘 할라이드 및 옥시할라이드 전구체로부터의, 기판 상의 금속 몰리브데넘의 핵생성을 개선시킬 시드 층 또는 핵생성 층은, 매우 낮은 저항률을 갖는 매우 고순도, 금속 몰리브데넘 막을 증착시키기 위해 이들 전구체의 사용을 용이하게 하는데 바람직할 것이다.
기재된 증착 방법에 따르면, 몰리브데넘 물질은 증착 조건 하에, (알킬-아렌)2Mo, 예를 들어, (EtBz)2Mo로부터 유래되는 증기와 기판을 접촉시킴으로써 기판의 표면 상에 증착될 수 있다. 특정 실시양태에서, 증착된 몰리브데넘 물질은 시드 층으로서 증착된 몰리브데넘 카바이드이며, 이 위에 벌크 (원소) 몰리브데넘의 후속 층이 적용된다. (EtBz)2Mo 전구체를 사용하여 증착된 몰리브데넘 카바이드의 시드 층은 비교적 저온에서, 예를 들어, 300℃ 미만, 또는 250 또는 270℃ 미만에서 적용될 수 있고, 몰리브데넘 카바이드 시드 층은 3-차원 구조에 적용된 경우 양호한 컨포멀성(conformality)을 갖는다. 예시 구조 및 방법에 따르면, 몰리브데넘 카바이드는 할라이드 전구체의 사용에 의해 원소 몰리브데넘을 증착하는 후속 단계 동안 티타늄 니트라이드 물질에 에칭 저항성의 형태로 보호를 제공하는 시드 층으로서 3-차원 기판의 티타늄 니트라이드 표면에 적용될 수 있다. (EtBz)2Mo 전구체를 사용하여 적용된 몰리브데넘 카바이드 시드 층은 또한 원소 몰리브데넘을 증착하는 후속 (바로 그 다음) 단계 동안 비교적 더 낮은 또는 낮아진 온도를 허용하고, 이것은 고 종횡비 3-차원 구조 또는 개구와 같은 복잡한 구조, 예를 들어, 비아 또는 인터커넥트의 개선된 컨포멀 충전을 초래한다. 예를 들어, 금속 몰리브데넘을 증착하는 후속 단계 동안 기판의 온도는 몰리브데넘 카바이드 시드 층을 포함하지 않는 기판 상에 금속 몰리브데넘을 증착시키는데 필요하게 될 온도보다 적어도 50℃ 낮을 수 있다.
기판이 티타늄 니트라이드의 표면을 함유하는 것인, 3-차원 기판에 컨포멀 몰리브데넘 코팅을 제조하는 하나의 예시 방법에 따르면, 몰리브데넘 카바이드 시드 층은 (EtBz)2Mo 전구체의 사용에 의해 티타늄 니트라이드 표면 상에 증착된다. 다음 단계에서, 벌크 (원소) 몰리브데넘은 임의의 원하는 또는 유용한 전구체, 예컨대 MoOCl4, MoCl5, 또는 MoF6의 사용에 의해 시드 층에 적용된다. 시드 층을 증착하는 단계는 300℃ 미만 또는 250 또는 270℃ 미만의 증착 온도 (즉, 기판 온도)에서 수행될 수 있다. 벌크 (원소) 몰리브데넘을 증착하는 단계는 500℃ 미만 또는 450℃ 미만의 증착 온도 (즉, 기판 온도)에서 수행될 수 있지만, 원하는 경우 더 높은 온도가 유용할 수 있다. 시드 층 및 벌크 (원소) 몰리브데넘 층은, 심지어 고 종횡비 표면과 같은 고도의 3-차원 표면에 적용된 경우에도 양호한 균일성 및 컨포멀성을 나타낸다.
본원에 사용된 바와 같이, "증착" 공정은 CVD 또는 ALD와 같은 임의의 유형의 증착 기술을 지칭한다. 다양한 실시양태에서, CVD는 종래의 (즉, 연속 유동) CVD, 액체 주입 CVD, 또는 광-보조 CVD의 형태를 취할 수 있다. CVD는 또한 펄스형 기술, 즉, 펄스형 CVD의 형태를 취할 수 있다. 다른 실시양태에서, ALD는 종래의 (예를 들어, 펄스형 주입) ALD, 특수 ALD, 액체 주입 ALD, 광-보조 ALD, 또는 플라즈마-보조 ALD의 형태를 취할 수 있다.
한 측면에서, 본 발명은 기판 상에 몰리브데넘-함유 물질을 형성하는 방법에 관한 것이다. 방법은 증착 조건 하에 기판을 비스(알킬-아렌) 몰리브데넘 (즉, (알킬-아렌)2Mo) 증기와 접촉시켜, 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함한다.
도 1은 기재된 바와 같은 몰리브데넘 카바이드의 하나의 표면을 포함하는, 다양한 표면 조성을 갖는 기판 상의 MoOCl4로부터의 몰리브데넘의 증착 속도의 비교를 나타낸다.
도 2는 증착 동안 다양한 온도 및 압력 조건에서 몰리브데넘 (비스( 에틸-벤젠) 몰리브데넘으로부터)의 증착 속도를 나타낸다.
상세한 설명
하기 설명은 몰리브데넘 전구체로서 비스( 알킬-아렌) 몰리브데넘 화합물의 사용에 의해, 기판 상에 몰리브데넘을 다양한 형태로 증착시키는데 유용한 증착 방법에 관한 것이다. 비스(알킬-아렌) 몰리브데넘 화합물 (착물)은 또한 본원에서 (알킬-아렌)2Mo 화합물로 지칭된다. 전구체로서 유용한 것으로 밝혀진 이러한 부류의 화합물의 종의 한 예는 비스(에틸-벤젠) 몰리브데넘 (즉, (EtBz)2Mo)이다. 전구체는 기판 상에 몰리브데넘을 임의의 형태로, 예를 들어, 몰리브데넘을 함유하는 화합물 또는 혼합물로서, 예컨대 몰리브데넘 카바이드의 시드 층의 형태로; 전도성 구조 형태의 원소 몰리브데넘으로서; 또는 몰리브데넘을 함유하는 또 다른 증착된 물질로서 증착시키기 위해 증착 (예를 들어, 화학 증착) 공정에 사용될 수 있다.
화학 증착 (CVD)은 일반적으로는 화학 물질 ("전구체"로부터 유래됨)이, 임의적으로는 공-반응물과 같은 하나 이상의 다른 물질과 조합하여, 증기로서, 증기의 성분이 기판의 표면에 물질의 박막을 형성하게 할 조건 하에, 기판에 도입되는 화학 공정이다.
필요하거나 또는 원하는 경우, 환원 기체 (본원에서 "반응물 기체"로 지칭됨)와 같은 공-반응물, 예를 들어, 수소는 원하는 형태의 몰리브데넘의 증착을 용이하게 하기 위해 전구체와 함께 증착 챔버로 도입된다. 증착 챔버에 제공된 반응물 기체의 양, 즉, 유량은 기판 표면에서 증착된 원하는 형태의 몰리브데넘 물질을 생성하는 데에 바람직하고 효과적인 정도일 수 있고, 특정 증착 공정을 위한 유량은 전구체의 유량, 기판 온도, 및 챔버 압력과 같은 증착 공정의 다른 파라미터와 조합하여 선택된다.
본 설명에 따르면, 몰리브데넘 전구체는, 임의적인 반응물 기체와 함께, 기판을 함유하는 증착 챔버에 배치되어, 기판 상에 몰리브데넘의 증착을 초래할 수 있다. 증착 챔버의 조건은 전구체로부터의 몰리브데넘이 원하는 형태 및 양으로 기판 상에 증착되게 할 것이다. 예를 들어, 반응물 기체로서 수소, 및 몰리브데넘 전구체는, 몰리브데넘 전구체로부터 유래되는 몰리브데넘이 기판의 표면 상에 증착되게 하는 방식으로 조합 (예를 들어, 반응)될 수 있다. 특정 예시 방법에서, 몰리브데넘 전구체는 몰리브데넘 카바이드, 즉, Mo2C 또는 MoC의 결정학적 구조를 갖는 몰리브데넘 및 탄소의 증착을 야기하는 반응에 의해 수소 반응물 기체와 조합될 수 있다. 다른 예시 방법에서, 몰리브데넘 전구체는 원소 (금속) 몰리브데넘, 즉, Mo의 결정 구조를 갖는 몰리브데넘의 증착을 야기하는 반응에 의해 수소 반응물 기체와 조합될 수 있다.
일반적으로, 전구체는, 증기로서, 헬륨, 아르곤, 질소, 네온, 크세논, 크립톤, 또는 그의 조합과 같은 불활성 기체일 수 있는, 캐리어 기체의 사용에 의해 증착 챔버로 운반될 수 있다. 캐리어 기체는, 예를 들어, 액체 형태로 상당한 양의 전구체를 함유하는 폐쇄된 컨테이너 (예를 들어, 폐쇄된 용기 또는 "앰플")를 통과할 수 있다. 캐리어 기체가 컨테이너를 통과할 때, 전구체 증기는 캐리어 기체와 함께 운반되고, 조합 ("캐리어 기체-전구체 혼합물")은 증착 챔버에 제공될 수 있다. 증착 챔버로 전달된 캐리어 기체-전구체 혼합물은 캐리어 기체 및 전구체 (증기로서)를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어질 수 있다.
몰리브데넘이 증착된, 기재된 바와 같은 공정의 기판은, 2-차원 또는 3-차원 마이크로전자 또는 반도체 디바이스와 같은, 3-차원 또는 2-차원인 표면을 갖는 임의의 기판일 수 있다. 현재-유용한 특정 방법에 따르면, 기재된 바와 같은 예시 방법은 기판의 표면을 코팅하거나, 또는 고 종횡비 3-차원 개구를 충전하는 것을 포함하여, 3-차원 기판 표면 상에 몰리브데넘을 적용하는데 특히 유용할 수 있다. 예시 방법에서, 몰리브데넘은 몰리브데넘 카바이드의 전기 전도성 시드 층으로서 3-차원 기판 상에 증착될 수 있다. 이어서, 임의의 전구체, 바람직하게는 몰리브데넘 할라이드 또는 몰리브데넘 옥시할라이드 전구체로부터 유래될 수 있는, 원소 (금속) 몰리브데넘은 원소 (금속) 몰리브데넘으로서 전도성 구조의 형태로 시드 층 상에 증착될 수 있다.
본원에 기재된 전구체 화합물, 비스(알킬-아렌) 몰리브데넘 화합물 (또는 "착물") (본원에서 (알킬-아렌)2Mo로 또한 지칭됨)은 비스(η6-아렌)몰리브데넘 착물로 또한 공지된 부류의 화합물이며, 이것은 착물의 아릴 (예를 들어, 벤젠) 기에 알킬 치환기를 갖는 화합물을 포함한다. 이들 착물의 예는, 다른 기술 중에서도, 비스(η6-벤젠)몰리브데넘의 아렌 리간드의 복분해를 포함하는, 공지된 방법에 의해 합성될 수 있다. 참조: Synthesis of Bis(η 6 -alkylbenzene)molybdenum by Arene Metathesis, Victor S. Asirvatham and Michael T. Ashby, Organometallics, 2001, 20(8), pp 1687-1688, DOI: 10.1021/om001010b, Publication Date (Web): March 15, 2001.
이들 전구체 화합물은 몰리브데넘 원자의 제1 면의 몰리브데넘 원자와 관련된 제1 치환된 아릴 기 (예를 들어, 알킬 벤젠), 및 동일한 몰리브데넘 원자의 제2 면의 몰리브데넘 원자와 관련된 제2 치환된 아릴 기 (예를 들어, 알킬벤젠)를 포함하는 구조를 갖는 것으로 표현될 수 있다. 화합물, 또는 착물은 2개의 알킬-치환된 아렌 화합물에 의해 반대쪽 면에 둘러싸인 단일 몰리브데넘 원자를 포함한다. 2개의 치환된 아렌 화합물 사이에 위치한 하나의 몰리브데넘 원자를 갖는 화합물은 때때로 "샌드위치" 구조로 지칭된다:
아렌(R)-Mo-아렌(R)
각각의 아렌 화합물은 동일하거나 또는 상이한 알킬 기 R로 치환될 수 있다. 각각의 알킬 기 (R)는, 예를 들어, 독립적으로 메틸, 에틸, 프로필, 부틸 등일 수 있고, 분지형 또는 직선형일 수 있다. 하나의 예시 전구체는 비스(에틸-벤젠) 몰리브데넘 (즉, (EtBz)2Mo)이다:
Figure pct00001
이 화합물은 기재된 바와 같은 전구체로서 유용한 (즉, 순도를 갖는) 양 및 형태로 상업적으로 입수가능하다.
현재-바람직한 특정 예시 방법에서, 전구체는 기판 상에 증착되어 몰리브데넘 카바이드의 시드 층을 형성할 수 있다. 시드 층은 몰리브데넘, 예를 들어, 몰리브데넘 카바이드를 함유하고, 기판 상에 벌크, 금속, 전도성 몰리브데넘 층의 후속 증착을 용이하게 하는데 효과적인 층이다. 시드 층은 벌크 몰리브데넘 물질 (예를 들어, 원소 (금속) 몰리브데넘)이 증착될 기판의 전체 표면에 걸쳐 연속적이어야 하고, 몰리브데넘의 벌크 증착의 후속 단계를 허용하여 기판의 전체 표면을 덮거나 또는 충전해야 하고, 바람직하게는 시드 층의 부재하의 아래에 놓인 기판 상의 벌크 몰리브데넘의 증착에 비해, 더 낮은 증착 온도에서, 또는 더 양호한 품질을 가지고 핵생성 및 커버리지를 가능하게 해야 한다.
바람직한 시드 층은 5 내지 100 옹스트롬, 예를 들어, 5 또는 6 옹스트롬 내지 30, 40 또는 50 옹스트롬의 두께를 가질 수 있다.
바람직한 몰리브데넘 카바이드 시드 층은 1:99 내지 60:40, 예를 들어, 4:96 내지 40:60 (탄소:몰리브데넘) 범위의 원자비로 몰리브데넘 및 탄소를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어질 수 있다. 탄소 및 몰리브데넘으로 본질적으로 이루어진 시드 층은 탄소 및 몰리브데넘 이외의 임의의 물질을 1 퍼센트 (원자) 이하, 예를 들어, 0.5, 0.1, 또는 0.01 퍼센트 (원자) 이하로 함유하는 시드 층을 지칭한다. 몰리브데넘 카바이드 시드 층은 금속 몰리브데넘과 달리, Mo2C, MoC, 또는 둘 다의 형태로 상당한 양의 몰리브데넘 및 탄소를 함유할 것이다.
몰리브데넘 카바이드 시드 층이 증착된 기판은, 하나의 특정 예로서, 티타늄 니트라이드 표면을 갖는 3-차원 기판을 포함하여, 예를 들어, 본원에 기재된 바와 같은 임의의 기판일 수 있다.
기재된 바와 같은 방법은 사용 동안, 실질적으로 기체상 전구체, 임의적인 캐리어 기체, 추가의 불활성 기체, 및 하나 이상의 반응물 기체만을 함유하는 증착 챔버에서 수행될 수 있고, 예를 들어, 증착 챔버의 내부에는 기체상 전구체, 임의적인 캐리어 기체, 및 반응물 기체를 포함하거나, 이들로 이루어지거나, 또는 이들로 본질적으로 이루어진 분위기가 공급되고 이를 함유할 수 있다. 본 개시내용의 목적을 위해, 증착 챔버 또는 관련 기체 유동 또는 기체상 물질의 특정 조합 (예를 들어, 전구체 증기, 임의적인 캐리어 기체, 및 반응물 기체)으로 본질적으로 이루어진 기체 유동의 조합은 기체상 물질의 특정 조합 및 불충분한 양 이하의 임의의 다른 기체상 물질, 예를 들어, 5, 2, 1, 0.5, 0.1, 0.05 퍼센트 (질량 기준) 이하의 임의의 다른 기체상 물질을 함유하는 것으로 간주된다.
기체상 전구체 (별칭, 전구체 증기)의 양 및 증착 챔버에 공급된 반응물 기체의 양은 기판 표면 상에 원하는 양 및 조성의 몰리브데넘을, 예를 들어, 몰리브데넘 카바이드로서 증착시키는데 유용할 각각의 양일 수 있다. 2개의 기체의 양 및 상대적인 양은, 이들 각각의 유량 면에서, 원하는 형태 및 조성의 증착된 시드 층, 기판의 성질 (예를 들어, 형상), 원하는 증착 속도, 기판 온도, 증착 챔버의 크기 (부피), 및 증착 챔버의 내부 압력을 포함하는 인자에 기초하여 선택될 수 있다.
유용한 것으로 확인된 특정 방법의 비제한적인 예에 따르면, 몰리브데넘 전구체의 유량은 분당 2 내지 20 마이크로몰의 범위일 수 있고, 이 유량은 2,000 내지 20,000 입방 센티미터 범위의 부피를 갖고, 10 내지 50 Torr 범위의 내부 압력에서 작동하는 증착 챔버를 기준으로 한다. 이들 값과 다른 파라미터와 일관되게, 전구체 증기는 임의의 유용한 또는 원하는 농도로, 예컨대 80 내지 25,000 백만분율 (ppm) 범위에서 기재된 바와 같은 캐리어 기체에 포함될 수 있다.
유용한 것으로 확인된 특정 방법의 비제한적인 예에 따르면, 반응물 기체, 예를 들어, 수소의 유량은 분당 8,000 내지 25,000 마이크로몰의 범위일 수 있고, 이 유량은 2,000 내지 20,000 입방 센티미터 범위의 부피를 갖고, 10 내지 50 Torr 범위의 내부 압력에서 작동하는 증착 챔버를 기준으로 한다.
증착 챔버의 내부 압력은 시드 층으로서 몰리브데넘의 증착에 효과적인 것일 수 있다. 전형적으로, 화학 증착에 사용되는 증착 챔버는 주위 압력 미만, 예를 들어, 760 torr 미만 또는 훨씬 미만인 압력에서 작동한다. 몰리브데넘 카바이드 시드 층을 증착하기 위한, 본 설명의 유용한 또는 바람직한 방법은 실질적으로 대기압 미만, 예컨대 약 200 Torr 미만인 증착 챔버 압력, 예를 들어, 50, 80, 또는 100 Torr 이하, 예컨대 5, 10, 또는 15 내지 최대 20, 30, 40, 또는 50 Torr 범위인 압력으로 수행될 수 있다.
기판은, 증착 동안, 기판 상에 몰리브데넘 카바이드를 시드 층으로서 증착하기에 효과적인 임의의 온도에서 유지될 수 있다. 특정 예시 방법에 따르면, 몰리브데넘은 바람직하게 또는 유리하게 낮은 증착 온도의 사용에 의해 몰리브데넘 카바이드 시드 층으로서 기판 상에 증착될 수 있다. 유용한 또는 바람직한 기판 온도의 예는 몰리브데넘 카바이드 시드 층을 증착하는 단계 동안, 150℃ 내지 400℃의 범위, 또는 200℃ 내지 300℃의 범위, 바람직하게는 250℃ 또는 270℃ 이하의 온도일 수 있다.
출원인은 비스(알킬-아렌) 몰리브데넘 전구체, 예를 들어, 비스(에틸-벤젠) 몰리브데넘을 사용하여 증착된 몰리브데넘 카바이드 시드 층의 존재가, 시드 층 상에 원소 몰리브데넘을 증착하는 단계 동안 기판의 유용한 또는 유리한 추가 처리를 허용한다고 결정하였다. 구체적 방법에서, 비스(알킬-아렌) 몰리브데넘 전구체, 예를 들어, 비스(에틸-벤젠) 몰리브데넘을 사용하여 증착된 시드 층 상에 원소 몰리브데넘을 증착하는 단계는 바람직하게 또는 유리하게 저온에서 수행될 수 있다. 결과적으로, 원소 몰리브데넘의 증착된 층은, 낮은 저항률 및 양호한 균일성을 포함하여, 원소 몰리브데넘 구조의 다른 원하는 기능적 특성과 조합하여 3-차원 기판 표면 상에 증착될 경우 바람직한 또는 유리한 컨포멀성을 가질 수 있다.
따라서, 비스(에틸-벤젠) 몰리브데넘 (예를 들어, (EtBz)2Mo)을 함유하는 전구체를 사용하여 몰리브데넘 카바이드 시드 층을 증착시키기 위한 기재된 바와 같은 방법에 따르면, 시드 층이 기판 상에 증착된 후, 원소 몰리브데넘은 시드 층 상에 증착될 수 있다. 용어 원소 몰리브데넘은 금속 구조를 갖는 몰리브데넘을 지칭하고; 원소 (금속) 몰리브데넘은 전도성이지만 탄소와 같은 비-몰리브데넘을, 예를 들어, 5, 3, 2, 또는 1 원자 퍼센트 이하로 포함할 수 있다.
원소 몰리브데넘은 임의의 몰리브데넘 전구체, 예컨대 비스(에틸-아렌) 몰리브데넘 전구체 (예를 들어, (EtBz)2Mo), 또는 몰리브데넘을 증착시키는데 유용한 것으로 밝혀진 또 다른 몰리브데넘 전구체의 사용에 의해 증착될 수 있다. 다른 전구체의 예는 몰리브데넘 할라이드 및 몰리브데넘 옥시할라이드 전구체, 예를 들어, 몰리브데넘 펜타클로라이드 (MoCl5), 몰리브데넘 옥시테트라클로라이드 (MoOCl4), 및 몰리브데넘 헥사플루오라이드 (MoF6)를 포함한다. 할라이드 및 옥시할라이드 전구체는 매우 낮은 저항률을 갖는 매우 고순도 금속 몰리브데넘 막을 증착하는데 특히 유용한 것으로 밝혀졌다. 그러나, TiN 또는 다른 원하는 기판 표면 상의 Mo 금속 막의 핵생성은 잠재적으로 제한될 수 있다. 따라서, 기재된 바와 같은 시드 층은 몰리브데넘 할라이드 또는 옥시할라이드 전구체의 사용에 의해 원소 몰리브데넘의 개선된 증착을 허용하기 위한 수단으로서 효과적일 수 있다.
증착된 원소 몰리브데넘은 금속 형태로 가질 수 있고, 고순도 또는 매우 고순도, 예를 들어, 적어도 95, 98, 99, 99.5, 99.9, 또는 99.99 퍼센트 (원자) 몰리브데넘, 또는 그 초과를 가질 수 있다. 증착된 원소 몰리브데넘의 층에서의 비-몰리브데넘 물질, 즉, 일반적인 불순물의 양은 바람직하게는 모든 이러한 비-몰리브데넘 불순물에 대해 5, 2, 1, 0.5, 0.1, 또는 0.01 퍼센트 (원자) 미만일 수 있다. 수소, 염소, 산소, 질소, 탄소, 및 플루오린과 같은 특정 불순물은 바람직하게는 (수소 및 염소의 경우) 증착된 몰리브데넘의 입방 센티미터당 1x1020개 원자 미만, 예를 들어, (산소 및 탄소의 경우) 증착된 몰리브데넘의 입방 센티미터당 1x1021개 원자 미만인 수준으로 존재할 수 있다.
예시 방법은 비스(알킬-아렌) 몰리브데넘 전구체로부터 적용된, 기재된 바와 같은 몰리브데넘 카바이드 시드 층 상에, 몰리브데넘 할라이드 또는 몰리브데넘 옥시할라이드 전구체, 예를 들어, 몰리브데넘 펜타클로라이드 (MoCl5), 몰리브데넘 옥시테트라클로라이드 (MoOCl4), 및 몰리브데넘 헥사플루오라이드 (MoF6)의 사용에 의해 원소 (금속) 몰리브데넘을 증착시킬 수 있다. 원소 (금속) 몰리브데넘은 800, 700, 또는 500℃ 미만, 예를 들어, 450℃ 미만 또는 400℃ 미만인 증착 온도 (즉, 기판 온도)에서, 몰리브데넘 할라이드 또는 몰리브데넘 옥시할라이드 전구체의 사용에 의해 적용될 수 있다.
증착된 원소 몰리브데넘의 층은 40 나노미터의 두께를 갖는 몰리브데넘 막의 경우, 낮은 저항률, 예컨대 20 μΩㆍcm 이하, 또는 15 μΩㆍcm 이하의 저항률을 가질 수 있다.
증착된 원소 몰리브데넘의 층은 임의의 원하는 두께, 예를 들어, 30 내지 500 옹스트롬, 또는 40 내지 400 옹스트롬 범위의 두께를 가질 수 있다.
기재된 방법의 다양한 예시 실시양태에서, 몰리브데넘은 몰리브데넘이 몰리브데넘 카바이드 배리어 층으로서 증착되게 하는 조건 하에, 캐리어 기체 및 반응물 기체로서 수소의 존재 하에 기판 표면을 비스(에틸-벤젠) 몰리브데넘과 접촉시킴으로써, 티타늄 니트라이드를 함유하는 기판의 3-차원 (예를 들어, 고 종횡비) 표면 상에 시드 층으로서 증착된다. 시드 층의 증착 동안 증착 온도, 즉, 증착 동안 기판의 온도는 약 300, 270, 또는 250℃ 미만일 수 있다.
다음 단계에서, 몰리브데넘은 MoOCl4, MoOCl5, 또는 MoF6와 같은 전구체를 사용하는 증착 공정에 의해, 시드 층 위의 기판 표면 상에 증착된다. 유리하게, 비스(에틸-벤젠) 몰리브데넘으로부터 유래된 시드 층 상에 원소 몰리브데넘을 증착하는 단계는 바람직하게 낮은 공정 (기판) 온도 및 유용한 증착 속도에서, 원하는 또는 유리한 결과 (예를 들어, 원소 몰리브데넘의 컨포멀성)로 수행될 수 있다.
도 1은, 예를 들어, 전구체로서 비스(에틸-벤젠) 몰리브데넘의 사용에 의해, 핵생성 층이 없는 TiN의 표면을 갖는 하나의 기판, 티타늄 니트라이드 상에 B2H6 전구체로 증착된 핵생성 층으로서 붕소 (B)의 표면을 갖는 하나의 기판, 및 시드 층으로서 티타늄 니트라이드 위에 증착된 몰리브데넘 카바이드의 표면을 갖는 하나의 기판인, 3개의 기판 상에 원소 몰리브데넘을 증착하는 단계의 증착 속도의 비교를 나타낸다. 비스(에틸-벤젠) 몰리브데넘 전구체의 사용에 의해 증착된 몰리브데넘 카바이드 시드 층을 포함하는 기판은 TiN의 표면을 갖는 기판에 비해, 그리고 핵생성 층으로서 붕소의 표면을 갖는 기판에 비해 비교적 낮은 온도에서 원소 몰리브데넘의 효과적인 증착 속도를 허용하였다.
도 2는 다양한 증착 속도를 초래하는, 다양한 압력 및 온도 조건에서, 티타늄 니트라이드 표면을 갖는 기판 상에 시드 층으로서 몰리브데넘 카바이드를 증착시키는 공정의 예를 나타낸다. 10 내지 30 Torr의 압력, 및 200 내지 300℃의 온도가 효과적인 것으로 나타났고, 30 Torr 및 200℃의 조합은 컨포멀 몰리브데넘 카바이드 시드 층 증착에 특히 유용하다. 저온에서 증착된 막은 특히 양호한 컨포멀성을 가졌다. 더 고온에서 증착된 막은 동일한 유리한 컨포멀성을 나타내지 않았지만, 전체 표면을 덮는 것이 덜 어려운 덜 복잡한 구조에서 시드 층으로서 여전히 유용할 수 있다.
일반적으로, 본원에 기재된 바와 같이, 비스(알킬-아렌) 몰리브데넘 화합물, 예를 들어, (EtBz)2Mo)을 사용하여 증착된 몰리브데넘은 임의의 원하는 기판 표면, 예컨대 반도체 또는 마이크로전자 디바이스 기판의 표면 상에 증착될 수 있고, 디바이스의 일부분으로서 임의의 유용한 기능을 수행하거나, 또는 디바이스의 처리를 용이하게 하도록 적응될 수 있다. 기재된 바와 같은 전구체를 사용하여 증착된 몰리브데넘의 기능의 예는 특히, 핵생성 또는 "시드" 층으로서, 배리어 층으로서, 또는 전도성 층으로서 (예를 들어, 인터커넥트 또는 "비아"로서)를 포함한다. 증착된 몰리브데넘은 원하는 기능을 수행하는데 효과적인 조성 및 두께와 같은 특징을 가질 수 있다.
기재된 바와 같은 전구체를 사용하여 몰리브데넘이, 다양한 형태로, 증착될 수 있는 기판 및 표면의 예는 임의의 2-차원 또는 3-차원 구조를 포함하고, 특정 예는 DRAM 디바이스, 3D NAND 디바이스, 또는 고 종횡비를 갖는 3-차원 표면을 갖는 또 다른 디바이스와 같은 마이크로전자 디바이스 기판을 포함한다. 특정 예에서, 몰리브데넘은 본원에 기재된 바와 같은 전구체의 사용에 의해, 시드 층으로서 3-차원 표면 (예를 들어, 티타늄 니트라이드로 코팅됨) 위에 증착될 수 있고, 이어서 임의의 전구체를 사용하여, 시드 층 위에 원소 원소 몰리브데넘 증착이 이루어질 수 있다. 기판은 비아와 같은 고 종횡비 개구를 포함할 수 있고, 여기서 몰리브데넘 카바이드 시드 층이 먼저 적용되고, 이어서 원소 몰리브데넘으로 개구를 충전하는 것이 이루어진다. 개구는 예를 들어 2:1 내지 200:1, 예를 들어, 5:1 내지 100:1, 또는 20:1 내지 30:1 범위의 깊이 대 측면 치수의 종횡비를 가질 수 있다. 대안적으로, 3D NAND 디바이스 기판의 표면은 웰에 의해 분리된 티타늄-니트라이드-코팅된 수직 벽을 포함할 수 있고, 상기 벽은 수평으로-연장된 리브 및 포켓을 포함하고, 방법은 리브 및 포켓의 티타늄 니트라이드 표면 위에 몰리브데넘 카바이드 시드 층의 균일하고 컨포멀한 층 (또는 "막")을 형성하고, 이어서 시드 층 위에 원소 몰리브데넘을 증착시키는 것을 포함할 수 있다. 특정 예시 방법에 의해, 원소 몰리브데넘은 MoOCl4, MoOCl5, 또는 MoF6과 같은 전구체를 사용하여 증착되어, 양호한, 유용한, 또는 유리한 컨포멀성을 갖는 원소 몰리브데넘의 층을 제공할 수 있다.
비스(알킬-아렌) 몰리브데넘 전구체로부터 기판 표면 상에 시드 층으로서 몰리브데넘 카바이드를 증착시키기 위한, 기재된 바와 같은 방법의 특정 유용한 또는 현재 바람직한 실시양태에 따르면, 유용한 (비제한적인) 공정 파라미터는 다음을 포함한다 (일반적으로 본원에 기재된 바와 같은 방법은 전체 공정 특징에 따라, 명시된 범위 밖에 있는 이들 파라미터의 값에서 작동할 때 사용되는 것이 가능할 수 있기 때문에, 특정된 값은 비제한적이라고 한다):
증착 챔버로 통과하는 몰리브데넘 전구체 증기의 온도: 100 내지 140℃;
기판의 온도: 300℃ 미만, 예를 들어, 200 내지 250 또는 270℃;
증착 단계 동안 증착 챔버 압력: 10 내지 50, 예를 들어, 10 내지 20 Torr;
전구체-캐리어 기체 혼합물의 유량: 20 내지 100 sccm (분당 표준 입방 센티미터;
반응물 기체 (예를 들어, 수소)의 유량: 100 내지 1,000 sccm.
이들 예시 방법에서, 시드 층을 증착시킨 후, 원소 몰리브데넘은 MoOCl4, MoOCl5, 또는 MoF6과 같은 전구체를 사용하여 시드 층 상에 증착될 수 있다. 유용한 (비제한적인) 공정 파라미터의 예는 다음을 포함한다 (일반적으로 본원에 기재된 바와 같은 방법은 전체 공정 특징에 따라, 명시된 범위 밖에 있는 이들 파라미터의 값에서 작동할 때 사용되는 것이 가능할 수 있기 때문에, 특정된 값은 비제한적이라고 한다):
증착 챔버로 통과하는 몰리브데넘 전구체의 온도: 30 내지 100℃;
스테이지 (기판)의 온도: 300 내지 800℃;
증착 단계 동안 증착 챔버 압력: 10 내지 100, 예를 들어, 20 내지 80 Torr;
전구체-캐리어 기체 혼합물의 유량: 20 내지 1000 sccm;
반응물 기체 (예를 들어, 수소)의 유량: 500 내지 5,000 sccm.

Claims (19)

  1. 기판 상에 몰리브데넘-함유 물질을 형성하는 방법이며, 증착 조건 하에 기판을 비스(알킬-아렌) 몰리브데넘 증기와 접촉시켜 기판 상에 몰리브데넘-함유 물질을 증착시키는 것을 포함하는 방법.
  2. 제1항에 있어서, 비스(알킬-아렌) 몰리브데넘이 비스(에틸-벤젠) 몰리브데넘 ((EtBz)2Mo)인 방법.
  3. 제1항에 있어서, 몰리브데넘-함유 물질이 몰리브데넘 카바이드인 방법.
  4. 제3항에 있어서, 300℃ 이하의 기판 온도에서 화학 증착에 의해 기판 상에 몰리브데넘 카바이드를 증착시키는 것을 포함하는 방법.
  5. 제3항에 있어서, 10 내지 50 Torr의 내부 압력을 갖는 증착 챔버에서 기판 상에 몰리브데넘 카바이드를 증착시키는 것을 포함하는 방법.
  6. 제3항에 있어서, 6 내지 100 옹스트롬 범위의 두께를 갖는 시드 층으로서 몰리브데넘 카바이드를 증착시키는 것을 포함하는 방법.
  7. 제3항에 있어서, 몰리브데넘 카바이드가 탄소 및 몰리브데넘을 1:99 내지 60:40 (탄소:몰리브데넘)의 원자비로 포함하는 것인 방법.
  8. 제3항에 있어서, 몰리브데넘 카바이드가 티타늄 니트라이드를 포함하는 기판의 표면 상에 증착되는 것인 방법.
  9. 제3항에 있어서, 몰리브데넘 카바이드가 기판의 3-차원 표면 상에 증착되는 것인 방법.
  10. 제9항에 있어서, 3-차원 표면은 웰에 의해 분리된 수직 벽을 포함하는 3D NAND 디바이스의 특징이고, 상기 벽은 수평으로-연장된 리브 및 포켓을 포함하며,
    리브 및 포켓의 표면 위에 몰리브데넘의 층을 증착시키는 것을 포함하는 방법.
  11. 제9항에 있어서, 3-차원 표면이 2:1 내지 200:1 범위의 깊이 대 측면 치수의 종횡비를 갖는 개구를 포함하는 것인 방법.
  12. 제3항에 있어서, 몰리브데넘 카바이드 상에 금속 몰리브데넘을 증착시키는 것을 포함하는 방법.
  13. 제12항에 있어서, 금속 몰리브데넘이 몰리브데넘 할라이드 전구체 또는 몰리브데넘 옥시할라이드 전구체로부터 유래되는 것인 방법.
  14. 제12항에 있어서, 금속 몰리브데넘이 몰리브데넘 펜타클로라이드 (MoCl5), 몰리브데넘 옥시테트라클로라이드 (MoOCl4) 및 몰리브데넘 헥사플루오라이드 (MoF6)로부터 선택된 전구체로부터 유래되는 것인 방법.
  15. 제12항에 있어서, 몰리브데넘 카바이드를 포함하지 않는 기판 상에 원소 몰리브데넘을 증착시키는데 필요한 온도보다 적어도 50℃ 낮은 기판 온도에서 화학 증착에 의해 기판 상에 금속 몰리브데넘을 증착시키는 것을 포함하는 방법.
  16. 제12항에 있어서, 금속 몰리브데넘이 적어도 50 옹스트롬의 두께를 갖는 것인 방법.
  17. 제1항에 있어서, 몰리브데넘-함유 물질이 금속 몰리브데넘인 방법.
  18. 제17항에 있어서, 적어도 50 옹스트롬의 두께로 원소 몰리브데넘을 증착시키는 것을 포함하는 방법.
  19. 비스(알킬-아렌) 몰리브데넘 전구체로부터 유래된, 티타늄 니트라이드 상에 증착된 몰리브데넘 카바이드 시드 층, 및
    몰리브데넘 할라이드 전구체 또는 몰리브데넘 옥시할라이드 전구체로부터 유래된, 시드 층 상에 증착된 금속 몰리브데넘
    을 포함하는 기판.
KR1020207020459A 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착 KR20200091469A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227046171A KR102622565B1 (ko) 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862619363P 2018-01-19 2018-01-19
US62/619,363 2018-01-19
PCT/US2019/013697 WO2019143632A1 (en) 2018-01-19 2019-01-15 Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227046171A Division KR102622565B1 (ko) 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착

Publications (1)

Publication Number Publication Date
KR20200091469A true KR20200091469A (ko) 2020-07-30

Family

ID=67299159

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227046171A KR102622565B1 (ko) 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착
KR1020207020459A KR20200091469A (ko) 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227046171A KR102622565B1 (ko) 2018-01-19 2019-01-15 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착

Country Status (7)

Country Link
US (2) US11560625B2 (ko)
EP (1) EP3740600A4 (ko)
JP (1) JP7203111B2 (ko)
KR (2) KR102622565B1 (ko)
CN (1) CN111630204B (ko)
TW (2) TWI748153B (ko)
WO (1) WO2019143632A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023121383A1 (ko) * 2021-12-24 2023-06-29 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
CN113169056A (zh) 2018-11-19 2021-07-23 朗姆研究公司 用于钨的钼模板
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11594490B2 (en) * 2021-01-22 2023-02-28 Sandisk Technologies Llc Three-dimensional memory device including molybdenum carbide or carbonitride liners and methods of forming the same
KR20230148733A (ko) * 2021-02-23 2023-10-25 램 리써치 코포레이션 유전체 표면들 상의 몰리브덴에 비-금속 혼입
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
US20220372053A1 (en) * 2021-05-21 2022-11-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
KR20230002069A (ko) 2021-06-29 2023-01-05 에이에스엠 아이피 홀딩 비.브이. 전이금속 질화물 증착 방법
WO2023154404A1 (en) * 2022-02-11 2023-08-17 Entegris, Inc. Organometallic precursors and related methods
WO2023171489A1 (ja) * 2022-03-07 2023-09-14 株式会社Adeka 原子層堆積法用薄膜形成用原料、薄膜及び薄膜の製造方法
WO2024030729A1 (en) 2022-08-05 2024-02-08 Versum Materials Us, Llc Liquid molybdenum bis(arene) compositions for deposition of molybdenum-containing films
WO2024054387A1 (en) * 2022-09-08 2024-03-14 Entegris, Inc. Bis (arene) metal complexes and related methods
US20240279804A1 (en) * 2023-02-10 2024-08-22 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100850293B1 (ko) 2001-06-06 2008-08-04 니치아 카가쿠 고교 가부시키가이샤 벌크 단결정 갈륨함유 질화물을 얻기 위한 방법 및 장치
US7141117B2 (en) 2004-02-04 2006-11-28 Matsushita Electric Industrial Co., Ltd. Method of fixing seed crystal and method of manufacturing single crystal using the same
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7547464B2 (en) 2005-01-19 2009-06-16 Praxair Technology, Inc. Organometallic precursor compounds
KR100829601B1 (ko) 2006-09-27 2008-05-14 삼성전자주식회사 칼코겐 화합물 타겟, 이의 제조 방법 및 상변화 메모리장치의 제조 방법
JP5873494B2 (ja) 2010-08-27 2016-03-01 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデン(iv)アミド前駆体及び原子層堆積法におけるそれらの使用
US20120219824A1 (en) * 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US9695207B2 (en) 2012-11-19 2017-07-04 Adeka Corporation Method for producing thin film containing molybdenum
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
KR101621470B1 (ko) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US10022709B2 (en) 2013-08-06 2018-07-17 Massachusetts Institute Of Technology Process for the production of non-sintered transition metal carbide and nitride nanoparticles
KR20150075049A (ko) 2013-12-24 2015-07-02 주식회사 유피케미칼 구리 금속 필름 및 이의 제조 방법, 및 이를 이용한 반도체 소자용 구리 배선의 형성 방법
JP2016190762A (ja) * 2015-03-31 2016-11-10 株式会社フジクラ 窒化アルミニウム単結晶の製造装置
US20180312966A1 (en) * 2015-10-23 2018-11-01 Applied Materials, Inc. Methods For Spatial Metal Atomic Layer Deposition
FR3045673B1 (fr) 2015-12-18 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de depot d'un revetement par dli-mocvd avec recyclage du compose precurseur
US10062568B2 (en) 2016-05-13 2018-08-28 Nanoco Technologies, Ltd. Chemical vapor deposition method for fabricating two-dimensional materials
US9929045B2 (en) 2016-07-14 2018-03-27 Taiwan Semiconductor Manufacturing Company Ltd. Defect inspection and repairing method and associated system and non-transitory computer readable medium
KR102266610B1 (ko) 2016-07-14 2021-06-21 엔테그리스, 아이엔씨. MoOCl4의 사용에 의한 CVD Mo 증착
US11056344B2 (en) * 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023121383A1 (ko) * 2021-12-24 2023-06-29 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법
KR20230098067A (ko) 2021-12-24 2023-07-03 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법
KR20240042398A (ko) 2021-12-24 2024-04-02 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 막의 증착 방법

Also Published As

Publication number Publication date
TW202212610A (zh) 2022-04-01
JP2021510770A (ja) 2021-04-30
KR102622565B1 (ko) 2024-01-10
TW201932637A (zh) 2019-08-16
TWI830083B (zh) 2024-01-21
CN111630204A (zh) 2020-09-04
KR20230008894A (ko) 2023-01-16
TWI748153B (zh) 2021-12-01
EP3740600A1 (en) 2020-11-25
JP7203111B2 (ja) 2023-01-12
WO2019143632A1 (en) 2019-07-25
CN111630204B (zh) 2023-05-23
US20230128330A1 (en) 2023-04-27
US20190226086A1 (en) 2019-07-25
US11560625B2 (en) 2023-01-24
EP3740600A4 (en) 2022-01-26

Similar Documents

Publication Publication Date Title
KR102622565B1 (ko) 비스(알킬-아렌) 몰리브데넘 전구체를 사용한 몰리브데넘의 증착
JP7411021B2 (ja) レニウム含有薄膜の原子層堆積
CN111032908B (zh) 用于选择性膜生长的原子层沉积方法
US9850575B1 (en) ALD of metal-containing films using cyclopentadienly compounds
US6482262B1 (en) Deposition of transition metal carbides
US6863727B1 (en) Method of depositing transition metal nitride thin films
JP2019510877A (ja) モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着
WO2001029280A1 (en) Deposition of transition metal carbides
EP3510038B1 (en) Metal complexes containing allyl ligands
US7985450B2 (en) Method for thin film vapor deposition of a dialkyl amido dihydroaluminum compound
US9085823B2 (en) Method of forming a tantalum-containing layer on a substrate
KR20210154739A (ko) 전이금속 디칼코지나이드 박막의 원자층 증착 및 식각
JP2023545471A (ja) 阻害剤分子を使用する高アスペクト比構造のための堆積方法
JP2003524888A (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
WO2019209289A1 (en) Low temperature molybdenum film depositon utilizing boron nucleation layers
JP7425744B2 (ja) ホウ素核形成層を利用した低温モリブデン膜堆積
TW202402774A (zh) 供化學氣相沉積(cvd)及原子層沉積(ald)應用之具有磷基配位體之金屬羰基錯合物
KR20240125642A (ko) 산화비스무트 함유 박막을 위한 알킬 및 아릴 헤테로렙틱 비스무트 전구체
TW202406923A (zh) 用於沉積含鉬薄膜的液態二(芳烴)鉬組合物

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination