KR20200038563A - 챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ald 코팅 - Google Patents

챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ald 코팅 Download PDF

Info

Publication number
KR20200038563A
KR20200038563A KR1020207009955A KR20207009955A KR20200038563A KR 20200038563 A KR20200038563 A KR 20200038563A KR 1020207009955 A KR1020207009955 A KR 1020207009955A KR 20207009955 A KR20207009955 A KR 20207009955A KR 20200038563 A KR20200038563 A KR 20200038563A
Authority
KR
South Korea
Prior art keywords
rare earth
fluorine
layer
coating
ald
Prior art date
Application number
KR1020207009955A
Other languages
English (en)
Other versions
KR102201932B1 (ko
Inventor
샤오웨이 우
데이비드 펜윅
제니퍼 와이. 선
마이클 알. 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/903,091 external-priority patent/US20190078200A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200038563A publication Critical patent/KR20200038563A/ko
Application granted granted Critical
Publication of KR102201932B1 publication Critical patent/KR102201932B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

물품이 코팅을 갖는 바디를 포함한다. 코팅은 물품이 노출될 수 있는 후속 가공에 맞춤화되는 O/F 몰비를 갖는 M-O-F 코팅을 포함한다.

Description

챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ALD 코팅
기술 분야
본 개시의 구체예들은, 일반적으로 목표 불소 농도로 또는 목표 O/F 몰비로 M-O-F 층들 및 코팅들을 형성하는 방법들에 관한 것이다. 구체예들은 추가로 균일한 불소 농도 또는 O/F 몰비를 갖는, M-O-F 층들의 코팅 조성들 및 코팅들, 및 다양한 불소 농도 프로파일을 갖거나 다양한 O/F 몰비 프로파일들을 갖는 M-O-F 층들 및 코팅들에 관한 것이다.
배경
다양한 제조 공정들은 챔버 구성요소들 및 이들의 코팅 재료들을 고온들, 고 에너지 플라즈마, 부식성 가스들의 혼합물, 높은 응력 및 이들의 조합들에 노출시킨다. 희토류 산화물들은 다양한 제조 공정들 중에 존재하는 극한 조건들에 대한 이들의 저항으로 인해 공정 챔버 구성요소 코팅들에 자주 사용된다.
불소 함유 챔버 공정들에 대한 희토류 산화물 코팅들의 노출은 희토류 산화물 코팅, 챔버 구성요소들, 및 챔버에서 가공되는 웨이퍼들에 바람직하지 않은 영향들을 초래할 수 있다. 불소 함유 챔버 공정들 동안, 불소는 희토류 산화물 코팅들로 제어 불가능하게 확산 및/또는 이들과 반응하여 희토류 산화물 코팅을 손상시킨다.
희토류 산화물 코팅들로의 불소 확산 및/또는 이들과의 반응으로 인한 바람직하지 않은 영향들은 원자층 증착(atomic layer deposition)(ALD)으로 얻은 것들과 같은 얇은 코팅들로 증폭될 수 있다. 불소는 ALD 코팅의 전체 두께로 확산 및/또는 이와 반응하고(플라즈마 분무 코팅과 비교하여 그것의 얇은 성질로 인해), 희토류 산화물 코팅과 공정 챔버 구성요소 사이의 계면에 도달할 때까지, 또는 특정 경우들에서, 공정 챔버 구성요소에 도달할 때까지 더 멀리 스며들 수 있다. 불소는 계면을 화학적으로 침입하여 코팅 박리를 일으킬 수 있다.
요약
예시적 구체예에서, 물품은 바디(body) 및 바디 표면 상의 희토류 옥시플루오라이드 코팅을 포함할 수 있다. 희토류 옥시플루오라이드 코팅은 약 1% 미만의 다공도를 가질 수 있다. 희토류 옥시플루오라이드 코팅은 희토류 옥시플루오라이드 코팅에 대해 계산하여, 약 1 mol% 내지 약 40 mol%의 제1 금속 및 약 1 mol% 내지 약 40 mol%의 제2 금속을 포함할 수 있다. 제1 금속 및 제2 금속은 희토류 금속들(예를 들어, 비제한적으로, Y, Gd, Yb, Er), Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 독립적으로 선택될 수 있다. 희토류 옥시플루오라이드 코팅은 제1 금속과 제2 금속의 균질 혼합물을 포함할 수 있다.
예시적 구체예에서, 희토류 옥시플루오라이드 층 또는 코팅을 형성하는 공정은 원자층 증착(ALD) 공정을 통해 물품의 표면 상에 적어도 제1 금속 및 제2 금속을 증착하는 것을 포함할 수 있다. 제1 금속 및 제2 금속은 Y, Gd, Yb, Er, Hf, Zr, Ta, Al, 및 Zr로 이루어진 군으로부터 독립적으로 선택될 수 있다. 공정은 ALD 공정을 통해 산소 및 불소를 제1 금속 및 제2 금속과 반응시켜 제1 금속과 제2 금속의 균질 혼합물을 포함하는 희토류 옥시플루오라이드 코팅을 형성하는 것을 추가로 포함할 수 있다. ALD 공정은 순차 증착(sequential deposition), 공동 증착(co-deposition), 동시 투여(co-dosing), 및 이들의 조합들로 이루어진 군으로부터 선택될 수 있다.
예시적 구체예에서, 물품의 표면 상에 희토류 옥시플루오라이드 층 또는 코팅을 형성하는 공정은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, x회의 ALD 사이클들의 각각의 ALD 사이클은 순차 증착, 공동 증착, 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된 원자층 증착(ALD) 공정을 통해 두 개 이상의 금속 산화물 층들을 증착하는 것을 포함한다. x회의 ALD 사이클들의 각각의 ALD 사이클은 물품을 불소 함유 종에 노출시키는 것을 추가로 포함할 수 있다. x회의 ALD 사이클들의 각각의 ALD 사이클은 두 개 이상의 금속 산화물 층들을 희토류 옥시플루오라이드 층으로 전환시키는 것을 추가로 포함할 수 있다.
본 개시는 유사한 참조번호들이 유사한 요소들을 나타내는 첨부 도면들의 도면들에서 제한하는 방식이 아니라 예로서 예시된다. 본 개시에서 "어느 하나" 또는 "일" 구체예에 대한 상이한 언급들은 반드시 동일한 구체예에 대한 것은 아니며, 이러한 언급들은 적어도 하나를 의미함을 유의해야 한다.
도 1은 가공 챔버의 일 구체예의 단면도를 나타낸 것이다.
도 2a는 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅의 단면도를 나타낸 것이다.
도 2b는 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅의 단면도를 나타낸 것이다.
도 3은 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅을 형성하는 공정을 도시한 것이다.
도 4는 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅을 형성하는 공정을 도시한 것이다.
도 5는 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅을 형성하는 공정을 도시한 것이다.
도 6a는 투과 전자 현미경(transmission electron microscope)(TEM)에 의해 보여지는 바와 같은, 불소 함유 공정으로 실행된 후 Y2O3 코팅을 포함하는 챔버 구성요소의 측단면도를 도시한 것이다.
도 6b는 도 6a의 챔버 구성요소의 재료 조성을 도시한 것이다.
도 7a는 TEM에 의해 보여지는 바와 같은, Y2O3의 제어되지 않은 코팅 후 불소화(post coating fluorination)에 의해 형성된 이트륨 옥시플루오라이드 코팅을 포함하는 챔버 구성요소의 측단면도를 도시한 것이다.
도 7b는 도 7a의 챔버 구성요소의 재료 조성을 도시한 것이다.
도 8a는 어느 한 구체예 따라 YOF 코팅을 증착하는 예시적 공정을 나타낸 것이다.
도 8b는 어느 한 구체예에 따른 YOF 코팅의 어느 한 TEM 현미경 사진을 나타낸 것이다.
도 8c는 어느 한 구체예에 따른 YOF 코팅의 다른 TEM 현미경 사진을 나타낸 것이다.
도 8d는 어느 한 구체예에 따른 YOF 코팅으로부터 수집된 어느 한 TEM 전자 회절 패턴을 나타낸 것이다.
도 8e은 어느 한 구체예에 따른 YOF 코팅으로부터 수집된 다른 TEM 전자 회절 패턴을 나타낸 것이다.
도 8f는 어느 한 구체예에 따른 YOF 코팅의 재료 조성을 도시한 것이다.
도 8g는 어느 한 구체예에 따른 YOF의 X-선 광전자 분광법(X-ray Photoelectron Spectroscopy)(XPS) 깊이 프로파일을 도시한 것이다.
도 8h는 어느 한 구체예에 따른 YOF에 대한 X-선 회절 상 식별(X-ray Diffraction (XRD) Phase Identification)을 도시한 것이다.
구체예들의 상세한 설명
본원에 기재된 구체예들은 희토류 옥시플루오라이드 층들 및 코팅들, 예컨대 Y-O-F를 포함하는 금속 옥시플루오라이드(M-O-F) 층들 및 코팅들을 형성하는 공정들에 관한 것이다. 금속 옥시플루오라이드 층들은 적어도 하나의 금속을 포함할 수 있다. 예를 들어, 일부 구체예들에서, 금속 옥시플루오라이드 층은 하나의 금속(M1-O-F), 두 개의 금속들(M1-M2-O-F), 세 개의 금속들(M1-M2-M3-O-F), 또는 네 개의 금속들(M1-M2-M3-M4-O-F)을 포함할 수 있다. 구체적으로, 본원에 기재된 구체예들은, 불소 농도 및/또는 산소 대 불소(O/F)의 몰비가, 제1 하단 층에서 최종 상단 층까지 각각의 증착된 층의 산소 대 불소의 몰비를 정밀하게 제어함으로써 희토류 옥시플루오라이드 코팅 두께에 걸쳐 정밀하게 제어될 수 있는 희토류 옥시플루오라이드 코팅을 형성하는 공정들에 관한 것이다. 본원에 기재된 공정들은 챔버 구성요소에 대해 희토류 옥시플루오라이드 코팅을 달성할 수 있으며, 코팅은 특정 챔버 화학 물질을 목표로 하는 맞춤형 불소 농도 및/또는 맞춤형 산소 대 불소 몰비를 포함한다.
일부 구체예들은 본원에서 희토류 기반 산화물들 및/또는 희토류 기반 불화물들과 관련하여 논의된다. 이들 구체예들은 희토류 금속들을 Ta, Al 및 Zr을 포함하나 이로 제한되지 않는 다른 적합한 금속들로 교체함으로써 유사한 결과들로 수정될 수 있음을 이해해야 한다. 따라서, 희토류 금속들은 희토류 기반 불화물들, 희토류 기반 산화물들 및 희토류 기반 옥시플루오라이드들과 관련하여 본원에서 논의된 임의의 구체예들에서 Ta, Al 및 Zr을 포함하나 이로 제한되지 않는 다른 적합한 금속으로 대체될 수 있다. 금속 산화물들 또는 희토류 산화물들의 논의들은 본원에서 M-O로서 언급될 수 있고, 금속 불화물들 또는 희토류 불화물들의 논의들은 본원에서 M-F로서 언급될 수 있고, 금속 옥시플루오라이드들 또는 희토류 옥시플루오라이드들에 대한 논의는 본원에서 M-O-F로서 언급될 수 있다. M에 대한 언급은 단일 금속 M1으로 제한되는 것으로 해석되어서는 안된다. M은 하나 초과의 금속, 예컨대, 비제한적으로 두 개의 금속들(M1-M2), 세 개의 금속들(M1-M2-M3) 및 네 개의 금속들(M1-M2-M3-M4)을 갖는 구체예들을 포함할 수 있다. M1-O-F에 대한 언급은 화학식 M1aObFc를 의미하고, M1-M2-O-F에 대한 언급은 화학식 M1aM2bOcFd를 의미하고, M1-M2-M3-O-F에 대한 언급은 화학식 M1aM2bM3cOdFe를 의미하고, M1-M2-M3-M4-O-F에 대한 언급은 화학식 M1aM2bM3cM4dOeFf를 의미하고(기타 등등), 여기서 a, b, c, d, e, f 등은 정수들 또는 분수 값들일 수 있는 것으로 이해해야 한다.
희토류 옥시플루오라이드 코팅들 및 층들은 불소 기반 플라즈마들에 의한 침식 및 부식에 대해 고도의 저항성을 갖는다. 또한, 희토류 옥시플루오라이드 코팅들 및 층들은 일반적으로 불소 기반 플라즈마들에 의한 불소화에 저항성이 있다. 이들 성질들의 결과로서, 본원에 개시된 희토류 옥시플루오라이드 코팅들 및 층들은 희토류 옥시플루오라이드 코팅으로의 제어되지 않은 불소 확산의 현저한 감소, 코팅 및 기판 손상의 감소, 입자 생성, 표면 열화의 감소, 및 코팅 균열 및 박리의 위험 감소를 제공한다.
얇은 희토류 산화물 원자층 증착(ALD) 코팅들은 코팅들이 불소 기반 화학 물질들에 노출될 때 균열되기 쉬워진다. 균열은 얇은 ALD 코팅을 통한 불소 확산으로 인해 발생할 수 있다. 코팅이 불소에 노출될 때 형성된 불소 농도 구배 뿐만 아니라 M-O가 M-F 또는 M-O-F로 변할 때 발생하는 부피 변화들로 인해 ALD 코팅들을 통해 불소는 특히 확산되기 쉽다. 예를 들어, M-O 코팅이 불소 화학 물질에 노출될 때, 불소는 평형에 도달할 때까지 M-O 코팅을 통해 확산된다. 기판은 코팅보다 상당히 적은 불소를 가질 수 있기 때문에(일부 구체예들에서, 기판이 실질적으로 불소를 갖지 않을 수 있음), 코팅 내로 확산된 불소와 기판의 불소 사이에 불소 농도 구배가 형성될 수 있다. 이 불소 농도 구배는 기판에 도달할 수 있는 추가의 불소 확산을 촉진하여 궁극적으로 박리, 입자 생성 및 균열과 같은 바람직하지 않은 영향들을 초래할 수 있다.
또한, M-O에서 M-F 또는 M-O-F로의 변화는 부피 변화를 동반할 수 있다. 예를 들어, YF3(M-F)는 Y2O3(M-O)의 몰 부피보다 약 60% 더 큰 몰 부피를 갖는다. 구체적으로, YF3의 몰 부피는 36.384 cm3/mol이고 Y2O3의 몰 부피는 약 22.5359 cm3/mol이다. Y-O-F는 Y2O3의 몰 부피와 YF3의 몰 부피 사이의 몰 부피를 갖는다. 따라서, Y2O3가 YF3으로 전환될 때 최대 약 60%의 부피 팽창이 존재한다. 제어되지 않은 불소 확산 동안, 불균일한 부피 변화는 국소 응력 집중을 유발하여 코팅의 균열들 및 박리와 같은 결함들을 생성한다. ALD 코팅이 얇기 때문에, 불소는 ALD 코팅의 전체 두께를 통해 확산될 수 있고, 코팅과 기판 사이의 계면에 도달할 수 있고, 기판에 더 침입하여 박리, 입자 생성 및 균열을 야기할 수 있다.
본원에 개시된 M-O-F 코팅들은 인접한 코팅 층들 사이의 CTE 불일치 및 부피 변화들을 완화함으로써 챔버 생산성을 향상시킬 수 있다.
용어들 "약" 및 "대략"이 본원에 사용될 때, 이들은 제시된 공칭 값이 ±10% 내에서 정확함을 의미하는 것으로 의도된다.
일부 구체예들은 반도체 제조를 위한 챔버 구성요소들 및 다른 물품들을 참조하여 본원에 기재된다. 그러나, 본원에 기재된 물품들은 플라즈마 또는 다른 부식 환경들에 노출되는 다른 구조물들, 예컨대 다른 유형들의 공정들을 위한 디스플레이들 및 챔버 구성요소들을 가공하기 위한 챔버 구성요소들일 수 있음을 이해해야 한다. 본원에서 논의되는 물품들은 가공 챔버들, 예컨대 반도체 가공 챔버들을 위한 챔버 구성요소들일 수 있다. 예를 들어, 물품들은 플라즈마 에쳐(plasma etcher), 플라즈마 클리너(plasma cleaner) 또는 다른 가공 챔버들을 위한 챔버 구성요소들일 수 있다. 본원에 개시된 구체예들로부터 이익을 얻을 수 있는 챔버 구성요소들의 예들은 기판 지지 어셈블리, 정전 척(electrostatic chuck)(ESC), 링(예를 들어, 공정 키트 링 또는 단일 링), 챔버 벽, 베이스(base), 가스 라인, 가스 분배 플레이트, 페이스 플레이트, 샤워헤드, 노즐, 뚜껑, 라이너(liner), 라이너 키트(liner kit), 쉴드, 플라즈마 스크린, 원격 플라즈마 공급원, 플로우 이퀄라이저(flow equalizer), 냉각 베이스, 챔버 뷰포트(chamber viewport), 챔버 뚜껑 등을 포함한다.
또한, 플라즈마 풍부 공정들을 위한 공정 챔버에서 사용되는 경우 감소된 입자 오염을 야기하는 M-O-F 층들 및 코팅들에 관련하여 구체예들이 본원에 기술된다. 그러나, 본원에서 논의되는 M-O-F 층들 및 코팅들은 다른 공정들, 예컨대 비-플라즈마 에쳐들, 비-플라즈마 클리너들, 화학 기상 증착(CVD) 챔버들, 물리 기상 증착(PVD) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD) 챔버들, 플라즈마 강화 물리 기상 증착(PEPVD) 챔버들, 플라즈마 강화 원자층 증착(PEALD) 챔버들 등을 위한 공정 챔버들에서 사용되는 경우에도 또한 감소된 입자 오염을 제공할 수 있음을 이해해야 한다. 추가로, M-O-F 층들 및 코팅들의 형성과 관련하여 본원에서 논의되는 기술들은 가공 챔버들을 위한 챔버 구성요소들 이외의 물품들에도 적용 가능하다.
도 1은 구체예들에 따른 M-O-F 층 또는 코팅을 포함하는 하나 이상의 챔버 구성요소들을 갖는 가공 챔버(100)(예를 들어, 반도체 가공 챔버)의 단면도이다. 가공 챔버(100)는 부식성 플라즈마 환경이 제공되는 공정들에 사용될 수 있다. 예를 들어, 가공 챔버(100)는 플라즈마 에칭 반응기(플라즈마 에쳐로도 알려짐), 플라즈마 클리너 등을 위한 챔버일 수 있다. M-O-F 층 또는 코팅을 포함할 수 있는 챔버 구성요소들의 예들은 기판 지지 어셈블리(148), 정전 척(ESC), 링(예를 들어, 공정 키트 링 또는 단일 링), 챔버 벽, 베이스, 샤워헤드(130), 가스 분배 플레이트, 라이너, 라이너 키트, 쉴드, 플라즈마 스크린, 플로우 이퀄라이저, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 노즐, 공정 키트 링들 등이다.
일 구체예에서, 가공 챔버(100)는 챔버 바디(102), 및 내부 용적(106)을 둘러싸는 샤워헤드(130)를 포함한다. 샤워헤드(130)는 가스 분배 플레이트를 포함하거나 포함하지 않을 수 있다. 예를 들어, 샤워헤드는, 샤워헤드 베이스 및 샤워헤드 베이스에 결합된 샤워헤드 가스 분배 플레이트를 포함하는, 멀티-피스 샤워헤드일 수 있다. 대안적으로, 샤워헤드(130)는 일부 구체예들에서 뚜껑 및 노즐로, 또는 다른 구체예들에서 다수의 파이 형상 샤워헤드 구획들 및 플라즈마 생성 유닛들로 교체될 수 있다. 챔버 바디(102)는 알루미늄, 스테인레스 스틸 또는 다른 적합한 재료로 제조될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108) 및 하단(110)을 포함한다.
챔버 바디(102)를 보호하기 위해 외부 라이너(116)가 측벽들(108)에 인접하여 배치될 수 있다. 외부 라이너(116)는 할로겐-함유 가스 저항 재료, 예컨대 Al2O3 또는 Y2O3일 수 있다.
배기 포트(126)는 챔버 바디(102)에서 규정될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 결합시킬 수 있다. 펌프 시스템(128)은 가공 챔버(100)의 내부 용적(106)의 압력을 배기 및 조절하기 위해 사용되는 하나 이상의 펌프들 및 스로틀 밸브들(throttle valves)을 포함할 수 있다.
샤워헤드(130)는 챔버 바디(102)의 측벽들(108) 및/또는 챔버 바디의 상단부에서 지지될 수 있다. 샤워헤드(130)(또는 뚜껑)는 가공 챔버(100)의 내부 용적(106)에 접근할 수 있도록 개방될 수 있고, 폐쇄되는 동안 가공 챔버(100)를 위한 시일(seal)을 제공할 수 있다. 샤워헤드(130) 또는 뚜껑 및 노즐을 통해 공정 및/또는 클리닝 가스들을 내부 용적(106)에 제공하기 위해 가스 패널(158)이 가공 챔버(100)에 결합될 수 있다. 샤워헤드(130)는 유전체 에칭(유전체 재료들의 에칭)에 사용되는 가공 챔버들에 대해 사용될 수 있다. 샤워헤드(130)는 샤워헤드(130)에 걸쳐 다수의 가스 전달 홀들(132)을 포함한다. 샤워헤드(130)는 알루미늄, 애노드화된 알루미늄, 알루미늄 합금(예를 들어, Al 6061), 또는 애노드화된 알루미늄 합금일 수 있다. 일부 구체예들에서, 샤워헤드는 샤워헤드에 결합된 가스 분배 플레이트(GDP)를 포함한다. GDP는 예를 들어, Si 또는 SiC일 수 있다. GDP는 샤워헤드의 홀들과 정렬되는 다수의 홀들을 추가로 포함할 수 있다.
가공 챔버(100)에서 기판들을 가공하는데 사용될 수 있는 가공 가스들의 예들은 할로겐-함유 가스들, 예컨대 무엇보다도 C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, Cl2, CCl4, BCl3 및 SiF4, 및 그 밖의 가스들, 예컨대 O2, 또는 N2O를 포함한다. 운반 가스들의 예들은 N2, He, Ar, 및 공정 가스들에 불활성인 그 밖의 가스들(예들 들어, 비-반응성 가스들)을 포함한다.
기판 지지 어셈블리(148)는 샤워헤드(130) 아래 가공 챔버(100)의 내부 용적(106)에 배치된다. 기판 지지 어셈블리(148)는 가공 동안 기판(144)(예를 들어, 웨이퍼)을 유지한다. 기판 지지 어셈블리(148)는 가공 동안 기판(144)을 고정시키는 정전 척, 정전 척에 결합된 금속 냉각 플레이트, 및/또는 하나 이상의 추가 구성요소들을 포함할 수 있다. 내부 라이너(미도시됨)는 기판 지지 어셈블리(148)의 주변을 커버할 수 있다. 내부 라이너는 할로겐-함유 가스 저항 재료, 예컨대 Al2O3 또는 Y2O3일 수 있다.
샤워헤드(130)(또는 뚜껑 및/또는 노즐), 측벽들(108), 하단(110), 기판 지지 어셈블리(148), 외부 라이너(116), 내부 라이너(미도시됨), 또는 그 밖의 챔버 구성요소 중 어느 하나는 구체예들에 따라, M-O-F 코팅, 또는 완충 층을 포함할 수 있는데, 완충 층 상에는 완충 층 상의 M-O-F 층 또는 코팅이 있다. 예를 들어, 도시된 바와 같이 샤워헤드(130)는 M-O-F 코팅(152)을 포함한다. 일부 구체예들에서, M-O-F 코팅(152)은 Y-O-F 코팅이다. 일부 구체예들에서, M-O-F(예를 들어, Y-O-F) 코팅은 비정질일 수 있다. 일부 구체예들에서, M-O-F 코팅은 적어도 두 개의 상이한 금속들을 포함할 수 있다. 예를 들어, M-O-F는 비제한적으로, 두 개의 금속들(M1-M2-O-F), 세 개의 금속들(M1-M2-M3-O-F) 또는 네 개의 금속들(M1-M2-M3-M4-O-F)을 포함할 수 있다.
도 2a 및 도 2b는 각각 챔버 구성요소들(200 및 250)의 측단면도를 도시한 것이다. 챔버 구성요소들(200 및 250)은 바디(210)를 포함한다. 챔버 구성요소 바디(210)는 일부 구체예들에서 완충 층(220)으로 임의로 코팅될 수 있다. 다른 구체예들에서, 완충 층(220)은 존재하지 않을 수 있다. 일부 구체예들에서, 챔버 구성요소들(200 및 250)은 각각 M-O-F 층(230) 또는 M-O-F 층(240)으로 추가로 코팅될 수 있다. M-O-F 층들(230 및/또는 240)은 그것이 존재하는 경우 완충 층(220) 위에, 또는 완충 층이 없는 경우 바디(210) 바로 위에 코팅될 수 있다.
챔버 구성요소들(200 및/또는 250)의 바디(210)는 금속 바디(예를 들어, 알루미늄 또는 알루미늄 합금, 예컨대 Al 6061) 또는 세라믹 바디(예를 들어, Al2O3, AlN, SiC 등)를 포함할 수 있다. 완충 층(220)은 본원에 기술된 바와 같이, 그리고 당업자에게 이해되는 바와 같이 완충 층의 목적들을 제공할 수 있는 Al2O3 또는 다른 적합한 재료를 포함할 수 있다. 예를 들어, Al2O3 완충 층은 완전히 비정질일 수 있고, 특정 구체예들에서, 코팅 접착을 개선시키고, 계면 결함들을 감소시키고, 응력 집중을 감소시키고, 계면으로부터 균열 시작 부위들의 수를 감소시키기 위해 (희토류 옥시플루오라이드 층을 Al 기판 상에 직접 코팅하기 보다는) Al 기판과 희토류 옥시플루오라이드 층 사이에 사용될 수 있다.
완충 층은, 존재하는 경우, 다음을 포함하나, 이로 제한되지 않는 복수의 목적들을 제공할 수 있다: 1) 챔버 구성요소 바디와 코팅 사이의 접착을 촉진하는 접착층으로서; 및 2) 챔버 구성요소 바디의 CTE와 코팅의 CTE 사이의 CTE 차이를 완화시키는 CTE 전이 층으로서. 예를 들어, 알루미늄은 약 22-25 ppm/K의 CTE를 갖고, 스테인레스 스틸은 약 13 ppm/K의 CTE를 갖는 반면, 이트륨 기반 코팅들 및 다른 산화물들은 현저히 더 낮은 CTE(예를 들어, Y2O3에 대해 약 6-8 ppm/K의)를 갖는다. 코팅과 챔버 구성 요소의 바디 간의 CTE 차이는 코팅이 열 사이클링 동안 균열되게 할 수 있다. 치밀 ALD 코팅들은 특히 CTE 불일치로 인해 열 사이클링 동안 균열이 발생하기 쉽다. 따라서, 챔버 구성요소 바디(210)와 코팅(230 및/또는 240) 사이에 접착 향상 및/또는 CTE 완화가 필요한 경우 완충 층이 존재할 수 있다. 일부 구체예들에서, 완충 층은 공정 챔버 구성요소 상에 증착되지 않을 수 있고, M-O-F 코팅은 공정 챔버 구성요소 자체에 직접 증착될 수 있다.
공정 챔버 구성요소가 M-O-F 코팅이 증착되기 전에 완충 층으로 코팅되는 구체예들에서, 완충 층은 원자층 증착, 화학 기상 증착, 물리 기상 증착, 플라즈마 분무, 이온 보조 증착 등을 포함하나, 이로 제한되지 않는, 당업자에 의해 이해되는 임의의 적합한 공정에 의해 증착될 수 있다.
코팅 층(230)은 일부 구체예들에 따라 코팅의 전체 두께에 걸쳐 균일한 O/F 몰비 분포를 갖는 희토류 옥시플루오라이드(M-O-F) 층을 도시한 것이다. M-O-F 코팅의 O/F 몰비는 챔버 구성요소 및 이에 따라 M-O-F 코팅이 노출될 수 있는 후속 가공 동안 평형시 형성되는 O/F 몰비의, 약 20% 내, 약 15% 내, 약 10% 내, 약 5% 내, 약 4% 내, 약 3% 내, 약 2% 내, 또는 약 1% 내에 있을 수 있다. 일 구체예에서 용어 균일한 분포는 +/- 10% 내의 균일을 의미한다.
본원에서 사용되는 용어 "후속 가공"은 비-플라즈마 에쳐들, 비-플라즈마 클리너들, 화학 기상 증착(CVD) 챔버들, 물리 기상 증착(PVD) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD) 챔버들, 플라즈마 강화 물리 기상 증착(PEPVD) 챔버들, 플라즈마 강화 원자층 증착(PEALD) 챔버들 등을 포함할 수 있으나, 이로 제한되지 않는 챔버들에서 발생하는 공정들을 지칭한다. 후속 가공은 불소 화학 물질들 및/또는 불소 기반 플라즈마들이 사용되는 가공일 수 있다.
코팅 층(240)은 하단 및 상단을 갖는 희토류 옥시플루오라이드 코팅을 도시한 것이다. 상단은 후속 가공 동안 불소 함유 화학 물질에 노출될 수 있다. 하단은 챔버 구성요소 바디(210)에 보다 근접하고, 완충 층(220)(존재하는 경우)과 접촉하여 상단에 대향하여 배치될 수 있다. 상단의 불소 농도가 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내, 약 15% 내, 약 10% 내, 약 5% 내, 약 4% 내, 약 3% 내, 약 2% 내, 또는 약 1% 내에 있을 수 있도록 희토류 옥시플루오라이드 코팅에 걸쳐 하단에서 상단으로 불소 농도 프로파일이 형성될 수 있다.
본원에 사용되는 불소 농도 프로파일은 희토류 옥시플루오라이드 코팅들에 걸친 불소 농도 분포를 지칭한다. 예를 들어, 불소 농도는 하단에서 상단으로 증가할 수 있거나, 하단에서 상단으로 감소할 수 있거나, 하단에서 상단으로 일정하고 균일하게 유지될 수 있거나, 불소 농도는 하단에서 상단으로 증가한 후 감소할 수 있거나, 하단에서 상단으로 감소한 후 증가할 수 있거나, 임의의 불소 분포를 가질 수 있다.
일부 구체예들에서, 하단은 제1 불소 농도를 가질 수 있고, 상단은 제1 불소 농도와 상이한 제2 불소 농도를 가질 수 있다. 일 구체예에서, 제1 불소 농도는 제2 불소 농도보다 높을 수 있다. 다른 구체예에서, 제1 불소 농도는 제2 불소 농도보다 낮을 수 있다. 불소 농도 구배는 제1 불소 농도와 제2 불소 농도 사이의 차이들로 인해 희토류 옥시플루오라이드 코팅에 걸쳐 형성된다.
이러한 구체예들에서, 제2 불소 농도는 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내, 약 15% 내, 약 10% 내, 약 5% 내, 약 4% 내, 약 3% 내, 약 2% 내, 또는 약 1% 내에 있을 수 있다.
일부 구체예들에서, 희토류 옥시플루오라이드 코팅의 하단은 산소를 실질적으로 함유하지 않을 수 있다. 예를 들어, 희토류 옥시플루오라이드 코팅의 하단은 M-F 형태일 수 있다(M-F가 하나 이상의 금속들, 예컨대, 비제한적으로, M1-F, M1-M2-F, M2-M2-M3-F, M1-M2-M3-M4-F 등을 포함하는 금속 불화물을 지칭할 수 있는 것으로 이해하면서). 일 구체예에서, 희토류 옥시플루오라이드 코팅은 공정 챔버 구성요소 바디 상에 직접 코팅될 수 있거나 공정 챔버 구성요소 바디 상에 증착된 완충 층 상에 코팅될 수 있는 YF3 층의 상단 상에 코팅된 Y-O-F일 수 있다.
다른 구체예들에서, 희토류 옥시플루오라이드 코팅의 하단은 불소를 실질적으로 함유하지 않을 수 있다. 예를 들어, 희토류 옥시플루오라이드 코팅의 하단은 M-O 형태일 수 있다(M-O가 하나 이상의 금속들, 예컨대, 비제한적으로, M1-O, M1-M2-O, M2-M2-M3-O, M1-M2-M3-M4-O 등을 포함하는 금속 산화물을 지칭할 수 있는 것으로 이해하면서). 일 구체예에서, 희토류 옥시플루오라이드 코팅은 공정 챔버 구성요소 바디 상에 직접 코팅될 수 있거나 공정 챔버 구성요소 바디 상에 증착된 완충 층 상에 코팅될 수 있는 Y2O3 층의 상단 상에 코팅된 Y-O-F일 수 있다.
일부 구체예들에서, M-O-F 코팅들(230 및 240)은 약 1 nm 내지 1000 ㎛의 두께를 갖는 ALD 증착된 코팅들이다. 구체예들에서, M-O-F 코팅들(230, 240)은 약 750 ㎛의 최대 두께, 약 500 ㎛의 최대 두께, 약 400 ㎛의 최대 두께, 약 300 ㎛의 최대 두께, 약 250 ㎛의 최대 두께, 약 200 ㎛의 최대 두께, 약 150 ㎛의 최대 두께, 약 100 ㎛의 최대 두께, 50 ㎛의 최대 두께, 30 ㎛의 최대 두께, 10 ㎛의 최대 두께, 또는 또 다른 최대 두께를 가질 수 있다. 구체예들에서, M-O-F 코팅들(230, 240)은 5 nm의 최소 두께, 10 nm의 최소 두께, 15 nm의 최소 두께, 25 nm의 최소 두께, 35 nm의 최소 두께, 50 nm의 최소 두께, 또는 또 다른 최소 두께를 가질 수 있다.
M-O-F 코팅들(230 및 240)은 얇고, 치밀할 수 있고, 약 1.5% 미만, 약 1% 미만, 약 0.5% 미만, 또는 약 0%(즉, 다공도 없음)의 매우 낮은 다공도를 가질 수 있고, 컨포멀(conformal)할 수 있다. M-O-F 코팅들(230 및 240)은 특정 구체예들에서, x-선 회절(XRD) 상 조사에 의해 결정될 수 있는 바와 같이 비정질일 수 있다. 이들 M-O-F 특징들은 본원에 개시된 다양한 공정들에 의해 형성되고/증착된 본원에 에 개시된 형성된 다양한 M-O-F 코팅들에 적용될 수 있다.
도 3은 어느 한 구체예에 따른 희토류 옥시플루오라이드 코팅으로 공정 챔버 구성요소를 코팅하기 위한 공정(300)을 도시한 것이다. 일부 구체예들에서, 본원에 개시된 희토류 옥시플루오라이드 층들 및 코팅들은 M-O-F로서 표현될 수 있다. M은 Y, Gd, Yb, Er을 포함하나 이로 제한되지 않는 하나 이상의 희토류 금속들 및/또는 또 다른 금속, 예컨대 Hf, Ta, Al 또는 Zr 중 하나 이상일 수 있다. 일부 구체예들에서, 본원에 개시된 희토류 옥시플루오라이드 코팅은 Y-O-F, Y-Zr-O-F, Ta-Zr-O-F, Y-Hf-O-F, Ta-O-F, Hf-O-F, Er-O-F, Y-Er-O-F, Y-Zr-Hf-O-F, Y-Al-Zr-Hf-O-F, Y-Er-Zr-O-F, Y-Er-Zr-Hf-O-F 등일 수 있다. 예를 들어, 일부 구체예들에서, M-O-F에서 금속은 적어도 두 개의 금속들, 예컨대 M1-M2-O-F, M1-M2-M3-O-F, M1-M2-M3-M4-O-F 등을 지칭한다. 일부 구체예들에서, 제1 M-O-F 층은 블록(320)에 따라 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하기 위해 x회의 ALD 사이클들을 수행함으로써 형성될 수 있으며, 여기서 x는 0이상의 정수이다. 금속 산화물 또는 희토류 산화물 층은 M-O로서 표현될 수 있다(M-O가 하나 이상의 금속들, 예컨대, 비제한적으로, M1-O, M1-M2-O, M2-M2-M3-O, M1-M2-M3-M4-O 등을 포함하는 금속 산화물을 지칭할 수 있는 것으로 이해하면서). 일부 예들에서, 금속 산화물 코팅은 Al2O3, Ta2O5, ZrO2, HfO2 또는 희토류 산화물, 예컨대 Gd2O3, Yb2O3, Er2O3 또는 Y2O3일 수 있다. 또한, 금속 산화물 코팅은 더욱 복잡한 산화물들, 예컨대 Y3Al5O12(YAG), Y4Al2O9(YAM), Y2O3, 안정화된 ZrO2(YSZ), Er3Al5O12(EAG), Y2O3-ZrO2 고용체(solid solution), Y2O3-Er2O3 고용체, 또는 Y4Al2O9를 포함하는 복합 세라믹 및 Y2O3-ZrO2의 고용체일 수 있다. 일 구체예에서, 금속 산화물 층은 다음 조성들 중 하나의 Y2O3-ZrO2의 고용체를 포함할 수 있다: 20-80 mol% Y2O3 및 20-80 mol% ZrO2, 30-70 mol% Y2O3 및 30-70 mol% ZrO2, 40-60 mol% Y2O3 및 40-60 mol% ZrO2, 50-80 mol% Y2O3 및 20-50 mol% ZrO2, 또는 60-70 mol% Y2O3 및 30-40 mol% ZrO2.
M1-O-F 층은 약 0 mol% 내지 100 mol%, 약 5 mol% 내지 100 mol%, 약 10 mol% 내지 95 mol%, 약 20 mol% 내지 90 mol%, 약 20 mol% 내지 80 mol%, 약 10 mol%, 약 20 mol%, 약 30 mol%, 약 40 mol%, 약 50 mol%, 약 60 mol%, 약 70 mol%, 약 80 mol%, 약 90 mol%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치의 M1 농도를 포함할 수 있으며, 여기서 농도는 금속 옥시플루오라이드 코팅에서의 금속의 총량에 기초하여 측정된다. 농도가 전체적으로 금속 옥시플루오라이드 코팅에 기초하여 측정되는 경우, M1 농도는 최대 약 40 mol%, 최대 약 35 mol%, 최대 약 30 mol%, 최대 약 25 mol%, 최대 약 20 mol%, 최대 약 15 mol%, 최대 약 10 mol%, 최대 약 5 mol%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치일 수 있다.
M1-M2-O-F 층은 다음 조성들 중 하나를 포함할 수 있다: 약 20-80 mol% M1 및 20-80 mol% M2, 30-70 mol% M1 및 30-70 mol% M2, 40-60 mol% M1 및 40-60 mol% M2, 50-80 mol% M1 및 20-50 mol% M2, 또는 60-70 mol% M1 및 30-40 mol% M2, 여기서 M1 및 M2의 농도들은 금속 옥시플루오라이드 코팅에서의 금속(M1+M2)의 총량에 기초하여 측정된다. 농도가 전체적으로 금속 옥시플루오라이드 코팅에 기초하여 측정되는 경우, M1+M2는 함께 최대 약 40 mol%, 최대 약 35 mol%, 최대 약 30 mol%, 최대 약 25 mol%, 최대 약 20 mol%, 최대 약 15 mol%, 최대 약 10 mol%, 최대 약 5 mol%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치의 농도를 가질 수 있다.
M1-M2-M3-O-F 층은 다음 조성들 중 하나를 포함할 수 있다: 약 5-80 mol% M1 및 5-80 mol% M2 및 5-80 mol% M3, 10-70 mol% M1 및 10-70 mol% M2 및 10-70 mol% M3, 1-90 mol% M1 및 1-90 mol% M2 및 1-90 mol% M3, 여기서 M1, M2, 및 M3의 농도들은 금속 옥시플루오라이드 코팅에서의 금속(M1+M2+M3)의 총량에 기초하여 측정된다. 농도가 전체적으로 금속 옥시플루오라이드 코팅에 기초하여 측정되는 경우, M1+M2+M3는 함께 최대 약 40 mol%, 최대 약 35 mol%, 최대 약 30 mol%, 최대 약 25 mol%, 최대 약 20 mol%, 최대 약 15 mol%, 최대 약 10 mol%, 최대 약 5 mol%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치의 농도를 가질 수 있다.
M1-M2-M3-M4-O-F 층은 다음 조성들 중 하나를 포함할 수 있다: 약 20-40 mol% M1 및 20-40 mol% M2 및 20-40 mol% M3 및 20-40 mol% M4, 5-70 mol% M1 및 5-70 mol% M2 및 5-70 mol% M3 및 5-70 mol% M4, 1-80 mol% M1 및 1-80 mol% M2 및 1-80 mol% M3 및 1-80 mol% M4, 여기서 M1, M2, M3, 및 M4의 농도들은 금속 옥시플루오라이드 코팅에서의 금속(M1+M2+M3+M4)의 총량에 기초하여 측정된다. 농도가 전체적으로 금속 옥시플루오라이드 코팅에 기초하여 측정되는 경우, M1+M2+M3+M4는 함께 최대 약 40 mol%, 최대 약 35 mol%, 최대 약 30 mol%, 최대 약 25 mol%, 최대 약 20 mol%, 최대 약 15 mol%, 최대 약 10 mol%, 최대 약 5 mol%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치의 농도를 가질 수 있다.
본 출원 전반에 걸쳐 임의의 특정 금속(M1, M2, M3, 또는 M4)의 농도는 금속 옥시플루오라이드 조성(M-O-F)에서 금속(M)의 총량에 대한 것이다. 예를 들어, M1-M2-O-F 조성에서, M1과 M2의 mol%에 대해 함께 측정되는 경우, M1은 약 20-80 mol%로 존재할 수 있고, M2는 약 20-80 mol%로 존재할 수 있다. 그러나, 조성 M1-M2-O-F에 대해 측정되는 경우, M1은 약 1-40 mol%로 존재할 수 있고, M2는 약 1-40 mol%로 존재할 수 있다.
제1 M-O-F 층은 블록(350)에 따라 공정 챔버 구성요소의 표면 상에 제1 희토류 불화물을 형성하기 위해 y회의 ALD 사이클들을 수행함으로써 추가로 형성될 수 있으며, 여기서 y는 0 이상의 정수이다. Y는 x의 값과 동일하거나 상이한 값을 가질 수 있다. 희토류 불화물 층은 M-F로서 표현될 수 있다(M-O가 하나 이상의 금속들, 예컨대, 비제한적으로, M1-O, M1-M2-O, M2-M2-M3-O, M1-M2-M3-M4-O 등을 포함하는 금속 산화물을 지칭할 수 있음을 이해하면서). M-O 및 M-F 둘 모두에서 M은 희토류 금속들, 예컨대 Y, Er, Gd, Yb 및 다른 금속들, 예컨대 Hf, Ta, Al 또는 Zr로부터 독립적으로 선택될 수 있다. 일부 구체예들에서, 희토류 산화물 층 M-O 및 희토류 불화물 층 M-F에서 희토류 금속(들) M은 동일할 수 있다. 다른 구체예들에서, 희토류 산화물 층 M-O에서 희토류 금속(들) M은 희토류 불화물 층 M-F에서 희토류 금속(들) M과 상이할 수 있다. 형성될 M-O-F 층은 특정 M-O 및 M-F 코팅들에 좌우될 것이다.
희토류 옥시플루오라이드 코팅(M-O-F) 증착은 하나, 두 개, 세 개, 또는 네 개의 금속들(M-O-F 코팅의 M 구성요소)의 증착 및 O-F(M-O-F 코팅의 O-F 구성요소) 증착을 포함할 수 있다. 하나, 두 개, 세 개, 또는 네 개의 금속들의 증착은 순차 증착, 공동 증착, 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된 공정을 통해 일어날 수 있다. O-F 증착은 순차 증착, 공동 증착, 동시 투여, F 수퍼 사이클(F super cycle), 및 이들의 조합들로 이루어진 군으로부터 선택된 공정을 통해 일어날 수 있다.
표 1은 M1-M2-O-F 코팅을 증착하기 위한 다양한 공정들을 예시한 것이다. 표 1에 나타낸 조합들은 단지 예시적인 것이며, 제한적인 것으로서 해석되어서는 안된다. M1-M2-M3-O-F 코팅 및 M1-M2-M3-M4-O-F 코팅을 증착하기 위해 유사한 조합들이 예상될 수 있다. M1-M2-M3-O-F 코팅 및 M1-M2-M3-M4-O-F 코팅에 대한 가능한 증착 조합들은 M1-M2-O-F에 대한 가능한 증착 조합들보다 수적으로 더 많다. 그것은 M1-M2(M1-M2-O-F에서)가 순차 증착, 공동 증착 및 동시 투여로 이루어진 군으로부터 선택된 단일 공정을 통해 증착될 수 있기 때문이다. 대조적으로, M1-M2-M3은 단일 공정을 통해 또는 순차 증착, 공동 증착 및 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된 공정들의 조합을 통해 증착될 수 있다. 따라서, M-O-F 코팅에 금속들의 수가 많을 수록 M-O-F 코팅을 증착하는데 사용될 수 있는 가능한 공정 조합들의 수가 더 많아진다.
일부 구체예들에서, 희토류 옥시플루오라이드 코팅은 제1 금속(M1)과 제2 금속(M2)의 균질 혼합물을 포함한다. 일부 구체예들에서, 희토류 옥시플루오라이드 코팅은 제1 금속(M1), 제2 금속(M2) 및 제3 금속(M3)의 균질 혼합물을 포함한다. 일부 구체예들에서, 희토류 옥시플루오라이드 코팅은 제1 금속(M1), 제2 금속(M2), 제3 금속(M3) 및 제4 금속(M4)의 균질 혼합물을 포함한다.
Figure pct00001
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 연속해서 증착하고 O-F를 연속해서 증착하는 것을 포함할 수 있다("콤보 1"). 이 조합의 옵션(option) 1(표 1에 나타낸 바와 같이)은 w회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함할 수 있다. w회의 ALD 사이클들에는 연속해서 x회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-O 층 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w 및 x회의 사이클들은 함께 M1-M2-O 층을 형성할 수 있다. w 및 x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M1-함유 전구체를 M1-M2-O 층 상에 증착하여 제3 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제3 흡착 층과 반응시켜 M1-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w, x, 및 y회의 ALD 사이클들에는 연속해서 z회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-F 층 상에 증착하여 제4 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제4 흡착 층과 반응시켜 M1-M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w, x, y, 및 z회의 사이클들은 함께 M1-M2의 순차 증착 및 O-F의 순차 증착을 통해 M1-M2-O-F 층을 형성한다.
다른 M1-M2의 순차 증착 및 O-F의 순차 증착(표 1, 콤보 1, 옵션 2)은 w회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w회의 ALD 사이클들에는 연속해서 x회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-O 층 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w 및 x회의 사이클들은 함께 M1-O-M2-F 층을 형성할 수 있다. w 및 x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M1-함유 전구체를 M1-O-M2-F 층 상에 증착하여 제3 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제3 흡착 층과 반응시켜 M1-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w, x, 및 y회의 ALD 사이클들에는 연속해서 z회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-F 층 상에 증착하여 제4 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제4 흡착 층과 반응시켜 M1-F-M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w, x, y, 및 z회의 사이클들은 함께 M1-M2의 순차 증착 및 O-F의 순차 증착을 통해 M1-M2-O-F 층을 형성한다.
또 다른 M1-M2의 순차 증착 및 O-F의 순차 증착(표 1, 콤보 1, 옵션 3)은 w회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w회의 ALD 사이클들에는 연속해서 x회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-O 층 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w 및 x회의 사이클들은 함께 M1-O-M2-F 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
또 다른 M1-M2의 순차 증착 및 O-F의 순차 증착(표 1, 콤보 1, 옵션 4)은 w회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층과 반응시켜 M1-F 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w회의 ALD 사이클들에는 연속해서 x회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-F 층 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. w 및 x회의 사이클들은 함께 M1-F-M2-O 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2을 연속해서 증착하고, O-F를 공동 증착을 통해 증착하는 것을 포함할 수 있다("콤보 2"). 이 조합(표 1, 콤보 2, 옵션 1)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 M1-O 층과 반응시켜 M1-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 M2-O 층과 반응시켜 M2-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-O-F-M2-O-F 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
또 다른 M1-M2의 순차 증착 및 O-F의 공동 증착(표 1, 콤보 2, 옵션 2)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층과 반응시켜 M1-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 M1-F 층과 반응시켜 M1-F-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 M2-F 층과 반응시켜 M2-F-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-F-O-M2-F-O 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
또 다른 M1-M2의 순차 증착 및 O-F의 공동 증착(표 1, 콤보 2, 옵션 3)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 M1-O 층과 반응시켜 M1-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 M2-F 층과 반응시켜 M2-F-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-O-F-M2-F-O 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
또 다른 M1-M2의 순차 증착 및 O-F의 공동 증착(표 1, 콤보 2, 옵션 4)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층과 반응시켜 M1-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 M1-F 층과 반응시켜 M1-F-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 M2-O 층과 반응시켜 M2-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-F-O-M2-O-F 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2을 연속해서 증착하고, O-F를 동시 투여를 통해 증착하는 것을 포함할 수 있다("콤보 3"). 이 조합은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물과 F-함유 반응물을 동시에(동시 투여) 제1 흡착 층과 반응시켜 M1-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물 및 과량의 미반응 F-함유 반응물들을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물과 F-함유 반응물을 동시에(동시 투여) 제2 흡착 층과 반응시켜 M2-O-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물 및 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-O-F-M2-O-F 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2을 연속해서 증착하고, O-F를 F 수퍼 사이클을 통해 증착하는 것을 포함할 수 있다("콤보 4"). 이 조합은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 목표 두께 및/또는 목표 M1 대 M2 몰비가 달성될 때까지 z번 반복될 수 있다. x 및 y회의 사이클들은 함께 M1-O-M2-O 층(또한 M1-M2-O 층으로서 지칭될 수 있음)을 형성할 수 있다. 이후, M1-M2-O 층은 불소가 M1-M2-O 층으로 확산될 수 있도록 불소 함유 종에 노출되어 M1-M2-O-F 층을 형성할 수 있다. 임의의 미반응된 불소 함유 종은 ALD 증착 챔버로부터 퍼징될 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 연속해서 증착하는 것을 포함할 수 있다("콤보 5"). 이 조합의 옵션 1(표 1에 나타낸 바와 같이)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-M2-O 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M1-함유 전구체를 M1-M2-O 층 상에 증착하여 제2 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 M1-M2-O 층 상에 증착하여 제2 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M1-M2-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y 사이클들은 함께 M1-M2-O-M1-M2-F 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 연속해서 증착하는 것을 포함할 수 있다("콤보 5"). 이 조합의 옵션 2(표 1에 나타낸 바와 같이)는 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층과 반응시켜 M1-M2-F 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M1-함유 전구체를 M1-M2-F 층 상에 증착하여 제2 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 M1-M2-F 층 상에 증착하여 제2 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M1-M2-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-M2-F-M1-M2-O 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 연속해서 증착하는 것을 포함할 수 있다("콤보 5"). 이 조합의 옵션 3(표 1에 나타낸 바와 같이)은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층과 반응시켜 M1-M2-O 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-M2-O 층 상에 증착하여 제2 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 M1-함유 전구체를 M1-M2-O 층 상에 증착하여 제2 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, F-함유 반응물을 제2 흡착 층과 반응시켜 M2-M1-F 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-M2-O-M2-M1-F 층(또한, M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 연속해서 증착하는 것을 포함할 수 있다("콤보 5"). 이 조합의 옵션 4(표 1에 나타낸 바와 같이)는 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층과 반응시켜 M1-M2-F 층을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 ALD 사이클들에는 연속해서 y회의 ALD 사이클들이 뒤따를 수 있으며, 각각의 사이클은 M2-함유 전구체를 M1-M2-F 층 상에 증착하여 제2 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, M1-함유 전구체를 M1-M2-F 층 상에 증착하여 제2 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, O-함유 반응물을 제2 흡착 층과 반응시켜 M2-M1-O 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-M2-F-M2-M1-O 층(또한 M1-M2-O-F 층으로서 지칭될 수 있음)을 형성할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 공동 증착을 통해 증착하는 것을 포함할 수 있다("콤보 6"). 이 조합의 옵션 1(표 1에 나타낸 바와 같이)은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층 상의 일부 M1-함유 전구체들 및 일부 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(일부 제1 흡착 층 상에 M1-M2-O를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 제1 흡착 층 상의 잔류하는 미반응된 M1-함유 전구체들 및 잔류하는 미반응된 M2-함유 반응물들과 반응하도록 제1 흡착 층과 반응시키는 것(잔류하는 일부 제1 흡착 층 상에 M1-M2-F를 형성함)을 포함할 수 있다.
콤보 5의 옵션 2(표 1에 나타낸 바와 같이)는 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, F-함유 반응물을 제1 흡착 층 상의 일부 M1-함유 전구체들 및 일부 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(일부 제1 흡착 층 상에 M1-M2-F를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 제1 흡착 층 상의 잔류하는 미반응된 M1-함유 전구체들 및 잔류하는 미반응된 M2-함유 반응물들과 반응하도록 제1 흡착 층과 반응시키는 것(잔류하는 일부 제1 흡착 층 상에 M1-M2-O를 형성함)을 포함할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 동시 투여를 통해 증착하는 것을 포함할 수 있다("콤보 7"). 이 조합은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물 및 F-함유 반응물을 동시에(동시 투여) 제1 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O-F을 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물 및 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 공동 증착을 통해 증착하고, O-F를 F 수퍼 사이클을 통해 증착하는 것을 포함할 수 있다("콤보 8"). 이 조합은 x회의 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 표면 상에 증착하여 제1 흡착 층을 부분적으로 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들을 제거하고, 이어서 M2-함유 전구체를 표면 상에 증착하여 제1 흡착 층의 형성을 완료하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M2-함유 전구체들을 제거하고, O-함유 반응물을 제1 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 사이클들 후, 공정은 불소가 M1-M2-O 층으로 확산될 수 있도록 M1-M2-O 층을 불소 함유 종에 노출시켜 M1-M2-O-F 층을 형성하는 것을 추가로 포함한다. 임의의 미반응된 불소 함유 종은 ALD 증착 챔버로부터 퍼징될 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 동시 투여를 통해 증착하고, O-F를 순차 증착을 통해 증착하는 것을 포함할 수 있다("콤보 9"). 이 조합(콤보 9, 옵션 1)은 x회의 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 O-함유 반응물을 제1 흡착 층상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 사이클들 후, 공정은 이어서 y회의 사이클들을 수행하는 것을 추가로 포함하며, 각각의 사이클은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 F-함유 반응물을 제2 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제2 흡착 층과 반응시키고(M1-M2-F를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-M2-O-M1-M2-F 층을 형성할 수 있다(또한, M1-M2-O-F 층으로서 지칭될 수 있음).
콤보 9의 옵션 2(표 1에 나타낸 바와 같이)는 x회의 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 F-함유 반응물을 제1 흡착 층상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-F를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 사이클들 후, 공정은 이어서 y회의 사이클들을 수행하는 것을 추가로 포함하며, 각각의 사이클은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제2 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 O-함유 반응물을 제2 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제2 흡착 층과 반응시키고(M1-M2-O를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x 및 y회의 사이클들은 함께 M1-M2-F-M1-M2-O 층을 형성할 수 있다(또한 M1-M2-O-F 층으로서 지칭될 수 있음).
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 동시 투여를 통해 증착하고, O-F를 공동 증착을 통해 증착하는 것을 포함할 수 있다("콤보 10"). 이 조합(콤보 10, 옵션 1)은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 O-함유 반응물을 제1 흡착 층상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O을 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 F-함유 반응물을 M1-M2-O 층과 반응시켜 M1-M2-O-F를 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함할 수 있다.
콤보 10의 옵션 2(표 1에 나타낸 바와 같이)는 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 F-함유 반응물을 제1 흡착 층상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-F를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하고, 이어서 O-함유 반응물을 M1-M2-F 층과 반응시켜 M1-M2-F-O을 형성하고, ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 동시 투여를 통해 증착하고, O-F를 동시 투여를 통해 증착하는 것을 포함할 수 있다("콤보 11"). 이 조합은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 O-함유 반응물을 F-함유 반응물과 동시에(동시 투여) 제1 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O-F를 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물 및 과량의 미반응 F-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함할 수 있다.
표 1에서 보여지는 바와 같이, M1-M2-O-F 증착은 M1-M2를 동시 투여를 통해 증착하고, O-F를 F 수퍼 사이클을 통해 증착하는 것을 포함할 수 있다("콤보 12"). 이 조합은 x회의 사이클들을 수행하는 것을 포함할 수 있으며, 각각의 사이클은 M1-함유 전구체를 M2-함유 전구체와 동시에(동시 투여) 표면 상에 증착하여 제1 흡착 층을 형성하고, ALD 증착 챔버를 퍼징하여 과량의 미반응 M1-함유 전구체들 및 과량의 미반응 M2-함유 전구체들을 제거하고, 이어서 O-함유 반응물을 제1 흡착 층 상의 M1-함유 전구체들 및 M2-함유 전구체들과 반응하도록 제1 흡착 층과 반응시키고(M1-M2-O을 형성함), ALD 챔버를 퍼징하여 과량의 미반응 O-함유 반응물을 ALD 증착 챔버로부터 제거하는 것을 포함한다. x회의 사이클들에 이어서 불소가 M1-M2-O 층에 확산될 수 있도록 M1-M2-O 층을 불소 함유 종에 노출시켜 M1-M2-O-F 층을 형성할 수 있다. 임의의 미반응된 불소 함유 종이 ALD 증착 챔버로부터 퍼징될 수 있다.
표 1에 개시된 여러 구체예들에 기재된 사이클들 w, x, y, 및 z는 음이 아닌 정수들, 예컨대 0, 1, 2, 3 등을 지칭한다. w, x, y, 및 z는 상호교환 가능하게 사용될 수 있으며 단지 ALD 증착의 다양한 단계들에서 다양한 수의 ALD 사이클들이 이용될 수 있음을 이해해야 한다.
표 1의 구체예들의 설명은 M1-M2-O-F 코팅을 형성하기 위한 공정들의 예시로 제한되었다. 금속들의 순서가 반대로 되면(즉, M2-M1-O-F), 최종 희토류 옥시플루오라이드 코팅에 상이한 농도의 금속들 M1 및 M2가 존재할 수 있다. 최종 희토류 옥시플루오라이드 코팅에서의 금속들의 농도는 다른 요인들 중에서 금속들이 증착되는 순서에 좌우될 것이다.
또한, 표 1에 개시된 바와 같은, a) 제1 금속 산화물, 제1 금속 불화물 또는 제1 금속 옥시플루오라이드와 b) 제2 금속 산화물, 제2 금속 불화물 또는 제2 금속 옥시플루오라이드의 순차 증착을 포함하는 ALD 공정의 일반적인 설명은 x회의 ALD 사이클들을 수행하고, y회의 ALD 사이클들을 수행하는 것을 포함할 수 있다. x회의 ALD 사이클들로부터 각각의 ALD 사이클은 제1 금속-함유 전구체를 물품을 함유하는 증착 챔버 내로 주입함으로써 제1 금속의 제1 흡착 층을 물품의 표면 상에 증착하고; 산소-함유 반응물 또는 불소-함유 반응물 중 적어도 하나를 증착 챔버 내로 주입함으로써 산소 또는 불소 중 적어도 하나를 제1 흡착 층과 반응시켜 제1 금속 산화물, 제1 금속 불화물, 또는 제1 금속 옥시플루오라이드를 형성하는 것을 포함할 수 있다. y회의 ALD 사이클들로부터 각각의 ALD 사이클은 제2 금속-함유 전구체를 증착 챔버 내로 주입함으로써 제2 금속의 제2 흡착 층을 제1 금속 산화물, 제1 금속 불화물, 또는 제1 금속 옥시플루오라이드 상에 증착하고, 산소-함유 반응물 또는 불소-함유 반응물 중 적어도 하나를 증착 챔버 내로 주입함으로써 산소 또는 불소 중 적어도 하나를 제2 흡착 층과 반응시켜 제2 금속 산화물, 제2 금속 불화물, 또는 제2 금속 옥시플루오라이드 층을 형성하는 것을 포함할 수 있다.
제1 금속 및 제2 금속의 공동 증착을 포함하는 ALD 공정의 일반적인 설명은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있다. x회의 ALD 사이클들로부터 각각의 ALD 사이클은 제1 금속 및 제2 금속을 포함하는 제1 흡착 층을 물품의 표면 상에 증착하고, 산소 또는 불소 중 적어도 하나를 제1 흡착 층과 반응시켜 혼합된 금속 산화물, 혼합된 금속 불화물, 또는 혼합된 금속 옥시플루오라이드를 형성하는 것을 포함할 수 있다. 증착은 제1 금속을 포함하는 제1 금속-함유 전구체를 물품을 함유하는 증착 챔버 내로 주입하고, 이어서 제2 금속을 포함하는 제2 금속-함유 전구체를 증착 챔버 내로 주입함으로써 수행될 수 있다.
제1 금속 및 제2 금속의 동시 투여를 포함하는 ALD 공정의 일반적인 설명은 x회의 ALD 사이클들을 수행하는 것을 포함할 수 있다. x회의 ALD 사이클들로부터 각각의 ALD 사이클은 제1 금속에 대한 제1 금속-함유 전구체 및 제2 금속에 대한 제2 금속-함유 전구체를 동시에 물품을 함유하는 증착 챔버 내로 주입함으로써 제1 금속 및 제2 금속을 포함하는 제1 흡착 층을 물품의 표면 상에 증착하고; 산소 또는 불소 중 적어도 하나를 제1 흡착 층과 반응시켜 혼합된 금속 산화물, 혼합된 금속 불화물, 또는 혼합된 금속 옥시플루오라이드를 형성하는 것을 포함할 수 있다.
F 수퍼 사이클과 함께 두 개 이상의 금속 산화물 층들의 순차 증착, 공동 증착, 또는 동시 투여 중 어느 하나를 포함하는 ALD 공정의 일반적인 설명은 두 개 이상의 금속 산화물 층들을 순차 증착, 공동 증착, 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된 원자층 증착(ALD) 공정을 통해 증착하고, 물품을 불소 함유 종에 노출시키고; 두 개 이상의 금속 산화물 층들을 희토류 옥시플루오라이드 층으로 전환시키는 것을 포함할 수 있다.
"순차 증착"은 금속들 또는 O-F가 순차적으로 증착되는 원자층 증착을 지칭한다(즉, 전구체 및 반응물의 한 층이 전구체 및 반응물의 다음 층의 증착을 개시하기 전에 완전히 증착됨)을 지칭한다. 순차 증착에서 다양한 구성요소들의 농도는 ALD 사이클들의 수와 관련될 수 있다.
"공동 증착"은 금속 전구체들 또는 O-함유 반응물 또는 F-함유 반응물들이 연속해서 공동 주입되는 원자층 증착을 지칭한다(즉, 하나의 금속 전구체가 주입된 후 다른 금속 전구체들이 주입되고, 상이한 금속 전구체들의 혼합물이 증착된 후에만 반응물이 도입되어 전구체들과 반응함). 공동-증착에서 다양한 구성요소들의 농도는 각각의 구성요소의 주입률과 관련될 수 있다.
"동시 투여"는 금속 전구체 또는 O-함유 반응물 또는 F-함유 반응물들이 동시에 공동 주입되는 원자층 증착을 지칭한다(즉, 하나의 금속 전구체가 제2 금속 전구체와 동시에 도우징되고, 상이한 금속 전구체들의 혼합물이 증착된 후에만 반응물이 도입되어 전구체들과 반응함). 동시-투여에서 다양한 구성요소들의 농도는 각각의 구성요소의 주입률과 관련될 수 있다.
"F 수퍼 사이클"은 불소가 코팅 층을 통해 확산될 수 있도록 코팅 층이 불 소 함유 종에 노출되는 것을 지칭한다. 최종 코팅 층에서 불소의 농도는 증착 챔버에 도입되는 불소 함유 종의 분압과 관련될 수 있다.
원자층 증착(ALD) 기술들은 물품 상에 얇은 치밀 컨포멀 층을 형성하기 위해 사용된다. ALD는 물품의 표면과의 화학 반응들을 통해 제어된 재료의 자체-제한적 증착을 허용한다. ALD는 컨포멀 공정일뿐만 아니라 균일한 공정이다. 높은 종횡비 피쳐들(예를 들어, 약 10:1 내지 약 300:1)을 포함하여 물품의 모든 노출된 면들은 동일하거나 대략 동일한 양의 재료가 증착될 것이다. ALD 공정의 전형적인 반응 사이클은 전구체(즉, 단일 화학 물질 A)가 ALD 챔버 내로 플러딩되고(flooded) 제1 반쪽 반응으로 물품의 표면 상에 흡착되어 시작한다. 이후, 과량의 전구체는, 반응물(즉, 단일 화학 물질 R)이 제2 반쪽 반응을 위해 ALD 챔버 내로 도입되고 이어서 플러싱되기 전에 ALD 챔버로부터 플러싱된다. 이 공정은 일부 구체예들에서 최대 약 1 미크론(micron)의 두께를 갖는 ALD 층을 형성하기 위해 반복될 수 있다.
플라즈마 분무 코팅 및 이온 보조 증착과 같은 물품들 상에 코팅들을 증착하는 데 전형적으로 사용되는 다른 기술들과는 달리, ALD 기술은 높은 종횡비 피쳐들(즉, 피쳐들의 표면들 상의) 내에 재료 층을 증착할 수 있다. 또한, ALD 기술은 다공도가 없는(즉, 핀홀이 없고, 다공도가 약 0%인) 비교적 얇은(즉, 1㎛ 이하) 코팅들을 생성한다. 본원에서 사용되는 용어 "다공도 없음"은 투과 전자 현미경(TEM)에 의해 측정된 바와 같이 코팅의 전체 깊이를 따라 임의의 기공들, 핀홀들 또는 공극들이 부재함을 의미한다.
본원에 개시된 ALD 층들은 얇고, 치밀하고, 다공도 없음이고, 매우 컨포멀하다. 층에 적용되는 것과 같은 본원에서 사용되는 용어 컨포멀은 층이 실질적으로 균일한 두께를 갖는 물품의 피쳐들을 커버함을 의미한다. 일 구체예에서, 본원에서 논의되는 컨포멀 층들은 약 +/-20% 미만의 두께 변화, 약 +/- 10% 미만의 두께 변화, 약 +/- 5% 미만의 두께 변화, 또는 보다 낮은 두께 변화를 갖는 균일한 두께로 코팅된 하부 표면(코팅된 표면 피쳐들 포함)의 컨포멀 커버리지(conformal coverage)를 갖는다.
희토류 산화물 또는 희토류 불화물 층을 형성하기 위해 본원의 ALD 시스템에 의해 사용되는 전구체들은 형성되는 특정 층에 좌우된다. 예를 들어, Al2O3의 금속 산화물 층 또는 Al의 금속 불화물 층의 경우, 알루미늄 전구체, 예컨대 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄이 사용될 수 있다.
Y2O3 또는 YF3의 금속 산화물 또는 금속 불화물 층의 경우, 이트륨 전구체, 예컨대 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 또는 이트륨(III)부톡사이드, 이트륨 사이클로펜타디에닐 화합물(예를 들어, 트리스(사이클로펜타디에닐)이트륨(Cp3Y), 트리스(메틸사이클로펜타디에닐)이트륨((CpMe)3Y), 트리스(부틸사이클로펜타디에닐)이트륨, 또는 트리스(사이클로펜타디에닐)이트륨, 트리스(에틸사이클로펜타디에닐)이트륨과 같은)이 사용될 수 있다. 사용될 수 있는 다른 이트륨 함유 전구체들로는 이트륨 함유 아미드 기반 화합물들(예를 들어, 트리스(N,N'-디-i-프로필포름아미디네이토)이트륨 또는 트리스(비스(트리메틸실릴)아미도)란타넘) 및 이트륨 함유 베타-디케토네이트-기반 화합물들이 포함된다.
Er2O3의 금속 산화물 층 또는 Er의 금속 불화물 층의 경우, 에르븀 함유 사이클로펜타디에닐 화합물들, 에르븀 함유 아미드-기반 화합물들 및 에르븀 함유 베타-디케토네이트-기반 화합물들, 예컨대 트리스-메틸사이클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III)트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸사이클로펜타디에닐)에르븀(III)을 포함하는 에르븀 전구체가 사용될 수 있다.
Zr의 금속 산화물 또는 금속 불화물 층의 경우, 지르코늄 전구체, 예컨대 지르코늄 함유 사이클로펜타디에닐 화합물들, 지르코늄 함유 아미드-기반 화합물들 및 지르코늄 함유 베타-디케토네이트 기반 화합물들이 사용될 수 있다. 예시적인 지르코늄 함유 전구체들로는 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 테트라키스(에틸메틸아미도)지르코늄(IV), 테트라키스(N,N’-디메틸-포름아미디네이트)지르코늄, 테트라(에틸메틸아미도)하프늄, 펜타키스(디메틸아미도)탄탈럼, 트리스(디메틸아미노)(사이클로펜타디에닐)지르코늄, 및 트리스(2,2,6,6-테트라메틸-헵탄-3,5-디오네이트)에르븀 또는 ALD를 위한 지르코늄 사이클로펜타디에닐 화합물이 포함된다.
Hf의 금속 산화물 또는 금속 불화물 층의 경우, 하프늄 전구체, 예컨대 테트라(에틸메틸아미도)하프늄, 펜타키스(디메틸아미도)탄탈럼이 사용될 수 있다.
금속 산화물 층을 형성하기 위해 ALD 시스템에 의해 사용되는 산소 반응물들은 산소, 수증기, 오존, 순수한 산소, 산소 라디칼들 또는 다른 산소 공급원일 수있다. 금속 불화물 층을 형성하기 위해 ALD 시스템에 의해 사용되는 불화물-반응물들은 예를 들어 불화물(예를 들어, TiF4, HF) 또는 다른 불소 공급원일 수 있다.
도 3으로 되돌아가면, 블록(380)에 따라, 제1 M-O-F 층은 인 시튜(in situ)로, 제1 M-F 층으로부터 적어도 하나의 불소를 제1 M-O 층에 또는 제1 M-O 층로부터 산소를 제1 M-F 층에 확산시킴으로써 형성될 수 있다. 확산은 제1 희토류 불화물 층의 증착에서 시작하고, 추가의 희토류 산화물 층들 및 추가의 희토류 불화물 층들의 선택적 증착과 동시에 증착 공정 동안 계속될 수 있다. 산소 대 불소(O/F)의 몰비는 M-O 층을 형성하는데 사용된 ALD 사이클들의 수 x 및 M-F 층을 형성하는데 사용된 ALD 사이클들의 수 y를 제어함으로써 정확하게 제어될 수 있다. 예를 들어, Y-O F 코팅은 Y2O3 및 YF3의 교번 층들로부터 형성된다. 따라서, 제1 M-O 층을 형성하는 x회의 ALD 사이클들 및 제1 M-F 층을 형성하는 y회의 ALD 사이클들은 MOaFb(여기서 a 및 b는 각각 x 및 y에 기초할 수 있음) 구조를 갖는 제1 희토류 옥시플루오라이드 층을 형성한다. 일부 구체예들에서, a와 b, 및 x와 y 사이의 관계는 각각 실험적으로 결정될 수 있다.
일부 구체예들에서, x 및 y는 약 0 내지 1000, 약 1 내지 500, 약 1 내지 200, 약 1 내지 100, 약 1 내지 75, 약 1 내지 50, 또는 약 1 내지 25 범위의 유한 정수들을 나타낼 수 있다. 일 구체예에서, x 및 y는 동일할 수 있으며, 예를 들어 x 및 y는 희토류 금속 산화물 및 희토류 금속 불화물의 교번 층이 형성될 수 있도록 1일 수 있다. ALD 증착의 각각의 사이클은 약 1 옹스트롬의 층 두께를 증착할 수 있다. 예를 들어, TMA 및 H2O에 의해 성장된 Al2O3 단일 층의 성장 속도는 약 0.9-1.3Å/사이클인 반면, Al2O3 격자 상수는 a-4.7Å이고, c = 13Å(삼각 구조의 경우)이다.
희토류 옥시플루오라이드 코팅에서의 불소 농도 및/또는 O/F 몰비는 공정 챔버 구성요소가 노출될 수 있는 특정 후속 가공을 위해 코팅에 맞추어 조정될 수 있다. 예를 들어, 공정 챔버 구성요소가 평형시 불소 농도가 20%인 후속 가공에 노출될 수 있는 경우, 모두 동시에 층들을 확산시키면서, M-O 층을 형성하기 위해 x회의 ALD 사이클들을, 그리고 M-F 층을 형성하기 위해 y회의 ALD 사이클들을 수행함으로써 O/F 몰비가 4:1로 조정될 수 있다. 일부 구체예들에서, O/F 몰비는 0 내지 약 100, 0 내지 약 75, 0 내지 약 50, 0 내지 약 25, 0 내지 약 10, 또는 0 내지 약 5의 범위일 수 있다. 일부 구체예들에서, 희토류 옥시플루오라이드 코팅에서의 불소 농도는 약 0% 내지 100%, 약 5% 내지 100%, 10% 내지 95%, 약 20% 내지 90%, 약 20% 내지 80%, 약 10%, 약 20%, 약 30%, 약 40%, 약 50%, 약 60%, 약 70%, 약 80%, 약 90%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치일 수 있다. 일부 구체예들에서, 희토류 옥시플루오라이드 코팅에서의 산소 농도는 약 0% 내지 100%, 약 5% 내지 100%, 약 10% 내지 95%, 약 20% 내지 90%, 약 20% 내지 80%, 약 10%, 약 20%, 약 30%, 약 40%, 약 50%, 약 60%, 약 70%, 약 80%, 약 90%, 또는 이들 범위들에 속하는 임의의 다른 범위 및/또는 수치일 수 있다. 산소 및 불소 농도들은 본원에서 M-O-F 조성과 관련하여 측정된 바와 같이 인용되었다. M-O-F 코팅에서의 O/F 몰비는 x, y, 전구체의 접착 계수, 각각의 반응물의 반응성 도우즈(dose) 등을 포함하는, 많은 인자들의 영향을 받는다. 사이클 수들 x 및 y는, 목표 O/F 몰비를 달성하기 위한 특정 공정 레시피에 대해 실험적으로 결정될 수 있으며, 이는 M-O-F 코팅이 노출될 수 있는 후속 가공과 관련하여 최적 O/F 몰비(및 상응하는 최적 불소 농도)를 갖는 M-O-F 코팅을 초래한다.
일부 구체예들에서, 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하기 위한 x회의 ALD 사이클들은 희토류-함유 종의 제1 흡착 층을 챔버 구성요소의 표면 상에 증착하는 것을 포함할 수 있다. 제1 흡착 층은 블록(330)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버 내로 희토류-함유 전구체를 주입시킴으로써 증착될 수 있다.
x회의 ALD 사이클들은 또한 산소를 제1 흡착 층과 반응시켜 제1 희토류 산화물 층 M-O을 형성하는 것을 포함할 수 있다. 이는 블록(340)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버 내로 산소-함유 반응물을 주입시킴으로써 수행될 수 있다. 일부 구체예들에서, 산소-함유 반응물은 예를 들어, 공기, 산소 가스(O2), 수증기, O3 가스, O2 플라즈마, O2 이온들 및 라디칼들을 사용하는 이온 충격(ion bombardment), 또는 이들의 임의의 조합일 수 있다. 일부 구체예들에서, 제1 희토류 산화물 층(M-O)은 이트륨 산화물(Y2O3)일 수 있다.
일부 구체예들에서, 공정 챔버 구성요소의 표면 상에 및/또는 제1 희토류 산화물 층 상에 제1 희토류 불화물 층을 형성하기 위한 y회의 ALD 사이클들은 희토류-함유 종의 제2 흡착 층을 챔버 구성요소의 표면 상에 및/또는 제1 희토류 산화물 층 상에 증착하는 것을 포함할 수 있다. 제2 흡착 층은 블록(360)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버에 희토류-함유 전구체를 주입시킴으로써 증착될 수 있다. 특정 구체예들에서, 제2 흡착 층은 제1 흡착 층과 동일할 수 있으며, 예를 들어 흡착 층들은 둘 모두 이트륨을 포함할 수 있다. 다른 구체예들에서, 제2 흡착 층은 제1 흡착 층과 상이할 수 있다. 특정 구체예들에서, 상이한 희토류-함유 전구체들이 제1 및 제2 흡착 층들을 증착하는데 사용될 수 있다. 다른 구체예들에서, 동일한 희토류-함유 전구체가 제1 및 제2 흡착 층들을 증착하는데 사용된다.
희토류 흡착 층들 중 적어도 하나가 이트륨을 포함하는 경우, 이트륨 전구체, 예컨대 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 또는 이트륨(III)부톡사이드가 사용될수 있다. 희토류 흡착 층들 중 적어도 하나가 알루미늄을 포함하는 경우, 예를 들어 M-O가 Al2O3인 경우, 알루미늄 전구체, 예컨대 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄이 사용될 수 있다. 희토류 흡착 층들 중 적어도 하나가 에르븀을 포함하는 경우, 예를 들어 M-O가 Er2O3인 경우, 에르븀 전구체, 예컨대 트리스-메틸사이클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III)트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸사이클로펜타디에닐)에르븀(III)이 사용될 수 있다.
y회의 ALD 사이클들은 또한 불소를 제2 흡착 층과 반응시켜 제1 희토류 불화물 층 M-F를 형성하는 것을 포함할 수 있다. 이는 블록(370)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버 내로 불소-함유 반응물을 주입함으로써 수행될 수 있다. 일부 구체예들에서, 불소-함유 반응물은, 예를 들어, 불화물(예를 들어, TiF4, HF) 또는 다른 불소 공급원일 수 있다.
제1 희토류 산화물 층 M-O 및 제1 희토류 불화물 층 M-F이 형성되면, 층들은 확산되어 x 및 y에 기초한 산소 대 불소 몰비를 갖는 제1 M-O-F 층을 형성할 수 있다. 층들의 확산은 M-O 및 M-F 층들의 증착 동안, 즉 인 시튜로 계속 형성된다. 특정 구체예들에서, 제1 M-F 층으로부터의 불소는 제1 M-O 층으로 확산된다. 특정 구체예들에서, 제1 M-O 층으로부터의 산소는 제1 M-F 층으로 확산된다. 특정 구체예들에서, 제1 M-F 층으로부터의 불소가 제1 M-O 층으로 확산되면서, 또한 제1 M-O 층으로부터의 산소가 제1 M-F 층으로 확산된다. ALD 층들의 얇은 성질로 인해, M-O및 M-F 층들 사이의 확산은 별도의 어닐링(이는 불필요하게 추가의 응력 및/또는 구조적 변화를 도입시킬 수 있음) 없이 ALD 증착 온도에서 일어날 수 있다. 다른 구체예들에서, M-O 및 M-F 층들 사이의 확산을 증폭시킬 수 있는 별도의 어닐링이 있을 수 있다.
목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 특정 적용들에 바람직할 수 있다. 따라서, 목표 두께를 갖는 희토류 옥시플루오라이드(M-O-F) 코팅은 목표 두께가 달성될 때까지 복수의 추가의 희토류 산화물 층들을 형성하기 위한 x회의 ALD 사이클들 및 복수의 추가의 희토류 불화물 층들을 형성하기 위한 y회의 ALD 사이클들을 m번 반복함으로써 형성될 수 있다. m은 약 1 내지 1000, 약 1 내지 500, 약 1 내지 200, 약 1 내지 100, 약 1 내지 75, 약 1 내지 50, 또는 약 1 내지 25 범위의 유한 정수들을 나타낼 수 있다. 목표 두께는 약 1 nm 내지 1000 ㎛일 수 있다. 구체예들에서, 목표 두께는 약 750 ㎛, 최대 약 500 ㎛, 최대 약 400 ㎛, 최대 약 300 ㎛, 최대 약 250 ㎛, 최대 약 200 ㎛, 최대 약 150 ㎛, 최대 약 100 ㎛, 또는 다른 최대치의 최대 두께를 가질 수 있다. 구체예들에서, 목표 두께는 최소 5 nm, 최소 10 nm, 최소 15 nm, 또는 다른 최소치일 수 있다.
일부 구체예들에서, M-O-F 코팅은 복수의 추가의 희토류 산화물 층들과 복수의 추가의 희토류 불화물 층들 사이에 불소 또는 산소 중 적어도 하나를 확산시킴으로써 추가로 형성될 수 있다. 특정 구체예들에서, 이미 증착된 희토류 산화물 층들과 희토류 불화물 층들 내에 및 그 사이에 적어도 하나의 불소 또는 산소를 확산시키는 것은 후속 희토류 산화물 층들 및 후속 희토류 불화물 층들의 증착 동안에 일어난다.
일부 구체예들에서, 제1 희토류 산화물 층 및 복수의 추가의 희토류 산화물 층들을 형성하기 위한 x회의 ALD 사이클들의 수는 모든 m회 반복들에 걸쳐 일정할 수 있거나 다양한 m회의 사이클들 중에서 변할 수 있다. 일부 구체예들에서, 제1 희토류 불화물 층 및 복수의 추가의 희토류 불화물 층들을 형성하기 위한 y회의 ALD 사이클들의 수는 모든 m회 반복들에 걸쳐 일정할 수 있거나 다양한 m회의 사이클들 중에서 변할 수 있다.
모든 m회 반복들에 걸쳐 x회의 ALD 사이클들의 수 및 y회의 ALD 사이클들의 수가 일정하게 유지하거나 일정한 x 대 y의 비를 유지하는 경우, O/F 몰비는 도 2a에 도시된 바와 같이 M-O-F 코팅의 목표 두께에 걸쳐 균일할 수 있다. O/F 몰비는 공정 챔버 구성요소가 노출될 수 있는 후속 가공 동안 평형시 달성되는 불소 농도에 기초하여 선택될 수 있다. 일부 구체예들에서, M-O-F 코팅에서의 O/F 몰비는 후속 가공 동안 평형시 형성되는 O/F 몰비의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있는 것이 유리할 수 있다.
일부 구체예들에서, m회 반복들에 걸쳐 x회의 ALD 사이클들(M-O 형성)의 수가 점차 증가하고, y회의 ALD 사이클들(M-F 형성)의 수가 점차 감소하는 경우, O/F 몰비는 하단에서 위로 점차 증가할 수 있다. 이러한 구체예들에서, 공정 챔버 구성요소의 표면에 더 근접할 수 있는 하단은 공정 챔버 구성요소의 후속 가공 동안 불소 화학 물질에 노출될 수 있는 상단의 제2 불소 농도보다 높은 제1 불소 농도를 가질 수 있다. 제1 불소 농도와 제2 불소 농도 간의 차이는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 산소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 후속 가공 동안 불소 화학 물질에 노출될 수 있는 코팅의 상단에서의 제2 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
일부 구체예들에서, m회 반복들 전체를 통해 x회의 ALD 사이클들(M-O 형성)의 수가 점차 감소하고, y회의 ALD 사이클들(M-F 형성)의 수가 점차 증가하는 경우, O/F 몰비는 하단에서 위로 점차 감소할 수 있다. 이러한 구체예들에서, 하단은 상단보다 더 낮은 불소 농도를 가질 수 있다. 하단 불소 농도와 상단 불소 농도 간의 차이는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 불소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 상단 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
예를 들어, 일 구체예에서 모든 m회 반복들에 걸쳐 x는 4일 수 있고 y는 1일 수 있다. 다른 구체예에서, m회 반복들에 걸쳐 O/F 몰비 구배(및 상응하게 불소 농도 구배)를 형성하기 위해 제1 사이클에서 x는 0일 수 있고 y는 5일 수 있고, 제2 사이클에서 x는 1일 수 있고 y는 4일 수 있고, 제3 사이클에서 x는 2일 수 있고 y는 3일 수 있고, 제4 사이클에서 x는 3일 수 있고 y는 2일 수 있고, 제5 사이클에서 x는 4일 수 있고 y는 1일 수 있다.
불소 농도 구배는 코팅에서의 불소 확산의 방향에 기여할 수 있다. M-O-F 코팅의 하단에서 불소 농도가 더 높으면, 예를 들어 불소가 더 확산되어 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면에 도달하게 하지 않고 M-O-F 코팅 어딘가에서 불소의 확산을 정지시킴으로써, 후속 가공 동안 발생하는 불소의 확산을 감소시키거나 심지어 막을 수 있다. 이러한 유형의 코팅은 박리, 입자 생성, 표면 열화 및 균열과 같은 바람직하지 않은 영향들을 초래할 수 있는 불소 침입들로부터 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면을 보호할 수 있다.
일부 구체예들에서, 코팅에 형성된 불소 농도 프로파일은 선형, 역수(inverse) 및 2차 방정식(quadratic)로 이루어진 군으로부터 선택된 수학적 관계를 따를 수 있다. 일 구체예에서, 불소 농도 프로파일은 선형일 수 있다. 다른 구체예들에서, 불소 농도 프로파일은 무작위일 수 있다. 또 다른 구체예들에서, 불소 농도 프로파일은 실험적으로 얻어질 수 있다. 본원에 사용된 불소 농도 프로파일은 희토류 옥시플루오라이드 코팅들에 걸친 불소 농도 분포를 지칭한다. 예를 들어, 불소 농도는 하단에서 상단으로 증가할 수 있거나, 하단에서 상단으로 감소할 수 있거나, 하단에서 상단으로 일정하고 균일하게 유지될 수 있거나, 불소 농도는 하단에서 상단으로 증가한 후 감소할 수 있거나, 하단에서 상단으로 감소한 후 증가할 수 있거나, 임의의 불소 분포를 가질 수 있다.
예를 들어, 최종 M-O-F 코팅에서 목표 O/F 몰비가 달성될 수 있도록, M-O 층을 형성하는 x회의 ALD 사이클들에 대한 제1 수치가 선택될 수 있고, M-F 층을 형성하는 y회의 ALD 사이클들에 대한 제2 수치가 선택될 수 있다. 특정 구체예들에서, M-O 및 M-F 층들 중 적어도 하나의 ALD 사이클이 수행되어, 제1 M-O-F 층 또는 초기 몇 개의 M-O-F 층들을 포함할 수 있는 임시 M-O-F 코팅을 형성할 수 있다. 이후, 임시 M-O-F 코팅에서의 O/F 몰비를 결정하기 위해 임시 M-O-F 코팅이 분석될 수 있다(또한 인-시튜 분석으로서 지칭됨). 특정 구체예들에서, M-O 및 M-F 층들의 복수의 ALD 사이클들은 목표 M-O-F 두께가 달성될 때까지 수행될 수 있으며, 최종 M-O-F 코팅의 O/F 몰비를 결정하기 위해 최종 M-O-F 코팅이 분석될 수 있다(또한, 코팅 후 분석으로서 지칭됨). O/F 몰비가 목표 O/F 몰비보다 클 경우, x에 대한 제1 수치(M-O 층을 형성하는 ALD 사이클들의 수를 조절하는)는 감소될 수 있고, y에 대한 제2 수치(M-F 층을 형성하는 ALD 사이클들의 수를 조절하는)는 증가될 수 있다. O/F 몰비가 목표 O/F 몰비보다 낮을 경우, x에 대한 제1 수치(M-O 층을 형성하는 ALD 사이클들의 수를 조절하는)는 증가될 수 있고, y에 대한 제2 수치(M-F 층을 형성하는 ALD 사이클들의 수를 조절하는)는 감소될 수 있다. O/F 몰비가 목표 O/F 몰비와 같을 경우, ALD 사이클들은 목표 두께가 달성될 때까지 x 또는 y의 수치를 수정하지 않고 반복될 수 있다. x 및 y의 조절들은 인-시튜 분석 동안 후속 ALD 사이클들에 대해, 또는 분석이 코팅 후 분석인 경우 후속 코팅들에 대해 이루어질 수 있다.
증착 공정 자체 동안 M-O-F 코팅에서의 O/F 몰비를 실험적으로 분석하기 위해 사용되는 인-시튜 "체크 포인트들(check points)"은 엄격한 제어를 위해 증착된 M-O 및 M-F 층들의 각각의 ALD 사이클 후에 발생하도록 프로그램될 수 있거나, 완전히 생략될 수 있다. 예를 들어, M-O-F 코팅 두께에 걸쳐 O/F 몰비가 균일할 경우, 체크 포인트들이 더 적을 수 있고, 체크 포인트들이 전혀 없을 가능성이 있다. 반면, M-O-F 코팅이 코팅 두께에 걸쳐 O/F 몰비 구배를 포함하는 경우, 보다 빈번한 체크 포인트들이 수행될 수 있다.
일부 구체예들에서, M-O-F 코팅을 증착하기 전에, 공정 챔버 구성요소는 블록(310)에 따라 완충 층으로 임의로 코팅될 수 있다. 이러한 구체예들에서, 완충 층은 하기 목적들 중 적어도 하나를 위해 사용될 수 있다: 공정 챔버 구성요소와 M-O-F 코팅 간의 접착을 촉진하기 위한 접착 층으로 작용하기 위해 및/또는 공정 챔버 구성요소와 M-O-F 코팅 간의 열팽창 계수(CTE) 차이를 줄이기 위해. 예를 들어, 공정 챔버 구성요소의 표면은 제1 CTE를 가질 수 있고, 완충 층은 제2 CTE를 가질 수 있고, M-O-F 층은 제3 CTE를 가질 수 있다. 완충 층의 제2 CTE는 공정 챔버 구성요소의 표면의 제1 CTE와 M-O-F 층의 제3 CTE 사이에 있을 수 있다. 예를 들어, 공정 챔버 구성요소의 표면은 알루미늄에 대해 약 22-25 ppm/K 또는 스테인레스 스틸에 대해 약 13 ppm/K의 CTE를 갖는 금속 바디(예를 들어, 알루미늄 또는 알루미늄 합금, 예컨대 Al 6061) 또는 세라믹 바디(예를 들어, Al2O3, AlN, SiC, 등)일 수 있고, 완충 층은 Al2O3일 수 있고, M-O-F는 약 6-8 ppm/K의 Y2O3의 CTE에 가까운 CTE를 갖는 YOF 코트(coat)일 수 있다. 이러한 구체예에서, 완충 층은 코팅과 공정 챔버 구성요소 간의 CTE 차이를 줄여 CTE 불일치로 비롯될 수 있는 열 사이클링시 균열에 대한 코팅의 감수성을 감소시킨다.
일부 구체예들에서, 완충 층은 공정 챔버 구성요소 상에 증착되지 않을 수 있고, 도 3의 공정을 통해 얻어진 M-O-F 코팅이 공정 챔버 구성요소 자체에 직접 증착될 수 있다.
일부 구체예들에서, 공정은 임의로 코팅 후 어닐링을 추가로 포함할 수 있다.
도 4는 어느 한 구체예에 따라 공정 챔버 구성요소를 희토류 옥시플루오라이드 코팅(M-O-F)으로 코팅하는 공정(400)을 도시한 것이다. 일부 구체예들에서, 공정 챔버 구성요소의 표면 상에 제1 M-O-F 층을 제조하는 공정은 특정 챔버 구성요소가 노출될 수 있는 챔버 화학 물질에 기초하여 코팅되는 특정 챔버 구성요소에 맞춤화된 정확한 O/F 몰비를 목표로 하는 공동 증착 또는 동시 투여 ALD 사이클을 수행하는 것을 포함한다.
ALD 사이클은 블록(420)에 따라 공정 챔버 구성요소의 표면 상에 희토류의 제1 흡착 층을 증착하는 것을 포함할 수 있다. 희토류 흡착 층은 블록(430)에 따라 챔버 구성요소를 함유하는 증착 챔버로 희토류 함유 전구체를 주입함으로써 증착될 수 있다. 특정 구체예들에서, 희토류 흡착 층은 이트륨을 포함할 수 있고, 희토류-함유 전구체는 이트륨-함유 전구체일 수 있다. 다른 구체예들에서, 희토류 흡착 층은 희토류 금속들, 및 Ta, Al 및 Zr을 포함하나 이로 제한되지 않는 다른 금속들을 포함할 수 있다. 따라서, 흡착 층의 금속에 따라, 상응하는 전구체가 상기 금속을 증착하는데 사용된다. 일부 구체예들에서, 복수의 양립성 전구체들이 희토류 흡착 층을 증착하는데 사용될 수 있다. 형성될 M-O-F 층은 흡착 층의 특정 금속에 좌우될 것이다.
ALD 사이클은 블록(440)에 따라 산소 및/또는 불소 중 적어도 하나를 흡착 층과 반응시키는 것을 추가로 포함할 수 있다. 일부 구체예들에서, 산소 및 불소 둘 모두가 흡착 층과 반응하여 M-O-F 층을 형성한다. 산소 및/또는 불소는 블록(450)에 따라 적어도 하나의 산소-함유 반응물 및 적어도 하나의 불소-함유 반응물을 증착 챔버에 공동 주입함으로써 챔버 구성요소를 함유하는 증착 챔버에 도입될 수 있다. 공동 주입은 하나의 반응물(예를 들어, O-함유 반응물)을 먼저 주입하고, 다른 반응물(예를 들어, F-함유 반응물)을 다음에 주입함으로써(또한 공동 증착으로서 지칭됨), 또는 O-함유 반응물 및 F-함유 반응물을 동시에 주입함으로써(또한 동시 투여로서 지칭됨) 수행될 수 있다. 산소 및/또는 불소가 증착 챔버에 도입되면, 이들은 흡착 층과 반응하는데 이용 가능하게 될 수 있다.
일부 구체예들에서, 단일 산소-함유 반응물이 증착 챔버 내로 주입될 수 있다. 다른 구체예들에서, 복수의 산소-함유 반응물들이 증착 챔버 내로 주입될 수 있다. 일부 구체예들에서, 단일 불소-함유 반응물이 증착 챔버 내로 주입될 수 있다. 다른 구체예들에서, 복수의 불소-함유 반응물들이 증착 챔버 내로 주입될 수 있다.
일부 구체예들에서, 단일 산소-함유 반응물 및 단일 불소-함유 반응물이 증착 챔버에 동시에 공동 주입될 수 있다. 일부 구체예들에서, 단일 산소-함유 반응물 및 복수의 불소-함유 반응물들이 증착 챔버에 동시에 공동 주입될 수 있다. 일부 구체예들에서, 복수의 산소-함유 반응물들 및 단일 불소-함유 반응물이 증착 챔버에 동시에 공동 주입될 수 있다. 일부 구체예들에서, 복수의 산소-함유 반응물들 및 복수의 불소-함유 반응물들이 증착 챔버에 동시에 공동 주입될 수 있다.
적어도 하나의 산소-함유 반응물은 제1 투여율(dose rate)로 주입될 수 있고 적어도 하나의 불소-함유 반응물은 제2 투여율로 주입될 수 있다. 투여율들은 상응하는 반응물의 분압과 직접 관련될 수 있다. 다양한 반응물들의 분압은 각각의 반응물의 흡착 층과의 반응성(즉, 코팅에 궁극적으로 증착될 수 있는 반응물의 양)과 직접 관련될 수 있다. 이들 관계들에 기초하여, 코팅에서의 각각의 반응물의 특정 양들이 증착 챔버 내의 각각의 반응물의 분압을 제어함으로써 제어될 수 있으며, 이는 결국 각각의 반응물의 투여율들을 통해 제어될 수 있다. 따라서, M-O-F 코팅에서의 O/F 몰비는 M-O-F 코팅에서의 O/F 몰비에 비례할 수 있는 제1 투여율 대 제2 투여율의 비를 제어함으로써 맞춤화될 수 있다.
목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 특정 적용들에 바람직할 수 있다. 따라서, 목표 두께를 갖는 희토류 옥시플루오라이드(M-O-F) 코팅은 목표 두께가 달성될 때까지 복수의 후속 M-O-F 코팅 층들을 형성하기 위해 공동 증착 ALD 사이클을 n번 반복함으로써 형성될 수 있다. n은 약 1 내지 1000, 약 1 내지 500, 약 1 내지 200, 약 1 내지 100, 약 1 내지 75, 약 1 내지 50, 또는 약 1 내지 25 범위의 유한 정수들을 나타낼 수 있다. 목표 두께는 약 1 nm 내지 1000 ㎛일 수 있다. 구체예들에서, 목표 두께는 최대 약 750 ㎛, 최대 약 500 ㎛, 최대 약 400 ㎛, 최대 약 300 ㎛, 최대 약 250 ㎛, 최대 약 200 ㎛, 최대 약 150 ㎛, 최대 약 100 ㎛, 50 ㎛의 최대 두께, 30 ㎛의 최대 두께, 10 ㎛의 최대 두께, 또는 다른 최대 두께를 가질 수 있다. 구체예들에서, 목표 두께는 최소 5 nm, 최소 10 nm, 최소 15 nm, 25 nm의 최소 두께, 35 nm의 최소 두께, 50 nm의 최소 두께, 또는 다른 최소치를 가질 수 있다.
일부 구체예들에서, 흡착 층은 모든 n회 반복들에 걸쳐 동일하거나 다양한 n회의 사이클들에 걸쳐 달라질 수 있다. 흡착 층을 증착하는데 사용된 전구체는 또한 모든 반복들에 걸쳐 동일하거나 다양한 n회의 사이클들에 걸쳐 달라질 수 있다.
일부 구체예들에서, 제1 투여율 및 제2 투여율은 모든 n회 반복들에 걸쳐 일정할 수 있다. 이러한 구체예들에서, 제1 투여율 대 제2 투여율의 일정한 비가 유지될 수 있으며, 이는 도 2a에 도시된 바와 같이 M-O-F 코팅의 목표 두께에 걸쳐 균일한 O/F 몰비를 가져올 수 있다.
제1 및 제2 투여율은 M-O-F 코팅에서의 목표 O/F 몰비에 기초하여 선택될 수 있다. 목표 O/F 몰비는 공정 챔버 구성요소가 노출될 수 있는 후속 가공 동안 평형시 달성되는 불소 농도에 기초하여 선택될 수 있다. M-O-F 코팅에서의 O/F 몰비는 후속 가공 동안 평형시 형성되는 O/F 몰비의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있는 것이 바람직하다.
일부 구체예들에서, 제1 투여율 또는 제2 투여율 중 적어도 하나는 n회의 사이클들에 걸쳐 점차 변할 수 있다. 예를 들어, O/F 몰비가 하단에서 위로 점차 증가할 수 있도록 n회의 사이클들에서 각각의 반복마다 제1 투여율(산소-함유 반응물 주입)은 점차 증가할 수 있고, 제2 투여율(불소-함유 반응물 주입)은 점차 감소할 수 있다. 이러한 구체예들에서, 공정 챔버 구성요소의 표면에 더 근접할 수 있는 하단은 공정 챔버 구성요소의 후속 가공 동안 불소 화학 물질에 노출될 수 있는 상단의 제2 불소 농도보다 높은 제1 불소 농도를 가질 수 있다. 제1 불소 농도와 제2 불소 농도 간의 차이는 M-O-F 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 산소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 후속 가공 동안 불소 화학 물질에 노출될 수 있는 코팅의 상단에서의 제2 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
일부 구체예들에서, O/F 몰비가 하단에서 위로 점차 감소할 수 있도록 n회의 사이클들에 걸쳐 각각의 반복마다 제1 투여율(산소-함유 반응물의)은 점차 감소할 수 있고 제2 투여율(불소-함유 반응물의)은 점차 증가할 수 있다. 이러한 구체예들에서, 하단은 상단보다 낮은 불소 농도를 가질 수 있다. 하단 불소 농도와 상단 불소 농도 간의 차이는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 불소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 상단 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
불소 농도 구배는 코팅에서의 불소 확산의 방향에 기여할 수 있다. M-O-F 코팅의 하단에서 불소 농도가 더 높으면, 예를 들어 불소가 더 확산되어 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면에 도달하게 하지 않고 M-O-F 코팅 어딘가에서 불소의 확산을 정지시킴으로써, 후속 가공 동안 발생하는 불소의 확산을 감소시키거나 심지어 막을 수 있다. 이러한 유형의 코팅은 박리, 입자 생성, 표면 열화 및 균열과 같은 바람직하지 않은 영향들을 초래할 수 있는 불소 침입들로부터 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면을 보호할 수 있다.
일부 구체예들에서, 코팅에 형성된 불소 농도 프로파일은 선형, 역수 및 2차 방정식으로 이루어진 군으로부터 선택된 수학적 관계를 따를 수 있다. 일 구체예에서, 불소 농도 구배는 선형일 수 있다. 일부 구체예들에서, 불소 농도 프로파일은 단조( monotonic)일 수 있다. 불소 농도는 코팅에서의 O/F 몰비 및 제1 투여율 대 제2 투여율의 비와 직접 관련될 수 있다. 따라서, 불소 농도 구배에 적용될 수 있는 수학적 관계들은 또한 제1 투여율 대 제2 투여율의 비 구배 뿐만 아니라 O/F 몰비 구배에도 적용될 수 있다.
일부 구체예들에서, 불소 농도 프로파일은 무작위일 수 있다. 본원에서 사용되는 바와 같은 불소 농도 프로파일은 희토류 옥시플루오라이드 코팅들에 걸친 불소 농도 분포를 지칭한다. 예를 들어, 불소 농도는 하단에서 상단으로 증가할 수 있거나, 하단에서 상단으로 감소할 수 있거나, 하단에서 상단으로 일정하고 균일하게 유지될 수 있거나, 불소 농도는 하단에서 상단으로 증가한 후 감소할 수 있거나, 하단에서 상단으로 감소한 후 증가할 수 있거나, 임의의 불소 분포를 가질 수 있다.
일부 구체예들에서, 불소 농도 프로파일은 실험적으로 얻어질 수 있다. 예를 들어, 최종 M-O-F 코팅에서 목표 O/F 몰비가 달성될 수 있도록 제1 투여율은 적어도 하나의 산소-함유 반응물에 대해 선택될 수 있고, 제2 투여율은 적어도 하나의 불소-함유 반응물에 대해 선택될 수 있다. 특정 구체예들에서, 적어도 하나의 공동 증착 ALD 사이클이 수행되어 제1 M-O-F 층 또는 초기 몇 개의 M-O-F 층들을 포함할 수 있는 임시 M-O-F 코팅을 형성할 수 있다. 이후, 임시 M-O-F 코팅에서의 O/F 몰비를 결정하기 위해 임시 M-O-F 코팅이 분석될 수 있다(또한 인-시튜 분석으로서 지칭됨). 특정 구체예들에서, 복수의 ALD 사이클들은 목표 M-O-F 두께가 달성될 때까지 수행될 수 있으며, 최종 M-O-F 코팅의 O/F 몰비를 결정하기 위해 최종 M-O-F 코팅이 분석될 수 있다(또한, 코팅 후 분석으로서 지칭됨). O/F 몰비가 목표 O/F 몰비보다 클 경우, 제1 투여율(적어도 하나의 산소-함유 반응물의 주입률을 조절하는)은 감소될 수 있고, 제2 투여율(적어도 하나의 불소-함유 반응물의 주입률을 조절하는)은 증가될 수 있다. O/F 몰비가 목표 O/F 몰비보다 낮을 경우, 제1 투여율(적어도 하나의 산소-함유 반응물의 주입률을 조절하는)은 증가될 수 있고, 제2 투여율(적어도 하나의 불소-함유 반응물의 주입률을 조절하는)은 감소될 수 있다. O/F 몰비가 목표 O/F 몰비와 같을 경우, 공동 증착 ALD 사이클들은 목표 두께가 달성될 때까지 반복될 수 있다. 투여율들의 조절들은 인-시튜 분석 동안 후속 ALD 사이클들에 대해, 또는 분석이 코팅 후 분석인 경우 후속 코팅들에 대해 이루어질 수 있다.
증착 공정 자체 동안 M-O-F 코팅에서의 O/F 몰비를 실험적으로 분석하기 위해 사용되는 인-시튜 "체크 포인트들"은 엄격한 제어를 위해 각각의 공동 증착 ALD 사이클 후에 발생하도록 프로그램될 수 있거나, 완전히 모두 생략될 수 있다. 예를 들어, M-O-F 코팅 두께에 걸쳐 O/F 몰비가 균일할 경우, 체크 포인트들이 더 적을 수 있고, 체크 포인트들이 전혀 없을 가능성이 있다. 반면, M-O-F 코팅이 코팅 두께에 걸쳐 O/F 몰비 구배를 포함하는 경우, 보다 빈번한 체크 포인트들이 수행될 수 있다.
일부 구체예들에서, M-O-F 코팅을 증착하기 전에, 공정 챔버 구성요소는 블록(410)에 따라 완충 층으로 임의로 코팅될 수 있다. 이러한 구체예들에서, 완충 층은 하기 목적들 중 적어도 하나를 위해 사용될 수 있다: 공정 챔버 구성요소와 M-O-F 코팅 간의 접착을 촉진하기 위한 접착 층으로 작용하기 위해 및/또는 공정 챔버 구성요소와 M-O-F 코팅 간의 열팽창 계수(CTE) 차이를 줄이기 위해. 예를 들어, 공정 챔버 구성요소의 표면은 제1 CTE를 가질 수 있고, 완충 층은 제2 CTE를 가질 수 있고, M-O-F 층은 제3 CTE를 가질 수 있다. 완충 층의 제2 CTE는 공정 챔버 구성요소의 표면의 제1 CTE와 M-O-F 층의 제3 CTE 사이에 있을 수 있다. 예를 들어, 공정 챔버 구성요소의 표면은 알루미늄에 대해 약 22-25 ppm/K 또는 스테인레스 스틸에 대해 약 13 ppm/K의 CTE를 갖는 금속 바디(예를 들어, 알루미늄 또는 알루미늄 합금, 예컨대 Al 6061) 또는 세라믹 바디(예를 들어, Al2O3, AlN, SiC, 등)일 수 있고, 완충 층은 Al2O3일 수 있고, M-O-F는 약 6-8 ppm/K의 Y2O3의 CTE에 가까운 CTE를 갖는 YOF 코트일 수 있다. 이러한 구체예에서, 완충 층은 코팅과 공정 챔버 구성요소 간의 CTE 차이를 줄여 CTE 불일치로 비롯될 수 있는 열 사이클링시 균열에 대한 코팅의 감수성을 감소시킨다.
일부 구체예들에서, 완충 층은 공정 챔버 구성요소 상에 증착되지 않을 수 있고, 도 4의 공정을 통해 얻어진 M-O-F 코팅이 공정 챔버 구성요소 자체에 직접 증착될 수 있다.
도 5는 어느 한 구체예에 따라 공정 챔버 구성요소를 옥시플루오라이드 코팅(M-O-F)으로 코팅하기 위한 공정(500)을 도시한 것이다. 일부 구체예들에서, 제1 M-O-F 층은 블록(520)에 따라 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하기 위해 z회의 ALD 사이클들을 수행함으로써 형성될 수 있다. Z는 약 1 내지 1000, 약 1 내지 500, 약 1 내지 200, 약 1 내지 100, 약 1 내지 75, 약 1 내지 50, 또는 약 1 내지 25 범위의 유한 정수들을 나타낼 수 있다.
희토류 산화물 층은 M-O로서 표현될 수 있다. 일부 예들에서, 금속 산화물 코팅은 Al2O3, ZrO2, Ta2O5, HfO2 또는 희토류 산화물, 예컨대 Gd2O3, Yb2O3, Er2O3 또는 Y2O3일 수 있다. 금속 산화물 코팅은 또한 더욱 복잡한 산화물들, 예컨대 Y3Al5O12(YAG), Y4Al2O9(YAM), Y2O3 안정화된 ZrO2(YSZ), Er3Al5O12(EAG), Y2O3-ZrO2 고용체, Y2O3-Er2O3 고용체, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 복합 세라믹일 수 있다. 일 구체예에서, 금속 산화물 층은 하기 조성들 중 하나로 Y2O3-ZrO2의 고용체를 포함할 수 있다: 20-80 mol% Y2O3 및 20-80 mol% ZrO2, 30-70 mol% Y2O3 및 30-70 mol% ZrO2, 40-60 mol% Y2O3 및 40-60 mol% ZrO2, 50-80 mol% Y2O3 및 20-50 mol% ZrO2, 또는 60-70 mol% Y2O3 및 30-40 mol% ZrO2. 형성될 M-O-F 층은 형성되는 특정 금속 산화물 층에 좌우될 것이다.
제1 M-O-F 층은 블록(550)에 따라 z개의 M-O 층들로 코팅된 공정 챔버 구성요소를 불소 함유 종에 노출시킴으로써 추가로 형성될 수 있다. 불소 함유 종은 분자들, 라디칼들, 이온들 등을 포함할 수 있다. 금속 산화물 코팅의 적어도 일부는 블록(560)에 따라 소정 기간 동안 승온에서 금속 산화물 코팅을 불소 공급원, 예컨대 HF, NF3, F2, NF3 플라즈마, F 라디칼들 등에 노출시킴으로써 M-O-F로 전환된다.
일부 구체예들에서, 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하기 위한 z회의 ALD 사이클들은 희토류의 제1 흡착 층을 챔버 구성요소의 표면 상에 증착하는 것을 포함할 수 있다. 제1 흡착 층은 블록(530)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버 내로 적어도 하나의 희토류-함유 전구체를 주입함으로써 증착될 수 있다.
z회의 ALD 사이클들은 또한 산소를 제1 흡착 층과 반응시켜 제1 희토류 산화물 층 M-O을 형성하는 것을 포함할 수 있다. 이는 블록(540)에 따라 공정 챔버 구성요소를 함유하는 증착 챔버 내로 산소-함유 반응물을 주입시킴으로써 수행될 수 있다. 일부 구체예들에서, 산소-함유 반응물은 예를 들어, 공기, 산소 가스(O2), 수증기, O3 가스, O2 플라즈마, O2 이온들 및 라디칼들을 사용하는 이온 충격, 또는 이들의 임의의 조합일 수 있다.
블록(550)에서, 공정 챔버 구성요소는 불소 함유 분자들에 노출될 수 있다. 노출은 최대 약 500℃의 온도에서, 예를 들어 약 150-1000℃, 약 350-1000℃, 약 100-500℃, 약 150-500℃, 약 250-500℃, 약 350-500℃, 약 150-350℃, 약 150-200℃, 또는 약 250-350℃의 승온에서 일어날 수 있다. 노출은 공정 챔버 구성요소가 희토류 산화물 층으로 코팅되어 있는 동일한 증착 챔버에서 일어날 수 있다. 대안적으로, 노출은 불소 함유 분자들을 이미 함유하거나, 불소 함유 분자들이 유입될 제2 가공 챔버에서 일어날 수 있다. 일부 구체예들에서, 공정 챔버 구성요소를 불소 함유 분자들에 노출시키는 것은 불소-함유 가스를 공정 챔버 구성요소를 함유하는 증착 챔버에 또는 공정 챔버 구성요소를 함유하는 또는 함유할 제2 가공 챔버에 유입하는 것을 포함한다. 대안적으로, 공정 챔버 구성요소는 다른 불소 공급원, 예컨대 NF3 가스, NF3 플라즈마, F2, 또는 F 라디칼들에 노출될 수 있다.
공정은 공정 챔버 구성요소의 표면 상에 추가의 희토류 산화물 층을 형성하기 위해 추가의 ALD 사이클을 수행하는 것을 추가로 포함할 수 있다. 공정은 그 상부에 코팅된 추가의 희토류 산화물 층을 갖는 공정 챔버 구성요소를 불소 함유 분자들에 노출시키는 것을 추가로 포함할 수 있다. 공정은 추가의 희토류 산화물 층을 추가의 희토류 옥시플루오라이드 층으로 전환시키는 것을 추가로 포함할 수 있다.
추가의 ALD 사이클은 희토류 산화물의 제1 층을 이미 포함할 수 있는 챔버 구성요소의 표면 상에 희토류의 추가의 흡착 층을 증착하는 것을 포함할 수 있다. 추가의 흡착 층은 블록(530)과 유사한 공정 챔버 구성요소를 함유하는 증착 챔버 내로 적어도 하나의 희토류-함유 전구체를 주입함으로써 증착될 수 있다. 추가의 ALD 사이클은 또한 추가의 희토류 산화물 층 M-O을 형성하기 위해 산소를 추가의 흡착 층과 반응시키는 것을 포함할 수 있다. 이는 블록(540)과 유사한 공정 챔버 구성요소를 함유하는 증착 챔버 내로 산소-함유 반응물을 주입함으로써 수행될 수 있다.
일 구체예에서, 공정 챔버 구성요소는 HF 가스(예를 들어, 무수 불화수소 가스)의 흐름에 노출될 수 있다. HF 가스의 유량은 약 100-1000 SCCM일 수 있다. 일 구체예에서, 노출은 최대 60분, 예를 들어 약 1 밀리초 내지 60분의 기간에 걸쳐 일어날 수 있다.
M-O 코팅을 M-O-F 코팅으로 전환시키는 반응은 부피 변화들로 인해(M-O-F가 M-O보다 더 큰 몰 부피를 가질 수 있기 때문에) 부피 팽창을 야기할 수 있다. 부피 팽창은 증착 온도들 미만의 온도들에서 추가의 압축 응력을 야기할 수 있다. 이 추가의 압축 응력은 증착 온도 미만의 온도들에서 M-O 코팅으로 인해 존재하는 내부 압축 응력보다 더 클 수 있다. 추가로, 부피 팽창은 증착 온도 초과의 온도들에서 내부 인장 응력을 감소시킬 수 있다. 감소된 내부 인장 응력은 증착 온도들 초과의 온도들에서 M-O 코팅으로 인해 존재하는 내부 인장 응력보다 더 낮을 수 있다. 예를 들어, M-O 층이 이트륨 기반 산화물인 구체예들에서, 이트륨 기반 산화물이 불소 함유 분자들에 노출될 수 있는 불소화 공정이 일어나 Y-O로부터 이트륨 기반 산화물 코팅의 적어도 일부를 Y-O-F로 전환시킬 수 있다. Y-O에 비해 보다 큰 Y-O-F의 몰 부피로 인해, Y-O 코팅의 Y-O-F 코팅로의 전환은 실온에서 코팅에 압축 응력을 도입한다. 실온에서 압축 응력이 추가되면 공정 온도들(예를 들어, 대략 250-350℃)에서 인장 응력이 더 적어지게 된다. 공정 온도들에서 감소된 인장 응력은 얇은 치밀 Y-O-F 코팅의 균열을 줄이거나 제거할 수 있다.
일부 구체예들에서, M-O-F 코팅에 생성된 O/F 몰비는 가공 챔버 내의 불소 분자들의 분압, 반응에 할당된 시간 및 반응 온도를 조절함으로써 정확하게 제어될 수 있다. 예를 들어, 노출 동안 불소 함유 분자들은 제1 희토류 산화물 층으로의 불소 확산을 촉진시킬 분압으로 증착 챔버에 존재할 수 있다.
목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 특정 적용들에 바람직할 수 있다. 따라서, 목표 두께를 갖는 희토류 옥시플루오라이드(M-O-F) 코팅은 블록(595)에 따라 목표 두께가 달성될 때까지 z회의 ALD 사이클들을 w번 반복하여 복수의 추가의 희토류 산화물 층들을 형성하고, 이어서 불소 함유 분자들에 노출시킴으로써 형성될 수 있다. W는 약 1 내지 1000, 약 1 내지 500, 약 1 내지 200, 약 1 내지 100, 약 1 내지 75, 약 1 내지 50, 또는 약 1 내지 25 범위의 유한 정수들을 나타낼 수 있다. 목표 두께는 약 1 nm 내지 1000 ㎛일 수 있다. 구체예들에서, 목표 두께는 최대 약 750 ㎛, 최대 약 500 ㎛, 최대 약 400 ㎛, 최대 약 300 ㎛, 최대 약 250 ㎛, 최대 약 200 ㎛, 최대 약 150 ㎛, 최대 약 100 ㎛, 50 ㎛의 최대 두께, 30 ㎛의 최대 두께, 10 ㎛의 최대 두께 또는 다른 최대치를 가질 수 있다. 구체예들에서, 목표 두께는 최소 5 nm, 최소 10 nm, 최소 15 nm, 25 nm의 최소 두께, 35 nm의 최소 두께, 50 nm의 최소 두께, 또는 다른 최소치를 가질 수 있다.
일부 구체예들에서, 제1 희토류 산화물 층 및 복수의 추가의 희토류 산화물 층들을 형성하기 위한 z회의 ALD 사이클들의 수는 모든 w회 반복들에 걸쳐 일정할 수 있거나 다양한 w회의 사이클들 중에 달라질 수 있다. 일부 구체예들에서, 제1 M-O-F 층 및 후속 M-O-F 층들을 형성하기 위한 불소 노출 조건들(예를 들어, 시간, 온도, 불소 반응물들 분압 등)은 모든 w회 반복들에 걸쳐 일정할 수 있거나 다양한 w회의 사이클들 중에 달라질 수 있다.
모든 w회 반복들에 걸쳐 z회의 ALD 사이클들의 수 및 불소 노출 조건들이 일정하게 유지되는 경우, O/F 몰비는 도 2a에 도시된 바와 같이 M-O-F 코팅의 목표 두께에 걸쳐 균일할 수 있다. O/F 몰비는 공정 챔버 구성요소가 노출될 수 있는 후속 가공 동안 평형시 달성되는 불소 농도에 기초하여 선택될 수 있다. M-O-F 코팅에서의 O/F 몰비는 후속 가공 동안 평형시 형성되는 O/F 몰비의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있는 것이 바람직하다. 예를 들어, 불소 함유 분자들은 노출의 각각의 반복 동안 일정한 분압으로 존재할 수 있다. 일정한 분압은 그러한 반복에서 증착된 희토류 산화물 층 내로 불소 확산을 촉진할 수 있는 압력을 포함할 수 있다. 이러한 구체예들에서, 희토류 옥시플루오라이드 코팅의 산소 대 불소의 몰비는 목표 두께에 걸쳐 균일할 수 있다.
일부 구체예들에서, w회 반복들에 걸쳐 z회의 ALD 사이클들의 수(M-O 형성)가 점차 증가하고/거나 불소 노출 조건들이 (예를 들어, 불소 함유 반응물들의 분압을 감소시킴으로써) 달라지는 경우, O/F 몰비는 하단에서 위로 점차 증가할 수 있다. 이러한 구체예들에서, 공정 챔버 구성요소의 표면에 더 근접할 수 있는 하단은 공정 챔버 구성요소의 후속 가공 동안 불소 화학 물질에 노출될 수 있는 상단의 제2 불소 농도보다 높은 제1 불소 농도를 가질 수 있다. 제1 불소 농도와 제2 불소 농도 간의 차이는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 산소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 후속 가공 동안 불소 화학 물질에 노출될 수 있는 코팅의 상단에서의 제2 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
일부 구체예들에서, w회 반복들에 걸쳐 z회의 ALD 사이클들의 수(M-O 형성)가 점차 감소하고/거나 불소 노출 조건들이 (예를 들어, 불소 함유 반응물들의 분압을 증가킴으로써) 달라지는 경우, O/F 몰비는 하단에서 위로 점차 감소할 수 있다. 이러한 구체예들에서,하단은 상단보다 더 낮은 불소 농도를 가질 수 있다. 하단 불소 농도와 상단 불소 농도 간의 차이는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배를 형성할 수 있다. 일 구체예에서, 하단은 불소를 실질적으로 함유하지 않을 수 있다. 특정 구체예들에서, 상단 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다.
불소 농도 구배는 코팅에서의 불소 확산의 방향에 기여할 수 있다. M-O-F 코팅의 하단에서 불소 농도가 더 높으면, 예를 들어 불소가 더 확산되어 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면에 도달하게 하지 않고 M-O-F 코팅 어딘가에서 불소의 확산을 정지시킴으로써, 후속 가공 동안 발생하는 불소의 확산을 감소시키거나 심지어 막을 수 있다. 이러한 유형의 코팅은 박리, 입자 생성, 표면 열화 및 균열과 같은 바람직하지 않은 영향들을 초래할 수 있는 불소 침입들로부터 M-O-F 코팅과 공정 챔버 구성요소 사이의 계면을 보호할 수 있다.
일부 구체예들에서, 코팅에 형성된 불소 농도 프로파일은 선형, 역수 및 2차 방정식으로 이루어진 군으로부터 선택된 수학적 관계를 따를 수 있다. 일 구체예에서, 불소 농도 프로파일은 선형일 수 있다. 다른 구체예들에서, 불소 농도 프로파일은 무작위일 수 있다. 또 다른 구체예들에서, 불소 농도 프로파일은 실험적으로 얻어질 수 있다. 본원에 사용된 불소 농도 프로파일은 희토류 옥시플루오라이드 코팅들에 걸친 불소 농도 분포를 지칭한다. 예를 들어, 불소 농도는 하단에서 상단으로 증가할 수 있거나, 하단에서 상단으로 감소할 수 있거나, 하단에서 상단으로 일정하고 균일하게 유지될 수 있거나, 불소 농도는 하단에서 상단으로 증가한 후 감소할 수 있거나, 하단에서 상단으로 감소한 후 증가할 수 있거나, 임의의 불소 분포를 가질 수 있다.
예를 들어, 최종 M-O-F 코팅에서 목표 O/F 몰비가 달성될 수 있도록, M-O 층을 형성하는 w회의 ALD 사이클들에 대한 제1 수치가 선택될 수 있고, 불소 노출에 대한 일련의 조건들(예를 들어, 노출 기간, 노출 온도, 불소 반응물들 분압 등)이 선택될 수 있다. M-O 층 증착들 중 적어도 하나의 ALD 사이클 및 불소 노출이 수행되어 제1 M-O-F 층 또는 초기 몇 개의 M-O-F 층들을 포함할 수 있는 임시 M-O-F 코팅을 형성할 수 있다. 이후, 임시 M-O-F 코팅의 O/F 몰비를 결정하기 위해 임시 M-O-F 코팅이 분석될 수 있다(또한 인-시튜 분석으로서 지칭됨). 특정 구체예들에서, 복수의 ALD 사이클들은 목표 M-O-F 두께가 달성될 때까지 수행될 수 있으며, 최종 M-O-F 코팅의 O/F 몰비를 결정하기 위해 최종 M-O-F 코팅이 분석될 수 있다(또한, 코팅 후 분석으로서 지칭됨). O/F 몰비가 목표 O/F 몰비보다 클 경우, z에 대한 수치(M-O 층을 형성하는 ALD 사이클들의 수를 조절하는)는 감소될 수 있고, 불소 노출 조건들은 M-O 층과의 불소 반응성을 증가시키도록(예를 들어, 노출 온도 증가 및/또는 노출 기간 증가 및/또는 불소 반응물 분압 증가) 조절될 수 있다. O/F 몰비가 목표 O/F 몰비보다 낮을 경우, 블록(590)에 따라, z에 대한 수치(M-O 층을 형성하는 ALD 사이클들의 수를 조절하는)는 증가될 수 있고, 불소 노출 조건들은 M-O 층과의 불소 반응성을 감소시키도록(예를 들어, 노출 온도 감소 및/또는 노출 기간 감소 및/또는 불소 반응물 분압 감소) 조절될 수 있다. O/F 몰비가 목표 O/F 몰비와 같을 경우, ALD 사이클들은 목표 두께가 달성될 때까지 z의 수치를 수정하지 않고 반복될 수 있고 불소 노출이 노출 조건들을 수정하지 않고 반복될 수 있다. z 및 불소 반응성의 조절들은 인-시튜 분석 동안 후속 ALD 사이클들에 대해, 또는 분석이 코팅 후 분석인 경우 후속 코팅들에 대해 이루어질 수 있다.
증착 공정 자체 동안 M-O-F 코팅에서의 O/F 몰비를 실험적으로 분석하기 위해 사용되는 인-시튜 "체크 포인트들"은 엄격한 제어를 위해 불소 함유 반응물들에 노출되는, 증착된 M-O 층들의 각각의 ALD 사이클 후에 발생하도록 프로그램될 수 있거나, 완전히 생략될 수 있다. 예를 들어, M-O-F 코팅 두께에 걸쳐 O/F 몰비가 균일할 경우, 체크 포인트들이 더 적을 수 있고, 체크 포인트들이 전혀 없을 가능성이 있다. 반면, M-O-F 코팅이 코팅 두께에 걸쳐 O/F 몰비 구배를 포함하는 경우, 보다 빈번한 체크 포인트들이 수행될 수 있다.
일부 구체예들에서, M-O-F 코팅을 증착하기 전에, 공정 챔버 구성요소는 블록(510)에 따라 완충 층으로 임의로 코팅될 수 있다. 이러한 구체예들에서, 완충 층은 하기 목적들 중 적어도 하나를 위해 사용될 수 있다: 공정 챔버 구성요소와 M-O-F 코팅 간의 접착을 촉진하기 위한 접착 층으로 작용하기 위해 및/또는 공정 챔버 구성요소의 표면과 M-O-F 코팅 간의 열팽창 계수(CTE) 차이를 줄이기 위해. 예를 들어, 공정 챔버 구성요소의 표면은 제1 CTE를 가질 수 있고, 완충 층은 제2 CTE를 가질 수 있고, M-O-F 층은 제3 CTE를 가질 수 있다. 완충 층의 제2 CTE는 공정 챔버 구성요소의 표면의 제1 CTE와 M-O-F 층의 제3 CTE 사이에 있을 수 있다. 예를 들어, 공정 챔버 구성요소의 표면은 알루미늄에 대해 약 22-25 ppm/K 또는 스테인레스 스틸에 대해 약 13 ppm/K의 CTE를 갖는 금속 바디(예를 들어, 알루미늄 또는 알루미늄 합금, 예컨대 Al 6061) 또는 세라믹 바디(예를 들어, Al2O3, AlN, SiC, 등)일 수 있고, 완충 층은 Al2O3일 수 있고, M-O-F는 약 6-8 ppm/K의 Y2O3의 CTE에 가까운 CTE를 갖는 YOF 코트일 수 있다. 이러한 구체예에서, 완충 층은 코팅과 공정 챔버 구성요소 간의 CTE 차이를 줄여 CTE 불일치로 비롯될 수 있는 열 사이클링시 균열에 대한 코팅의 감수성을 감소시킨다.
일부 구체예들에서, 완충 층은 공정 챔버 구성요소 상에 증착되지 않을 수 있고, 도 5의 공정을 통해 얻어진 M-O-F 코팅이 공정 챔버 구성요소 자체에 직접 증착될 수 있다.
일부 구체예들에서, 본원에 개시된 공정 챔버 구성요소들은 부식성 가스(예를 들어, 불소 기반 플라즈마 또는 환원 화학 물질, 예컨대 암모니아 기반 화학 물질 또는 염소 기반 화학 물질)를 이용하는 제조 공정들에 사용될 수 있다. 보호 M-O-F 코팅의 결과로서, 공정 챔버 구성요소들의 유효 수명이 크게 연장될 수 있고, 공정 드리프트(process drift)가 완화될 수 있으며, 웨이퍼 상에서 입자 생성이 완화될 수 있다.
도 6a는 투과 전자 현미경(TEM)에 의해 보여지는 바와 같은, Al2O3 완충 층(610) 및 Y2O3 코팅(620)을 포함하는 챔버 구성요소의 측단면도를 도시한 것이다. 챔버 구성요소는 불소 플라즈마 기반 공정에 노출되었으며, 이는 불소를 Y2O3 코팅으로 확산시켰다. TEM 이미지를 생성하기 위해 초점이 맞춰진 이온 빔 샘플 준비 동안 캡핑 층(630)이 Y2O3 코팅(620) 위에 배치되었다. 표면 A는 Y2O3 코팅(620)의 상단을 나타내고 표면 B는 완충 층(610)과 Y2O3 코팅(620) 사이의 계면을 나타낸다.
도 6b는 도 6a의 챔버 구성요소의 재료 조성을 도시한 것이다. 도시된 바와 같이, 캡핑 층(630)은 Ir(612)로 구성된다. Y2O3 코팅(620)은 이트륨(614) 및 산소(602)로 구성된다. 완충 층(610)은 알루미늄(608)으로 구성된다. 불소(606)는 코팅에 걸쳐 변동하는 불소 농도로부터 알 수 있는 바와 같이 코팅을 통해 제어 불가능하게 확산되었다. 불소 농도는 이트리아 코팅(620)의 전체 두께(A 내지 B)를 통해 스며들어 완충 층(610)(영역 C)에 도달한다. 완충 층(610)에서 불소 농도가 상당히 떨어지지만, 계속 더 확산하고/거나 반응하여 궁극적으로 공정 챔버 구성요소에 도달할 수 있다.
따라서, 불소 확산을 완화시키고 불소 확산이 공정 챔버 구성요소에 도달하지 못하도록 하기 위해, 보호 M-O-F 코팅이 공정 챔버 구성요소 자체 상에 또는 완충 층(어느 하나가 존재하는 경우) 상에 증착될 수 있다. M-O-F 코팅에서의 목표 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2%, 또는 약 1% 내에 있을 수 있다. 도 6a 및 도 6b에서 얻어진 재료 조성은 이트륨 산화물 코팅을 450℃에서 CVD 챔버에서 NF3 함유 공정의 3000회 사이클들에 노출시킴으로써 얻어졌다. 평형시 달성되는 불소 농도는 약 60 원자%이다. 따라서, M-O-F 층에서의 목표 불소 농도는 60 원자%의 약 20%(즉, 약 48-72 원자%) 내에 있을 수 있다.
도 7a는 투과 전자 현미경(TEM)에 의해 보여지는 바와 같은, 챔버 구성요소(710) 및 Y2O3 ALD 코팅(720)의 측단면도를 도시한 것이다. 도 7a의 코팅된 챔버 구성요소는 500℃에서 200 W NF3 플라즈마로 후처리되었다. 캡핑 층(730)은 TEM 이미징을 위한 샘플 준비로 인한 것이다. 표면 A'는 Y2O3 코팅(720)의 상단을 나타내고, 표면 B'는 챔버 구성요소(710)와 Y2O3 코팅(720) 사이의 계면을 나타낸다.
도 7b는 도 7a의 챔버 구성요소의 재료 조성을 도시한 것이다. Y2O3 코팅(720)은 이트륨(712) 및 산소(704)로 구성된다. 챔버 구성요소(710)는 Si(714)로 구성된다. 불소(706)는 코팅에 걸쳐 변동하는 불소 농도로부터 알 수 있는 바와 같이 불소 화학 물질들 및/또는 불소 플라즈마들로의 가공 동안 코팅을 통해 제어 불가능하게 확산되었다.
따라서, 공정 챔버 구성요소에 도달할 수 있는 불소 농도 구배 및 제어 불가능한 불소 확산을 상쇄시키기 위해, 보호 M-O-F 코팅이 본원에 기재된 구체예들에 따라 공정 챔버 구성요소 자체 상에 또는 완충 층(어느 하나가 존재하는 경우) 상에 증착될 수 있다. 본원에 개시된 보호 M-O-F 코팅은 하단에서 위로 희토류 옥시 불화물 코팅을 구축하고, (후속 가공 동안 불소 함유 화학 물질에 노출될 있는) 희토류 옥시플루오라이드 코팅의 상단에서 목표 불소 농도를 얻음으로써 코팅을 통해 제어 불가능한 불소 확산으로부터 공정 챔버 구성요소를 보호한다. M-O-F 코팅에서의 목표 불소 농도는 후속 가공 동안 평형시 달성되는 불소 농도의 약 20%, 약 15%, 약 10%, 약 5%, 약 4%, 약 3%, 약 2% 또는 약 1% 내에 있을 수 있다. 평형시 도 7a 및 도 7b의 불소 농도는 약 40 원자%이다. 따라서, M-O-F 층에서의 목표 불소 농도는 40 원자%의 약 20%(즉, 약 32-48 원자%) 내에 있을 수 있다.
도 8a는 실리콘 기판(810)의 표면 상에 50 nm 이트륨 옥시플루오라이드(Y-O-F) ALD 코팅(860)을 증착하기 위한 예시적인 공정을 나타낸 것이다. 이 예에서 코팅은 m회의 사이클들에 걸쳐 제조된다. 각각의 m 사이클은 Y 전구체(트리스(메틸-Cp)이트륨)(822)로부터 Y 흡착 층(820)을 증착하는 단계를 포함한다. 이어서, O-함유 반응물(물)을 도입하여 이트륨 산화물 층(832)을 형성한다. 이후, 이트륨 산화물 층이 F-함유 분자들(헥사플루오로아세틸 아세톤)(852)에 노출되어 층(850)을 형성하고, O-함유 반응물(O3)(842)에 노출되어 층(840)을 형성한다. 이후, 이 사이클은 선택된 두께(예를 들어, 50 nm)의 YOF 코팅(860)을 얻기 위해 m번 반복된다.
도 8b는 코팅 상의 제1 위치에 대해 캡처된 YOF 코팅의 TEM 현미경 사진을 나타낸 것이다. 도 8c는 코팅 상의 제2 위치에 대해 캡처된 YOF 코팅의 TEM 현미경 사진을 나타낸 것이다. 두 현미경 사진들의 스케일은 20 nm이다. 섹션(810)은 실리콘 기판을 나타내고 섹션(860)은 도 8a 및 도 8b 둘 모두에서의 YOF 코팅을 나타낸다.
도 8d는 제1 위치로부터 샘플 상의 YOF 코팅으로부터 수집된 TEM 전자 회절 패턴을 나타낸 것이다. 도 8e는 제2 위치로부터 샘플 상의 YOF 코팅으로부터 수집된 TEM 전자 회절 패턴을 나타낸 것이다.
도 8f는 도 8a-도 8e의 코팅(860)의 TEM/EDS 라인 스캔에 대한 재료 조성을 도시한 것이다. YOF 코팅(860)은 약 20-30 몰% 이트륨(855), 약 30-50 몰% 산소(835), 및 약 15-30 몰% 불소(845)로 구성된다. 기판(810)은 실리콘(825)으로 구성된다.
도 8g는 실리콘 기판(810)상의 YOF 코팅(860)의 X-선 광전자 분광법(XPS) 깊이 프로파일을 도시한 것이다. XPS 깊이 프로파일에 따르면, YOF 코팅(860)의 조성은 약 30 mol% Y, 약 15 mol% O 및 약 55 mol% F이다.
도 8h는 코팅(860)에 대한 X-선 회절(XRD) 상 식별을 도시한 것이다. XRD에 따르면, 코팅(860)은 사방 정계 형상을 갖고 분말 회절 파일(PDF) 번호 [04-006-0199]에 상응하는 불화 이트륨(YF3), 및 사방 정계 형상을 갖고 PDF 번호 [04-011-5072]에 상응하는 이트륨 옥시플루오라이드(Y6O5F8)로 구성된다.
하기에는 본 개시의 다수의 구현들이 기술된다.
첫 번째 구현에서, 물품 코팅이 하단 및 상단을 갖는 희토류 옥시플루오라이드 코팅을 포함하며, 상단은 후속 가공 동안 불소 함유 화학 물질에 노출되고, 불소 농도 프로파일은 희토류 옥시플루오라이드 코팅에 걸쳐 하단에서 상단으로 형성되고, 상단에서의 불소 농도는 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내에 있다.
두번째 구현에서, 공정은 x회의 원자층 증착(ALD) 사이클들을 수행하여 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하고, y회의 ALD 사이클들을 수행하여 제1 희토류 산화물 층 상에 제1 희토류 불화물 층을 형성하고, 인-시튜로, 제1 희토류 불화물 층으로부터의 불소를 제1 희토류 산화물 층으로, 또는 제1 희토류 산화물 층으로부터의 산소를 제1 희토류 불화물 층으로 중 적어도 하나로 확산시켜 제1 희토류 옥시플루오라이드 층을 형성하는 것을 포함하며, 제1 희토류 산화물 층 및 제1 희토류 불화물 층은 동일한 희토류를 포함하고; 제1 희토류 옥시플루오라이드 층은 x 및 y에 기초한 산소 대 불소 몰비를 갖는다.
세번째 구현은 두번째 구현을 더 연장할 수 있다. 세번째 구현에서, x회의 ALD 사이클들로부터의 ALD 사이클은 희토류-함유 전구체를 공정 챔버 구성요소를 함유하는 증착 챔버 내로 주입함으로써 공정 챔버 구성요소의 표면 상에 희토류 함유 종의 제1 흡착 층을 형성하고; 산소-함유 반응물을 증착 챔버 내로 주입함으로써 산소를 제1 흡착 층과 반응시켜 제1 희토류 산화물 층을 형성하는 것을 포함한다. 네번째 구현은 두번째 및/또는 세번째 구현을 연장할 수 있다. 네번째 구현에서 y회의 ALD 사이클들로부터의 ALD 사이클은 희토류-함유 전구체를 공정 챔버 구성요소를 함유하는 증착 챔버 내로 주입함으로써 공정 챔버 구성요소의 표면 상에 희토류 함유 종의 흡착 층을 형성하고; 불소-함유 반응물을 증착 챔버 내로 주입함으로써 불소를 흡착 층과 반응시켜 제1 희토류 불화물 층을 형성하는 것을 포함한다.
다섯번째 구현은 두번째 내지 네번째 구현들 중 어느 하나를 더 연장할 수 있다. 다섯번째 구현에서, 공정은 목표 두께가 달성될 때까지 복수의 추가의 희토류 옥시플루오라이드 층들을 형성하기 위해 희토류 산화물 층의 x회의 ALD 사이클들 및 희토류 불화물 층의 y회의 ALD 사이클들을 반복함으로써 희토류 옥시플루오라이드 코팅을 형성하는 것; 및 인-시튜로, 복수의 이미 증착된 희토류 옥시플루오라이드 층들과 추가의 희토류 옥시플루오라이드 층들 내에 및 그 사이에 불소 또는 산소 중 적어도 하나를 계속 확산시키는 것을 추가로 포함한다. 여섯번째 구현은 다섯번째 구현을 더 연장할 수 있다. 여섯번째 구현에서, 산소 대 불소의 몰비는 희토류 옥시플루오라이드 코팅의 산소 대 불소의 몰비가 목표 두께에 걸쳐 균일하도록 후속 희토류 산화물 층들 및 후속 희토류 불화물 층들의 증착 동안 일정하다. 일곱번째 구현은 여섯번째 구현을 더 연장할 수 있다. 일곱번째 구현에서, 공정 챔버 구성요소는 후속 가공 동안 불소에 노출되고, 희토류 옥시플루오라이드 코팅의 산소 대 불소의 몰비는 후속 가공 동안 평형시 형성되는 산소 대 불소의 몰비의 20% 내에 있다.
여덟번째 구현은 다섯번째 내지 일곱번째 구현들을 더 연장할 수 있다. 여덟번째 구현에서, 희토류 옥시플루오라이드 코팅은 하단 및 상단을 가지며, 상단은 후속 가공 동안 불소 화학 물질에 노출되고, 하단은 제1 불소 농도를 갖고, 상단은 제2 불소 농도를 갖고, 제1 불소 농도는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배가 형성되도록 제2 불소 농도보다 더 높다. 아홉번째 구현은 여덟번째 구현을 더 연장할 수 있다. 아홉번째 구현에서, 제2 불소 농도는 후속 가공 동안 평형시 얻어지는 불소 농도의 20% 내에 있다. 열번째 구현은 여덟번째 구현 및/또는 아홉번째 구현을 더 연장할 수 있다. 열번째 구현에서, 불소 농도 구배는 선형이다.
열한번째 구현은 다섯번째 내지 열번째 구현들 중 어느 하나를 더 연장할 수 있다. 열한번째 구현에서, 공정은 제1 희토류 옥시플루오라이드 층을 형성하기 전에 공정 챔버 구성요소의 표면 상에 완충 층을 코팅하는 것을 추가로 포함하며, 챔버 구성요소의 표면은 제1 열팽창 계수를 갖고, 완충 층은 제2 열팽창 계수를 갖고, 희토류 옥시플루오라이드 코팅은 제3 열팽창 계수를 갖고, 제2 열팽창 계수는 제1 열팽창 계수와 제3 열팽창 계수 사이에 있다.
열두번째 구현은 공정 챔버 구성요소의 표면 상에 제1 희토류 옥시플루오라이드 층을 형성하기 위해 ALD 사이클을 수행하는 것을 포함하며, 제1 희토류 옥시플루오라이드 층은 목표 산소 대 불소 몰비를 갖는다. ALD 사이클은 희토류-함유 전구체를 공정 챔버 구성요소를 함유하는 증착 챔버 내로 주입함으로써 공정 챔버 구성요소의 표면 상에 희토류의 제1 흡착 층을 형성하는 것; 및 적어도 하나의 산소-함유 반응물을 제1 투여율로, 그리고 적어도 하나의 불소-함유 반응물을 제2 투여율로 증착 챔버 내로 공동 주입함으로써 산소-함유 반응물 또는 불소-함유 반응물 중 적어도 하나를 제1 흡착 층과 반응시키는 것을 포함한다.
열세번째 구현은 열두번째 구현을 더 연장할 수 있다. 열세번째 구현에서, 공정은 목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 달성될 때까지 ALD 사이클을 반복하여 복수의 후속 희토류 옥시플루오라이드 층들을 형성하는 것을 추가로 포함한다. 열네번째 구현은 열세번째 구현을 더 연장할 수 있다. 열네번째구현에서, 제1 투여율 및 제2 투여율이 반복되는 ALD 사이클들 동안 일정하고, 제1 투여율 대 제2 투여율 비는 희토류 옥시플루오라이드 코팅에서의 목표 산소 대 불소 몰비에 비례하고, 희토류 옥시플루오라이드 코팅에서의 산소 대 불소의 몰비는 목표 두께에 걸쳐 균일하다. 열다섯번째 구현은 열네번째 구현을 더 연장할 수 있다. 열다섯번째 구현에서, 공정 챔버 구성요소는 후속 가공 동안 불소에 노출되고, 희토류 옥시플루오라이드 코팅에서의 목표 산소 대 불소 몰비는 후속 가공 동안 평형시 형성되는 산소 대 불소 몰비의 약 20% 내에 있다.
열여섯번째 구현은 열세번째 내지 열다섯번째 구현들 중 어느 하나를 더 연장할 수 있다. 열여섯번째 구현에서, 희토류 옥시플루오라이드 코팅은 하단 및 상단을 갖고, 상단은 후속 가공 동안 불소 화학 물질에 노출되고, 하단은 제1 불소 농도를 갖고, 상단은 제2 불소 농도를 갖고, 제1 불소 농도는 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배가 형성되도록 제2 불소 농도보다 더 높다. 열일곱번째 구현은 열여섯번째 구현을 연장할 수 있다. 열입곱번째 구현에서, 제2 불소 농도는 후속 가공 동안 평형시 얻어지는 불소 농도의 20% 내에 있다. 열여덟번째 구현은 열여섯번째 구현 및/또는 열일곱번째 구현을 연장할 수 있다. 열여덟번째 구현에서, 불소 농도 구배는 선형이다. 열아홉번째 구현은 열여섯번째 구현 내지 열여덟번째 구현을 연장할 수 있다. 열아홉번째 구현에서, 희토류 옥시플루오라이드 코팅의 하단은 산소를 실질적으로 함유하지 않는다.
스무번째 구현은 열한번째 내지 열아홉번째 구현들 중 어느 하나를 더 연장할 수 있다. 스무번째 구현에서, 공정은 공정 챔버 구성요소의 표면 상에 완충 층을 코팅하는 것을 추가로 포함하며, 공정 챔버 구성요소의 표면은 제1 열팽창 계수를 갖고, 완충 층은 제2 열팽창 계수를 갖고, 희토류 옥시플루오라이드 코팅은 제3 열팽창 계수를 갖고, 제2 열팽창 계수는 제1 열팽창 계수와 제3 열팽창 계수 사이에 있다.
21번째 구현은 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하기 위해 z회의 ALD 사이클들을 수행하고; 공정 챔버 구성요소를 불소 함유 종에 노출시키고; 제1 희토류 산화물 층을 제1 희토류 옥시플루오라이드 층으로 전환시키고; 제1 희토류 옥시플루오라이드 층 상에 추가의 희토류 산화물 층을 형성하기 위해 적어도 하나의 추가의 ALD 사이클을 수행하고; 공정 챔버 구성요소를 불소 함유 종에 노출시키고; 추가의 희토류 산화물 층을 추가의 희토류 옥시플루오라이드 층으로 전환시키는 것을 포함한다.
22번째 구현은 21번째 구현을 연장할 수 있다. 22번째 구현에서, z회의 ALD 사이클들 각각은 희토류-함유 전구체를 챔버 구성요소를 함유하는 증착 챔버 내로 주입함으로써 공정 챔버 구성요소의 표면 상에 희토류-함유 종의 흡착 층을 증착하고; 산소-함유 반응물을 증착 챔버 내로 주입함으로써 산소를 흡착 층과 반응시켜 제1 희토류 산화물 층을 형성하는 것을 포함한다. 23번째 구현은 21번째 구현 및/또는 22번째 구현을 더 연장할 수 있다. 23번째 구현에서, 공정은 목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 달성될 때까지, 다음 희토류 산화물 층을 형성하기 위해 적어도 하나의 추가의 ALD 사이클들을 반복하고, 공정 챔버 구성요소를 불소 함유 종에 노출시키는 것을 반복하고, 복수의 후속 희토류 옥시플루오라이드 층들을 형성하기 위해 다음 희토류 산화물 층을 다음 희토류 옥시플루오라이드 층으로 전환시키는 것을 반복하는 것을 추가로 포함한다.
24번째 구현은 23번째 구현을 더 연장할 수 있다. 24번째 구현에서, 목표 두께는 최대 약 50 ㎛이다. 25번째 구현은 23번째 구현 및/또는 24번째 구현을 더 연장할 수 있다. 25번째 구현에서, 노출 동안 불소 함유 종은 증착 챔버에서 제1 희토류 산화물 층으로의 불소 확산을 촉진시킬 분압으로 존재한다. 26번째 구현은 23번째 구현 내지 25번째 구현을 더 연장할 수 있다. 26번째 구현에서, 불소 함유 분자들은 노출의 각각의 반복 동안 일정한 분압으로 존재하고, 일정한 분압은 그러한 반복에서 증착된 희토류 산화물 층으로의 불소 확산을 촉진시킬 압력을 포함하고, 희토류 옥시플루오라이드 코팅에서의 산소 대 불소 몰비는 목표 두께에 걸쳐 균일하다. 27번째 구현은 23번째 구현 내지 26번째 구현을 더 연장할 수 있다. 27번째 구현에서, 공정 챔버 구성요소는 후속 가공 동안 불소에 노출되고, 희토류 옥시플루오라이드 코팅에서의 목표 산소 대 불소 몰비는 후속 가공 동안 평형시 형성되는 산소 대 불소 몰비의 약 20% 내에 있다.
28번째 구현은 23번째 구현 내지 27번째 구현을 더 연장할 수 있다. 28번째 구현에서, 희토류 옥시플루오라이드 코팅은 하단 및 상단을 갖고, 상단은 후속 가공 동안 불소 화학 물질에 노출되고, 하단은 제1 불소 농도를 갖고 상단은 제2 불소 농도를 갖고, 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배가 형성되도록 제1 불소 농도는 제2 불소 농도보다 더 높다. 29번째 구현은 28번째 구현을 더 연장할 수 있다. 29번째 구현에서, 제2 불소 농도는 후속 가공 동안 평형시 얻어지는 불소 농도의 20% 내에 있다. 30번째 구현은 28번째 구현 및/또는 29번째 구현을 더 연장할 수 있다. 30번째 구현에서, 불소 농도 구배는 선형이다. 31번째 구현은 28번째 구현 내지 30번째 구현을 더 연장할 수 있다. 31번째 구현에서, 희토류 옥시플루오라이드 코팅의 하단은 산소를 실질적으로 함유하지 않는다.
32번째 구현은 23번째 구현 내지 31번째 구현 중 어느 하나를 더 연장할 수 있다. 32번째 구현에서, 공정 챔버 구성요소의 불소 함유 종으로의 노출의 1회 이상의 반복들의 경우, 희토류 옥시플루오라이드 코팅의 목표 두께에 걸쳐 불소 농도 구배를 형성하기 위해 불소 함유 종의 분압이 증가되거나 감소된다. 33번째 구현은 23번째 구현 내지 32번째 구현 중 어느 하나를 더 연장할 수 있다. 33번째 구현에서, 공정은 공정 챔버 구성요소의 표면 상에 완충 층을 코팅하는 것을 추가로 포함하며, 공정 챔버 구성요소의 표면은 제1 열팽창 계수를 갖고, 완충 층은 제2 열팽창 계수를 갖고, 희토류 옥시플루오라이드 코팅은 제3 열팽창 계수를 갖고, 제2 열팽창 계수는 제1 열팽창 계수와 제3 열팽창 계수 사이에 있다.
34번째 구현은 23번째 구현 내지 33번째 구현 중 어느 하나를 더 연장할 수 있다. 34번째 구현에서, 공정은 노출 동안 약 100-500℃의 승온으로 공정 챔버 구성요소를 가열하는 것을 추가로 포함한다. 35번째 구현은 23번째 구현 내지 34번째 구현 중 어느 하나를 더 연장할 수 있다. 35번째 구현에서, 불소 함유 종은 HF, F2, F 라디칼들 CF4 또는 NF3 중 적어도 하나를 포함한다. 36번째 구현은 21번째 구현 내지 35번째 구현 중 어느 하나를 더 연장할 수 있다. 36번째 구현에서, 공정 챔버 구성요소를 불소 함유 종에 노출시키는 것은 불소-함유 가스를 공정 챔버 구성요소를 함유하는 증착 챔버 내로 유입시키는 것을 포함한다.
37번째 구현에서, 물품은 바디 및 바디의 표면 상의 희토류 옥시플루오라이드 코팅을 포함하며, 희토류 옥시플루오라이드 코팅은 약 1% 미만의 다공도를 갖고; 희토류 옥시플루오라이드 코팅은 약 1 mol% 내지 약 40 mol%의 제1 금속 및 약 1 mol% 내지 약 40 mol%의 제2 금속을 포함하고, 제1 금속 및 제2 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 독립적으로 선택되고, 희토류 옥시플루오라이드 코팅은 제1 금속 및 제2 금속의 균질 혼합물을 포함한다.
38번째 구현은 37번째 구현을 더 연장할 수 있다. 38번째 구현에서, 희토류 옥시플루오라이드 코팅은 하단 및 상단을 갖고, 상단은 후속 가공 동안 불소 함유 화학 물질에 노출되고, 불소 농도 프로파일이 희토류 옥시플루오라이드 코팅에 걸쳐 하단에서 상단으로 형성되고, 상단에서의 불소 농도는 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내에 있다. 39번째 구현은 38번째 구현을 더 연장할 수 있다. 39번째 구현에서, 하단은 제1 불소 농도를 갖고, 상단은 제1 불소 농도보다 더 높은 제2 불소 농도를 갖는다. 40번째 구현은 39번째 구현을 더 연장할 수 있다. 40번째 구현에서, 하단은 불소를 실질적으로 함유하지 않는다.
41번째 구현은 37번째 구현 내지 40번째 구현을 더 연장할 수 있다. 41번째 구현에서, 희토류 옥시플루오라이드 코팅은 제3 금속을 추가로 포함하고, 균질 혼합물은 제1 금속, 제2 금속 및 제3 금속을 포함한다. 42번째 구현은 41번째 구현을 더 연장할 수 있다. 42번째 구현에서, 제3 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 선택된다. 43번째 구현은 41번째 및/또는 42번째 구현을 더 연장할 수 있다. 43번째 구현에서, 희토류 옥시플루오라이드 코팅은 제4 금속을 추가로 포함하고, 균질 혼합물은 제1 금속, 제2 금속, 제3 금속 및 제4 금속을 포함한다. 44번째 구현은 43번째 구현을 더 연장할 수 있다. 44번째 구현에서, 제4 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 선택된다.
45번째 구현에서, 공정은 물품의 표면 상에 원자층 증착(ALD) 공정을 통해 적어도 제1 금속 및 제2 금속을 증착하고; ALD 공정을 통해 산소 및 불소를 제1 금속 및 제2 금속과 반응시켜 제1 금속 및 제2 금속의 균질 혼합물을 포함하는 희토류 옥시플루오라이드 코팅을 형성하는 것을 포함하고; 제1 금속 및 제2 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta으로 이루어진 군으로부터 독립적으로 선택되고, ALD 공정은 순차 증착, 공동 증착, 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된다.
46번째 구현은 45번째 구현을 더 연장할 수 있다. 46번째 구현에서, ALD 공정은 a) 제1 금속 산화물, 제1 금속 불화물 또는 제1 금속 옥시플루오라이드와 b) 제2 금속 산화물, 제2 금속 불화물 또는 제2 금속 옥시플루오라이드의 순차 증착을 포함한다. 순차 증착은 x회의 ALD 사이클들을 수행하는 것을 포함한다. x회의 ALD 사이클들로부터 ALD 사이클은 제1 금속-함유 전구체를 물품을 함유하는 증착 챔버 내로 주입함으로써 물품의 표면 상에 제1 금속의 제1 흡착 층을 증착하고; 산소-함유 반응물 또는 불소-함유 반응물 중 적어도 하나를 증착 챔버 내로 주입함으로써 산소- 또는 불소-함유 반응물들 중 적어도 하나를 제1 흡착 층과 반응시켜 제1 금속 산화물, 제1 금속 불화물, 또는 제1 금속 옥시플루오라이드를 형성하는 것을 포함한다. 순차 증착은 y회의 ALD 사이클들을 수행하는 것을 추가로 포함하며, y회의 ALD 사이클들로부터 ALD 사이클은 제2 금속-함유 전구체를 증착 챔버 내로 주입함으로써 제2 금속의 제2 흡착 층을 제1 금속 산화물, 제1 금속 불화물, 또는 제1 금속 옥시플루오라이드 상에 증착시키고; 산소- 또는 불소-함유 반응물 중 적어도 하나를 증착 챔버 내로 주입함으로써 산소 또는 불소 중 적어도 하나를 제2 흡착 층과 반응시켜 제2 금속 산화물, 제2 금속 불화물, 또는 제2 금속 옥시플루오라이드 층을 형성하는 것을 포함한다.
47번째 구현은 45번째 구현 및/또는 46번째 구현을 더 연장할 수 있다. 47번째 구현에서, ALD 공정은 제1 금속 및 제2 금속의 공동 증착을 포함한다. 공동 증착은 x회의 ALD 사이클들을 수행하고, 산소 또는 불소 중 적어도 하나를 제1 흡착 층과 반응시켜 혼합된 금속 산화물, 혼합된 금속 불화물, 또는 혼합된 금속 옥시플루오라이드를 형성하는 것을 포함한다. x회의 ALD 사이클들로부터의 ALD 사이클은 물품을 함유하는 증착 챔버 내로 제1 금속을 포함하는 제1 금속-함유 전구체를 주입하고, 이어서 증착 챔버 내로 제2 금속을 포함하는 제2 금속-함유 전구체를 주입함으로써 물품의 표면 상에 제1 금속 및 제2 금속을 포함하는 제1 흡착 층을 증착하는 것을 포함한다.
48번째 구현은 45번째 구현 내지 47번째 구현을 더 연장할 수 있다. 48번째 구현에서, ALD 공정은 제1 금속 및 제2 금속의 동시 투여를 포함하고, 동시 투여는 x회의 ALD 사이클들을 수행하는 것을 포함한다. x회의 ALD 사이클들로부터의 ALD 사이클은 물품을 함유하는 증착 챔버 내로 제1 금속에 대한 제1 금속-함유 전구체 및 제2 금속에 대한 제2 금속-함유 전구체를 동시에 주입함으로써 물품의 표면 상에 제1 금속 및 제2 금속을 포함하는 제1 흡착 층을 형성하고; 산소 또는 불소 중 적어도 하나를 제1 흡착 층과 반응시켜 혼합된 금속 산화물, 혼합된 금속 불화물, 또는 혼합된 금속 옥시플루오라이드를 형성하는 것을 포함한다.
49번째 구현은 45번째 구현 내지 48번째 구현을 더 연장할 수 있다. 49번째 구현에서, 희토류 옥시플루오라이드 코팅은 하단 및 상단을 갖고, 상단은 후속 가공 동안 불소 함유 화학 물질에 노출되고, 불소 농도 프로파일이 희토류 옥시플루오라이드 코팅에 걸쳐 하단에서 상단으로 형성되고, 상단에서의 불소 농도는 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내에 있다. 50번째 구현은 49번째 구현을 더 연장할 수 있다. 50번째 구현에서, 하단은 제1 불소 농도를 갖고, 상단은 제1 불소 농도보다 더 높은 제2 불소 농도를 갖는다. 51번째 구현은 50번째 구현을 더 연장할 수 있다. 51번째 구현에서, 하단은 불소를 실질적으로 함유하지 않는다.
52번째 구현은 45번째 구현 내지 51번째 구현을 더 연장할 수 있다. 52번째 구현에서, 공정은 제3 금속을 ALD 공정을 통해 물품의 표면 상에 증착하는 것을 추가로 포함하고, 균질 혼합물은 제1 금속, 제2 금속 및 제3 금속을 포함한다. 53번째 구현은 52번째 구현을 더 연장할 수 있다. 53번째 구현에서, 제3 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 선택된다. 54번째 구현은 52번째 구현 및/또는 53번째 구현을 더 연장할 수 있다. 54번째 구현에서, 공정은 제4 금속을 ALD 공정을 통해 물품의 표면 상에 증착하는 것을 추가로 포함하고, 균질 혼합물은 제1 금속, 제2 금속, 제3 금속 및 제4 금속을 포함한다. 55번째 구현은 54번째 구현을 더 연장할 수 있다. 55번째 구현에서, 제3 금속 및 제4 금속은 희토류 금속들, Y, Zr, Al, Hf, 및 Ta로 이루어진 군으로부터 독립적으로 선택된다.
56번째 구현에서, 물품의 표면 상에 희토류 옥시플루오라이드 코팅을 형성하는 공정은 x회의 ALD 사이클들을 수행하는 것을 포함한다. x회의 ALD 사이클들의 각각의 ALD 사이클은 순차 증착, 공동 증착, 동시 투여, 및 이들의 조합들로 이루어진 군으로부터 선택된 원자층 증착(ALD) 공정을 통해 두 개 이상의 금속 산화물 층들을 증착하고; 물품을 불소 함유 종에 노출시키고; 두 개 이상의 금속 산화물 층들을 희토류 옥시플루오라이드 층으로 전환시키는 것을 포함한다.
전술한 설명은 본원에 기재된 여러 구체예들의 양호한 이해를 제공하기 위해 특정 시스템들, 구성요소들, 방법들 등의 예들과 같은 많은 특정 세부 사항들을 제시한다. 그러나, 본원에 기재된 적어도 일부의 구체예들은 이러한 특정 세부 사항들 없이도 실시될 수 있음이 당업자에게 명백할 것이다. 다른 경우들에서, 잘 알려진 구성요소들 또는 방법들은 본원에 기재된 불필요하게 불명료한 구체예들을 피하기 위해 상세하게 기술되지 않거나 간단한 블록도 형식으로 제시된다. 따라서, 제시된 특정 세부 사항들은 단지 예시일 뿐이다. 특정 구체예들은 이러한 예시적인 세부 사항들과 다를 수 있으며 여전히 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
본 명세서에 걸쳐 "일 구체예" 또는 "어느 한 구체예"에 대한 언급은 구체예와 관련하여 기재된 특정 특징, 구조 또는 특성이 적어도 하나의 구체예에 포함됨을 의미한다. 따라서, 본 명세서에 걸쳐 다양한 곳들에서 어구 "일 구체예에서" 또는 "어느 한 구체예에서"의 출현들이 반드시 모두 동일한 구체예를 지칭하는 것은 아니다. 또한, 용어 "또는" 은 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하는 것으로 의도된다. 용어 "약" 또는 "대략"이 본원에 사용되는 경우, 이는 제시된 공칭 값이 ±10% 내에서 정확함을 의미하는 것으로 의도된다.
본원에서 방법들의 작업들이 특정 순서로 도시되고 기술되었지만, 각각의 방법의 작업들의 순서는 특정 작업이 역순으로 수행될 수 있도록, 또는 특정 작업이 적어도 부분적으로, 다른 작업들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별개의 작업들의 명령들 또는 하위 작업들은 간헐적 및/또는 교대 방식일 수 있다.
상기 설명은 예시적인 것이며, 제한적이지 않은 것으로 이해되어야 한다. 많은 다른 구체예들은 상기 설명을 읽고 이해하면 당업자에게 명백할 것이다. 본원에 기재된 구체예들의 범위는 첨부된 청구범위를 참조하여 그러한 청구범위가 부여되는 균등물들의 전체 범위와 함께 결정되어야 한다.

Claims (15)

  1. 하단 및 상단을 갖는 희토류 옥시플루오라이드 코팅을 포함하는 물품 코팅으로서, 상기 상단이 후속 가공 동안 불소 함유 화학 물질에 노출되고, 불소 농도 프로파일이 상기 희토류 옥시플루오라이드 코팅에 걸쳐 상기 하단에서 상기 상단으로 형성되고, 상기 상단에서의 상기 불소 농도가 상기 후속 가공 동안 평형시 형성되는 불소 농도의 약 20% 내에 있는, 물품 코팅.
  2. x회의 원자층 증착(ALD) 사이클들을 수행하여 공정 챔버 구성요소의 표면 상에 제1 희토류 산화물 층을 형성하고;
    y회의 ALD 사이클들을 수행하여 상기 제1 희토류 산화물 층 상에 제1 희토류 불화물 층을 형성하고;
    인-시튜(in-situ)로, 상기 제1 희토류 불화물 층으로부터의 적어도 하나의 불소를 상기 제1 희토류 산화물 층 내로 또는 상기 제1 희토류 산화물 층으로부터의 산소를 상기 제1 희토류 불화물 층 내로 확산시켜 제1 희토류 옥시플루오라이드 층을 형성하는 것을 포함하고,
    상기 제1 희토류 산화물 층 및 상기 제1 희토류 불화물 층은 동일한 희토류를 포함하고,
    상기 제1 희토류 옥시플루오라이드 층은 x 및 y에 기초하는 산소 대 불소 몰비를 갖는 방법.
  3. 제2항에 있어서, x회의 ALD 사이클들로부터의 ALD 사이클이
    공정 챔버 구성요소를 함유하는 증착 챔버 내로 희토류-함유 전구체를 주입함으로써 상기 공정 챔버 구성요소의 표면 상에 희토류 함유 종의 제1 흡착 층을 형성하고;
    산소-함유 반응물을 상기 증착 챔버 내로 주입함으로써 산소를 상기 제1 흡착 층과 반응시켜 제1 희토류 산화물 층을 형성하는 것을 포함하는 방법.
  4. 제2항에 있어서, y회의 ALD 사이클들로부터의 ALD 사이클이
    공정 챔버 구성요소를 함유하는 증착 챔버 내로 희토류-함유 전구체를 주입함으로써 상기 공정 챔버 구성요소의 표면 상에 희토류 함유 종의 흡착 층을 형성하고;
    불소-함유 반응물을 상기 증착 챔버 내로 주입함으로써 불소를 상기 흡착 층과 반응시켜 제1 희토류 불화물 층을 형성하는 것을 포함하는 방법.
  5. 제2항에 있어서,
    목표 두께가 달성될 때까지 복수의 추가의 희토류 옥시플루오라이드 층들을 형성하기 위해 희토류 산화물 층의 x회의 ALD 사이클들 및 희토류 불화물 층의 y회의 ALD 사이클들을 반복하고;
    인-시튜로, 복수의 이미 증착된 희토류 옥시플루오라이드 층들과 추가의 희토류 옥시플루오라이드 층들 내에 및 그 사이에 불소 또는 산소 중 적어도 하나를 계속 확산시킴으로써,
    희토류 옥시플루오라이드 코팅을 형성하는 것을 추가로 포함하는 방법.
  6. 제5항에 있어서,
    희토류 옥시플루오라이드 코팅의 산소 대 불소의 몰비가 목표 두께에 걸쳐 균일하도록 산소 대 불소의 몰비가 후속 희토류 산화물 층들 및 후속 희토류 불화물 층들의 증착 동안 일정하고;
    공정 챔버 구성요소가 후속 가공 동안 불소에 노출되고, 상기 희토류 옥시플루오라이드 코팅의 산소 대 불소의 몰비가 후속 가공 동안 평형시 형성되는 산소 대 불소의 몰비의 20% 내에 있는 방법.
  7. 제5항에 있어서, 희토류 옥시플루오라이드 코팅이 하단 및 상단을 갖고, 상기 상단은 후속 가공 동안 불소 화학 물질에 노출되고, 상기 하단은 제1 불소 농도를 갖고 상기 상단은 제2 불소 농도를 갖고, 상기 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배가 형성되도록 상기 제1 불소 농도가 상기 제2 불소 농도보다 더 높은 방법.
  8. 제7항에 있어서,
    제2 불소 농도가 후속 가공 동안 평형시 얻어지는 불소 농도의 20% 내에 있고;
    불소 농도 구배가 선형인 방법.
  9. 제5항에 있어서, 제1 희토류 옥시플루오라이드 층을 형성하기 전에 공정 챔버 구성요소의 표면 상에 완충 층을 코팅하는 것을 추가로 포함하고, 상기 챔버 구성요소의 표면이 제1 열팽창 계수를 갖고, 상기 완충 층이 제2 열팽창 계수를 갖고, 상기 희토류 옥시플루오라이드 코팅이 제3 열팽창 계수를 갖고, 상기 제2 열팽창 계수는 상기 제1 열팽창 계수와 상기 제3 열팽창 계수 사이에 있는 방법.
  10. ALD 사이클을 수행하여 공정 챔버 구성요소의 표면 상에 제1 희토류 옥시플루오라이드 층을 형성하는 것을 포함하는 방법으로서, 상기 제1 희토류 옥시플루오라이드 층이 목표 산소 대 불소 몰비를 갖고, 상기 ALD 사이클이
    상기 공정 챔버 구성요소를 함유하는 증착 챔버 내로 희토류-함유 전구체를 주입함으로써 상기 공정 챔버 구성요소의 표면 상에 희토류의 제1 흡착 층을 형성하고,
    적어도 하나의 산소-함유 반응물을 제1 투여율로, 그리고 적어도 하나의 불소-함유 반응물을 제2 투여율로 증착 챔버 내로 공동 주입함으로써 산소-함유 반응물 또는 불소-함유 반응물 중 적어도 하나를 상기 제1 흡착 층과 반응시키는 것을 포함하는 방법.
  11. 제10항에 있어서, 목표 두께를 갖는 희토류 옥시플루오라이드 코팅이 달성될 때까지 복수의 후속 희토류 옥시플루오라이드 층들을 형성하기 위해 ALD 사이클을 반복하는 것을 추가로 포함하는 방법.
  12. 제11항에 있어서, 제1 투여율 및 제2 투여율이 반복되는 ALD 사이클들 동안 일정하고, 상기 제1 투여율 대 상기 제2 투여율의 비가 희토류 옥시플루오라이드 코팅의 목표 산소 대 불소 몰비에 비례하고, 상기 희토류 옥시플루오라이드 코팅의 상기 산소 대 불소의 몰비가 목표 두께에 걸쳐 균일한 방법.
  13. 제12항에 있어서, 공정 챔버 구성요소가 후속 가공 동안 불소에 노출되고, 희토류 옥시플루오라이드 코팅의 목표 산소 대 불소 몰비가 후속 가공 동안 평형시 형성되는 산소 대 불소 몰비의 약 20% 내에 있는 방법.
  14. 제11항에 있어서,
    희토류 옥시플루오라이드 코팅이 하단 및 상단을 갖고, 상기 상단은 후속 가공 동안 불소 화학 물질에 노출되고, 상기 하단은 제1 불소 농도를 갖고 상기 상단은 제2 불소 농도를 갖고, 상기 희토류 옥시플루오라이드 코팅에 걸쳐 불소 농도 구배가 형성되도록 상기 제1 불소 농도가 상기 제2 불소 농도보다 더 높고,
    상기 제2 불소 농도는 후속 가공 동안 평형시 얻어지는 불소 농도의 20% 내에 있고;
    상기 불소 농도 구배는 대략 선형이고;
    상기 희토류 옥시플루오라이드 코팅의 하단은 산소를 실질적으로 함유하지 않는 방법.
  15. 제11항에 있어서, 공정 챔버 구성요소의 표면 상에 완충 층을 코팅하는 것을 추가로 포함하고, 상기 공정 챔버 구성요소의 표면은 제1 열팽창 계수를 갖고, 상기 완충 층은 제2 열팽창 계수를 갖고, 상기 희토류 옥시플루오라이드 코팅은 제3 열팽창 계수를 갖고, 상기 제2 열팽창 계수는 상기 제1 열팽창 계수와 상기 제3 열팽창 계수 사이에 있는 방법.
KR1020207009955A 2017-09-08 2018-09-07 챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ald 코팅 KR102201932B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201762556298P 2017-09-08 2017-09-08
US62/556,298 2017-09-08
US15/903,091 US20190078200A1 (en) 2017-09-08 2018-02-23 Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US15/903,103 2018-02-23
US15/903,091 2018-02-23
US15/903,103 US20190078206A1 (en) 2017-09-08 2018-02-23 Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US201862695341P 2018-07-09 2018-07-09
US62/695,341 2018-07-09
US16/108,195 2018-08-22
US16/108,195 US20190078199A1 (en) 2017-09-08 2018-08-22 Rare-earth-based oxyfluoride ald coating for chamber productivity enhancement
PCT/US2018/050064 WO2019051302A1 (en) 2017-09-08 2018-09-07 ALD RARE EARTH OXYFLUORIDE COATING FOR ENHANCED ROOM PRODUCTIVITY

Publications (2)

Publication Number Publication Date
KR20200038563A true KR20200038563A (ko) 2020-04-13
KR102201932B1 KR102201932B1 (ko) 2021-01-11

Family

ID=65630703

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207009955A KR102201932B1 (ko) 2017-09-08 2018-09-07 챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ald 코팅

Country Status (6)

Country Link
US (1) US20190078199A1 (ko)
JP (1) JP6861323B2 (ko)
KR (1) KR102201932B1 (ko)
CN (1) CN111164735B (ko)
TW (1) TWI737933B (ko)
WO (1) WO2019051302A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
WO2021065646A1 (ja) * 2019-10-04 2021-04-08 株式会社Adeka 酸化イットリウム含有膜の製造方法
KR20210045216A (ko) * 2019-10-16 2021-04-26 (주)포인트엔지니어링 공정챔버용 내부 금속 파트 및 공정챔버용 내부 금속 파트의 박막층 형성 방법
JPWO2022059571A1 (ko) * 2020-09-17 2022-03-24
US11702744B2 (en) * 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
WO2023039425A1 (en) * 2021-09-07 2023-03-16 Greene, Tweed Technologies, Inc. Methods of forming a plasma resistant coating of y-o-f and substrates having such coating

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
KR20140100919A (ko) * 2007-04-27 2014-08-18 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
KR20140105456A (ko) * 2011-12-05 2014-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2016089241A (ja) * 2014-11-08 2016-05-23 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
KR20160105320A (ko) * 2015-02-27 2016-09-06 램 리써치 코포레이션 표면들을 코팅하기 위한 방법
KR20160112909A (ko) * 2015-03-18 2016-09-28 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
KR20160131916A (ko) * 2015-05-08 2016-11-16 도쿄엘렉트론가부시키가이샤 용사용 재료, 용사 피막 및 용사 피막 부착 부재
JP2016211071A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211070A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
KR20170016294A (ko) * 2015-08-03 2017-02-13 램 리써치 코포레이션 플라즈마 에칭 내성 코팅을 가진 플라즈마 에칭 디바이스
KR20170037514A (ko) * 2015-09-25 2017-04-04 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리
KR20170046076A (ko) * 2015-10-20 2017-04-28 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리, 용사 피막 및 용사 피막의 형성 방법
KR20170080123A (ko) * 2015-12-31 2017-07-10 (주)코미코 내플라즈마 코팅막 및 이의 형성방법
JP2017172021A (ja) * 2016-03-25 2017-09-28 リバストン工業株式会社 皮膜付き基材、プラズマエッチング装置用部品およびそれらの製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100915722B1 (ko) * 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치
US20120034471A1 (en) * 2010-08-09 2012-02-09 Honeywell International Inc. Thermal barrier systems including yttrium gradient layers and methods for the formation thereof
CN103205730B (zh) * 2012-01-11 2015-07-29 中国科学院微电子研究所 一种掺氮二氧化钛薄膜的制备方法
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
WO2017087474A1 (en) * 2015-11-16 2017-05-26 Coorstek, Inc. Corrosion-resistant components and methods of making
JP7282678B2 (ja) * 2017-01-16 2023-05-29 インテグリス・インコーポレーテッド フルオロアニーリング膜でコーティングされた物品

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140100919A (ko) * 2007-04-27 2014-08-18 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
KR20140105456A (ko) * 2011-12-05 2014-09-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2016089241A (ja) * 2014-11-08 2016-05-23 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
KR20160105320A (ko) * 2015-02-27 2016-09-06 램 리써치 코포레이션 표면들을 코팅하기 위한 방법
KR20160112909A (ko) * 2015-03-18 2016-09-28 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
KR20160131916A (ko) * 2015-05-08 2016-11-16 도쿄엘렉트론가부시키가이샤 용사용 재료, 용사 피막 및 용사 피막 부착 부재
JP2016211071A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
JP2016211070A (ja) * 2015-05-08 2016-12-15 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
KR20170016294A (ko) * 2015-08-03 2017-02-13 램 리써치 코포레이션 플라즈마 에칭 내성 코팅을 가진 플라즈마 에칭 디바이스
KR20170037514A (ko) * 2015-09-25 2017-04-04 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리
KR20170046076A (ko) * 2015-10-20 2017-04-28 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리, 용사 피막 및 용사 피막의 형성 방법
KR20170080123A (ko) * 2015-12-31 2017-07-10 (주)코미코 내플라즈마 코팅막 및 이의 형성방법
JP2017172021A (ja) * 2016-03-25 2017-09-28 リバストン工業株式会社 皮膜付き基材、プラズマエッチング装置用部品およびそれらの製造方法

Also Published As

Publication number Publication date
JP6861323B2 (ja) 2021-04-21
CN111164735B (zh) 2021-04-02
TW201920744A (zh) 2019-06-01
TWI737933B (zh) 2021-09-01
KR102201932B1 (ko) 2021-01-11
JP2020529520A (ja) 2020-10-08
WO2019051302A1 (en) 2019-03-14
CN111164735A (zh) 2020-05-15
US20190078199A1 (en) 2019-03-14

Similar Documents

Publication Publication Date Title
KR102201932B1 (ko) 챔버 생산성 향상을 위한 희토류 기반 옥시플루오라이드 ald 코팅
US20190078200A1 (en) Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
KR102592883B1 (ko) 원자 층 증착에 의한 다공성 바디의 내플라즈마성 코팅
KR102329708B1 (ko) 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
CN110735128B (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层
CN213295503U (zh) 用于半导体处理腔室的制品
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング
US12002657B2 (en) Multi-layer plasma resistant coating by atomic layer deposition

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant