KR20190134457A - 통합된 보호 회로를 갖는 센서 - Google Patents

통합된 보호 회로를 갖는 센서 Download PDF

Info

Publication number
KR20190134457A
KR20190134457A KR1020187037969A KR20187037969A KR20190134457A KR 20190134457 A KR20190134457 A KR 20190134457A KR 1020187037969 A KR1020187037969 A KR 1020187037969A KR 20187037969 A KR20187037969 A KR 20187037969A KR 20190134457 A KR20190134457 A KR 20190134457A
Authority
KR
South Korea
Prior art keywords
sensor
metal layer
reagent
buried metal
passivation layer
Prior art date
Application number
KR1020187037969A
Other languages
English (en)
Other versions
KR102576273B1 (ko
Inventor
트레이시 헬렌 펑
슈위 카이
리사 곽
하이 트란
케반 사미에
량량 치앙
보얀 보아노프
Original Assignee
일루미나, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 일루미나, 인코포레이티드 filed Critical 일루미나, 인코포레이티드
Publication of KR20190134457A publication Critical patent/KR20190134457A/ko
Application granted granted Critical
Publication of KR102576273B1 publication Critical patent/KR102576273B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/645Specially adapted constructive features of fluorimeters
    • G01N21/6452Individual samples arranged in a regular 2D-array, e.g. multiwell plates
    • G01N21/6454Individual samples arranged in a regular 2D-array, e.g. multiwell plates using an integrated detector array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N21/78Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator producing a change of colour
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • G01N33/5438Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502738Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by integrated valves
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q1/00Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions
    • C12Q1/68Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions involving nucleic acids
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • G01N15/14Optical investigation techniques, e.g. flow cytometry
    • G01N15/1434Optical arrangements
    • G01N15/1436Optical arrangements the optical arrangement forming an integrated apparatus with the sample container, e.g. a flow cell
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6486Measuring fluorescence of biological material, e.g. DNA, RNA, cells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N21/7703Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator using reagent-clad optical fibres or optical waveguides
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/00029Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor provided with flat sample substrates, e.g. slides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0645Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/06Auxiliary integrated devices, integrated components
    • B01L2300/0627Sensor or part of a sensor is integrated
    • B01L2300/0654Lenses; Optical fibres
    • CCHEMISTRY; METALLURGY
    • C12BIOCHEMISTRY; BEER; SPIRITS; WINE; VINEGAR; MICROBIOLOGY; ENZYMOLOGY; MUTATION OR GENETIC ENGINEERING
    • C12QMEASURING OR TESTING PROCESSES INVOLVING ENZYMES, NUCLEIC ACIDS OR MICROORGANISMS; COMPOSITIONS OR TEST PAPERS THEREFOR; PROCESSES OF PREPARING SUCH COMPOSITIONS; CONDITION-RESPONSIVE CONTROL IN MICROBIOLOGICAL OR ENZYMOLOGICAL PROCESSES
    • C12Q1/00Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions
    • C12Q1/68Measuring or testing processes involving enzymes, nucleic acids or microorganisms; Compositions therefor; Processes of preparing such compositions involving nucleic acids
    • C12Q1/6813Hybridisation assays
    • C12Q1/6816Hybridisation assays characterised by the detection means
    • C12Q1/6825Nucleic acid detection involving sensors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N2021/7753Reagent layer on photoelectrical transducer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/75Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated
    • G01N21/77Systems in which material is subjected to a chemical reaction, the progress or the result of the reaction being investigated by observing the effect on a chemical indicator
    • G01N2021/7756Sensor type
    • G01N2021/7763Sample through flow

Landscapes

  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Hematology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Urology & Nephrology (AREA)
  • Plasma & Fusion (AREA)
  • Dispersion Chemistry (AREA)
  • Microbiology (AREA)
  • Biotechnology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Clinical Laboratory Science (AREA)
  • Food Science & Technology (AREA)
  • Medicinal Chemistry (AREA)
  • Cell Biology (AREA)
  • Organic Chemistry (AREA)
  • Zoology (AREA)
  • Proteomics, Peptides & Aminoacids (AREA)
  • Wood Science & Technology (AREA)
  • Biophysics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • General Engineering & Computer Science (AREA)
  • Genetics & Genomics (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Investigating Or Analysing Materials By The Use Of Chemical Reactions (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)
  • Air Bags (AREA)
  • Optical Measuring Cells (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

예시적인 센서는 흐름 셀, 검출 디바이스, 및 제어기를 포함한다. 흐름 셀은 대향면들을 갖는 패시베이션층 및 대향면들 중 제1 면에서의 반응 부위를 포함한다. 흐름 셀은 또한, 리드와 반응 부위 사이에 부분적으로 흐름 채널을 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함한다. 검출 디바이스는 패시베이션층의 대향면들 중 제2 면과 접촉하고, 검출 디바이스의 다른 검출 회로로부터 전기적으로 절연된 매립된 금속층을 포함한다. 제어기는 매립된 금속층을 접지하기 위한 것이다.

Description

통합된 보호 회로를 갖는 센서
관련 출원에 관한 상호 참조문헌
본 출원은 2017년 4월 25일자로 출원된 미국 가출원 제62/489,840호, 및 2017년 6월 9일자로 출원된 네덜란드출원 일련번호 N2019043호의 이익을 주장하며, 이러한 문헌들 각각의 내용은 전문이 본 명세서에 참고로 포함된다.
생물학적 또는 화학적 연구에서의 다양한 프로토콜은 국부적인 지지 표면 상에서 또는 사전획정된 반응 챔버 내에서 많은 수의 제어된 반응을 수행하는 것을 포함한다. 이후에, 지명된 반응들이 관찰되거나 검출될 수 있으며, 후속 분석은 반응에 관여하는 화합물질의 성질을 식별하거나 밝히는 데 도움을 줄 수 있다. 예를 들어, 일부 다중 검정(multiplex assay)에서, 식별 가능한 라벨(예를 들어, 형광 라벨)을 갖는 미지의 분석물은 제어된 조건 하에서 수천 개의 공지된 프로브에 노출될 수 있다. 각 공지된 프로브는 마이크로플레이트의 상응하는 웰 내에 증착될 수 있다. 웰 내에서 공지된 프로브와 미지의 분석물 사이에 일어나는 임의의 화학 반응의 관찰은 분석물의 성질을 식별하거나 밝혀내는 데 도움을 줄 수 있다. 이러한 프로토콜의 다른 예는 공지된 DNA 시퀀싱 공정(DNA sequencing process), 예를 들어, 합성을 통한 시퀀싱(sequencing-by-synthesis: SBS) 또는 시클릭-어레이 시퀀싱(cyclic-array sequencing)을 포함한다.
일부 형광-검출 프로토콜에서, 광학 시스템은 여기 광(excitation light)을 형광-표지된 분석물 상으로 유도하고 분석물로부터 방출할 수 있는 형광 신호를 검출하기 위해 사용된다. 그러나, 이러한 광학 시스템은 비교적 고가이고, 더 큰 벤치톱 풋프린트(benchtop footprint)를 포함할 수 있다. 예를 들어, 광학 시스템은 렌즈, 필터, 및 광원의 배열을 포함할 수 있다. 다른 제안된 검출 시스템에서, 제어된 반응은 형광 방출을 검출하기 위해 큰 광학 어셈블리를 포함하지 않는 고체-상태 이미저(solid-state imager)(예를 들어, 전하-결합 소자(charged-coupled device: CCD) 또는 상보성 금속-옥사이드 반도체(complementary metal-oxide semiconductor: CMOS) 검출기) 위에서 바로 일어난다.
제1 양태에서, 센서는 대향면(opposed surface)들 및 대향면들 중 제1 면에서의 반응 부위를 갖는 패시베이션층(passivation layer), 및 리드(lid)와 반응 부위 사이에 부분적으로 흐름 채널을 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀(flow cell); 및 패시베이션층의 대향면들 중 제2 면과 접촉하고 검출 디바이스의 다른 검출 회로로부터 전기적으로 절연된 매립된 금속층(embedded metal layer)을 포함하는 검출 디바이스(detection device); 및 매립된 금속층을 접지하기 위한 제어기를 포함한다.
제1 양태의 일례에서, 검출 디바이스는 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 송신하기 위해 검출 디바이스의 다른 검출 회로에 전기적으로 연결된 광학 센서; 및 매립된 금속층과 다른 검출 회로 사이의 전기적 비-전도성 갭(electrically non-conductive gap)을 더 포함한다. 이러한 예에서, 센서는 광학 센서를 다른 검출 회로에 전기적으로 연결하는 제2 제어기를 더 포함할 수 있다.
이러한 제1 양태의 다른 예는 흐름 채널 내로 도입된 시약을 더 포함하며, 시약은 약 6.5 내지 약 10 범위의 pH를 가지고, 약 45 mS/cm 내지 약 85 mS/cm 범위의 전도도를 갖는 것이다.
센서의 이러한 제1 양태의 임의의 특성들이 임의의 요망되는 방식 및/또는 구성으로 함께 결합될 수 있는 것으로 이해되어야 한다.
제2 양태에서, 센서는 광도파로(optical waveguide)를 포함하는 검출 디바이스; 광도파로와 작동 가능하게 연결된 광학 센서; 및 제1 매립된 금속층 및 광학 센서에 전기적으로 연결된 제2 매립된 금속층을 포함하는 디바이스 회로로서, 제1 매립된 금속층이 전기 절연 갭에 의해 제2 매립된 금속층으로부터 이격되어 있는 디바이스 회로; 제1 매립된 금속층 및 광도파로의 입력 영역(input region)과 접촉되고, 광도파로의 입력 영역에 적어도 부분적으로 인접한 반응 부위를 갖는 패시베이션층의 적어도 일부분; 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드(lid); 제1 매립된 금속층을 선택적으로 접지하기 위해 제1 매립된 금속층에 전기적으로 연결된 제1 제어기; 및 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 송신하기 위해 광학 센서에 제2 매립된 금속층을 전기적으로 연결하는 제2 제어기를 포함한다.
센서의 이러한 제2 양태의 임의의 특성들이 임의의 요망되는 방식 및/또는 구성으로 함께 결합될 수 있는 것으로 이해되어야 한다. 또한, 센서의 제1 양태 및/또는 센서의 제2 양태의 특성들의 임의의 결합이 함께 이용될 수 있고/거나, 이러한 양태들 중 어느 하나 또는 둘 모두로부터의 임의의 특성들이 본 명세서에 개시된 임의의 예와 결합될 수 있는 것으로 이해되어야 한다.
제3 양태에서, 방법은 대향면들 및 대향면들 중 제1 면에 반응 부위를 갖는 패시베이션층 및 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀; 패시베이션층의 대향면들 중 제2 면과 접촉되고 검출 디바이스의 다른 검출 회로로부터 전기적으로 절연된 매립된 금속층을 포함하는 검출 디바이스를 포함하는 센서의 흐름 채널에 시약을 도입하는 단계; 시약의 적어도 일부 반응 성분을 포함하는 반응 부위에서의 반응에 응답하여 센서의 감지 작동을 수행하는 단계; 및 감지 작동 동안에, 매립된 금속층을 접지하여, 매립된 금속층에 대한 수동적 보호(passive protection)를 제공하는 단계를 포함한다.
이러한 제3 양태의 일례에서, 검출 디바이스는 다른 디바이스 회로에 전기적으로 연결된 광학 센서를 더 포함하며, 매립된 금속층은 전기 절연 갭에 의해 광학 센서에 전기적으로 연결된 다른 디바이스 회로로부터 이격되어 있으며, 매립된 금속층의 접지는 감지 작동에 대해 직교한다.
이러한 제3 양태의 임의의 특성들이 임의의 요망되는 방식 및/또는 구성으로 함께 결합될 수 있는 것으로 이해되어야 한다. 또한, 본 방법의 제3 양태 및/또는 센서의 제1 양태 및/또는 센서의 제2 양태의 특성들의 임의의 결합이 함께 사용될 수 있고/거나, 이러한 양태들 중 임의 양태 또는 모든 양태로부터의 임의의 특성들이 본 명세서에 개시된 임의의 예와 결합될 수 있는 것으로 이해되어야 한다.
제4 양태에서, 센서는 대향면들 및 대향면들 중 제1 면에서의 반응 부위를 갖는 패시베이션층, 및 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀을 포함한다. 센서는 패시베이션층의 대향면들 중 제2 면과 접촉하고 매립된 금속층을 포함하는 검출 디바이스를 더 포함한다. 시약 전극(reagent electrode)은 흐름 채널 내에 도입하기 위해 시약과 접촉하도록 정위된다. 제어기는 애노드로서 시약 전극을 제공하고 캐소드로서 매립된 금속층을 제공하는 전기 바이어스(electrical bias)를 선택적으로 적용하기 위해 시약 전극 및 매립된 금속층을 전기적으로 연결한다.
이러한 제4 양태의 일례에서, 시약 전극은 리드의 내부 표면의 적어도 일부분에 연결된다.
이러한 제4 양태의 다른 예에서, 시약 전극은 리드의 내부 표면의 부분에 연결되고, 흐름 채널의 측벽(sidewall)을 형성한다. 일례에서, 측벽은 금속 전도체 또는 커넥터에 전기적으로 연결하고 직접 기계적으로 연결하며, 여기서, 금속 전도체 또는 커넥터는 제어기에 전기적으로 연결한다. 다른 예에서, 측벽은 리드의 내부 표면의 부분에 그리고 전도성 부품을 통해 연결된 시약 전극의 일부분을 통해 제어기에 전기적으로 연결한다.
이러한 제4 양태의 또 다른 예에서, 리드는 흐름 채널의 측벽을 획정하는 특징부(feature)를 포함하되, 시약 전극은 특징부 상에 배치된 층을 포함한다.
이러한 제4 양태의 또 다른 예에서, 시약 전극은 리드의 내부 표면의 일부분에 연결되고 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된 층을 포함한다.
이러한 제4 양태의 다른 예에서, 시약 전극은 리드의 외부 표면의 일부분에 연결되고 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된 층을 포함한다.
이러한 제4 양태의 추가 예에서, 패시베이션층의 일부분은 패시베이션층 상에 획정되거나 패시베이션층에 매립된 시약 전극을 갖는다.
이러한 제4 양태의 또 다른 예에서, 패시베이션층의 일부분은 내부에 획정된 개구(aperture)를 가지며, 시약 전극은 개구를 통해 노출된다.
이러한 제4 양태의 일례에서, 검출 디바이스는 광학 센서, 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 전송하기 위해 광학 센서에 전기적으로 연결된 디바이스 회로, 및 디바이스 회로와 매립된 금속층 사이의 전기적 비-전도성 갭을 더 포함한다.
이러한 제4 양태의 다른 예에서, 검출 디바이스는 광학 센서, 및 광학 센서에 및 매립된 금속층에 전기적으로 연결된 디바이스 회로를 더 포함한다.
이러한 제4 양태의 또 다른 예에서, 검출 디바이스는 광학 센서에 반응 부위를 광학적으로 연결하는 광도파로, 및 패시베이션층의 제2 대향면의 적어도 일부분과 접촉하고 광도파로의 입력 영역에 적어도 부분적으로 인접한 개구를 갖는 차폐층을 더 포함한다.
이러한 제4 양태의 일례에서, 센서는 흐름 채널 내에 도입된 시약을 더 포함하며, 시약은 약 6.5 내지 약 10 범위의 pH를 가지고, 약 45 mS/cm 내지 약 85 mS/cm 범위의 전도도를 갖는다.
센서의 이러한 제4 양태의 임의의 특성들이 임의의 요망되는 방식 및/또는 구성으로 함께 결합될 수 있는 것으로 이해되어야 한다. 또한, 센서의 제4 양태 및/또는 센서의 제1 양태 및/또는 센서의 제2 양태 및/또는 방법의 제3 양태의 특성들의 임의의 결합이 함께 사용될 수 있고/거나, 임의의 또는 모든 이러한 양태들로부터의 임의의 특성들이 본 명세서에 개시된 임의의 예와 결합될 수 있는 것으로 이해되어야 한다.
제5 양태에서, 센서는 광도파로, 광도파로와 작동 가능하게 결합된 광학 센서, 및 디바이스 회로를 포함하는, 검출 디바이스를 포함한다. 디바이스 회로는 시약 전극, 시약 전극에 전기적으로 연결된 제1 매립된 금속층, 및 광학 센서에 전기적으로 연결된 제2 매립된 금속층을 포함한다. 제1 매립된 금속층은 전기 절연 갭에 의해 제2 매립된 금속층으로부터 이격된다. 패시베이션층의 적어도 일부분은 제1 매립된 금속층 및 광도파로의 입력 영역과 접촉하며, 패시베이션층의 적어도 일부분은 광도파로의 입력 영역에 적어도 부분적으로 인접한 반응 부위를 갖는다. 리드는 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결되며, 여기서, 시약 전극은 흐름 채널 내에 도입되게 하기 위해 시약과 접촉되도록 정위된다.
이러한 제5 양태의 일례에서, 센서는 시약 전극을 애노드로 제공하고 매립된 금속층을 캐소드로 제공하는 전기 바이어스를 선택적으로 인가하기 위해 시약 전극 및 제1 매립된 금속층을 전기적으로 연결하는 제1 제어기 및 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 송신하기 위해 광학 센서에 제2 매립된 금속층을 전기적으로 연결하는 제2 제어기를 더 포함한다. 일례에서, 시약 전극은 리드의 내부 표면의 일부분에 연결되고, 흐름 채널의 측벽을 형성한다. 일례에서, 측벽은 금속 전도체 또는 커넥터에 전기적으로 연결되는 것, 및 직접적으로 기계적으로 연결되는 것 중 하나이며, 여기서, 금속 전도체 또는 커넥터는 제1 제어기에 전기적으로 연결되거나, 리드의 내부 표면의 부분에 연결된 시약 전극의 일부분을 통해 및 전도성 부품을 통해 제1 제어기에 전기적으로 연결된다.
이러한 제5 양태의 다른 예에서, 시약 전극은 리드의 내부 표면의 적어도 일부분에 연결된다.
이러한 제5 양태의 또 다른 예에서, 리드는 흐름 채널의 측벽을 획정하는 특징부를 포함하며, 시약 전극은 특징부 상에 배치된 층을 포함한다.
이러한 제5 양태의 추가 예에서, 시약 전극은 리드의 내부 표면의 일부분에 연결되고 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된 층을 포함한다.
이러한 제5 양태의 또 다른 예에서, 시약 전극은 리드의 외부 표면의 일부분에 연결되고 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된 층을 포함한다.
이러한 제5 양태의 또 다른 예에서, 패시베이션층의 다른 부분은 패시베이션층 상에 획정되거나 패시베이션층에 매립된 시약 전극을 갖는다.
이러한 제5 양태의 또 다른 예에서, 패시베이션층의 다른 부분은 내부에 획정된 개구를 가지며, 시약 전극은 개구를 통해 노출된다.
본 방법의 이러한 제5 양태의 임의의 특성들이 임의의 요망되는 방식으로 함께 결합될 수 있는 것으로 이해되어야 한다. 또한, 센서의 제5 양태 및/또는 센서의 제1 양태 및/또는 센서의 제2 양태 및/또는 방법의 제3 양태 및/또는 센서의 제4 양태의 특성들의 임의의 결합이 함께 사용될 수 있고/거나, 임의의 또는 모든 이러한 양태들로부터의 임의의 특성들이 본 명세서에 개시된 임의의 예들과 결합될 수 있는 것으로 이해되어야 한다.
제6 양태에서, 본 방법은 대향면들 및 대향면들 중 제1 면에 반응 부위를 갖는 패시베이션층, 및 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀; 패시베이션층의 대향면들 중 제2 면과 접촉하고 매립된 금속층을 포함하는 검출 디바이스; 및 매립된 금속층에 전기적으로 연결되고 흐름 채널 내에 도입된 시약과 접촉되도록 정위된 시약 전극을 포함하는 센서의 흐름 채널에 시약을 도입하는 것을 포함한다. 본 방법은 시약의 적어도 일부 반응 성분을 포함하는 반응 부위에서의 반응에 응답하여 센서의 감지 작동을 수행하고, 감지 작동 동안에, 시약 전극을 애노드 또는 캐소드 중 하나로 제공하고 매립된 금속층을 캐소드 또는 애노드 중 다른 하나로 제공하는 전기 바이어스를 인가하여, 매립된 금속층에 대한 캐소드 보호 또는 애노드 보호를 제공하는 것을 더 포함한다.
이러한 제6 양태의 일례에서, 검출 디바이스는 광학 센서, 및 광학 센서에 전기적으로 연결된 디바이스 회로를 더 포함하며; 매립된 금속층은 감지 작동의 수행 시에 작동하며; 전기 바이어스는 매립된 금속층에 인가된다.
이러한 제6 양태의 다른 예에서, 검출 디바이스는 광학 센서, 및 광학 센서에 전기적으로 연결된 디바이스 회로를 더 포함하며; 매립된 금속층은 전기 절연 갭에 의해 광학 센서에 전기적으로 연결된 디바이스 회로로부터 이격되어 있으며; 전기 바이어스의 적용은 감지 작동에 대해 직교한다.
이러한 제6 양태의 또 다른 예에서, 본 방법은 센서의 흐름 채널에 도입된 시약의 pH를 기초로 하여 전기 바이어스를 조정하는 것을 더 포함한다.
본 방법의 이러한 제6 양태의 임의의 특성들이 임의의 요망되는 방식으로 함께 결합될 수 있는 것으로 이해되어야 한다. 또한, 본 방법의 제6 양태 및/또는 센서의 제1 양태 및/또는 센서의 제2 양태 및/또는 본 방법의 제3 양태 및/또는 센서의 제4 양태 및/또는 센서의 제5 양태의 특성들의 임의의 결합이 함께 사용될 수 있고/거나 이러한 양태들 중 임의의 양태 또는 모든 양태로부터의 임의의 특성들이 임의의 본 명세서에 개시된 예와 결합될 수 있는 것으로 이해되어야 한다.
또한, 임의의 센서 및/또는 임의의 방법의 임의의 특성들이 임의의 요망되는 방식으로 함께 결합될 수 있고/거나 본 명세서에 개시된 임의의 예와 결합될 수 있는 것으로 이해되어야 한다.
본 개시내용의 예의 특성은 하기 상세한 설명 및 도면을 참조하여 명백해질 것이며, 여기서, 유사한 참조 번호는 유사한(아마도 동일하지 않음) 구성성분에 해당한다. 간단하게 하기 위하여, 이전에 기술된 기능을 갖는 참조 번호 또는 특성은 이러한 것이 나타나는 다른 도면과 관련하여 기술될 수 있거나 기술되지 않을 수 있다.
도 1은 생물학적 또는 화학적 분석을 위한 시스템의 일례의 블록 다이어그램;
도 2는 도 1의 시스템에서 사용될 수 있는 시스템 제어기의 일례의 블록 다이어그램;
도 3은 본 명세서에 개시된 방법의 일례에 따른 생물학적 또는 화학적 분석을 위한 워크스테이션(workstation)의 일례의 블록 다이어그램;
도 4는 워크스테이션 및 카트리지의 일례의 절단 사시도;
도 5는 카트리지의 일례의 내부 부품을 예시한 도면;
도 6은 본 명세서에 개시된 센서의 일례의 단면도;
도 7은 센서를 더 상세히 예시하는 도 6의 단면의 확대된 부분을 도시한 도면;
도 8은 본 명세서에 개시된 센서의 다른 예의 단면도이다.
도 9는 센서를 더 상세히 예시하는 도 8의 단면의 확대된 부분을 도시한 도면;
도 10a 내지 도 10h는 센서의 다양한 예의 단면도로서, 각각은 상이한 시약 전극 구성을 지님:
도 11은 본 명세서에 개시된 방법의 일례를 예시한 흐름도;
도 12는 본 명세서에 개시된 센서의 또 다른 예의 단면도;
도 13은 본 명세서에 개시된 센서의 실시예를 시뮬레이션하는 수정 진동자 저울 설정에서 베이스라인 실시예, 및 다양한 실시예 및 비교예 전압 방식에 대해 1회 시험 사이클 후 두께 손실(㎚)을 도시한 그래프;
도 14는 비교예 센서, 수동적 보호에 대해 노출된 제1 실시예 센서, 및 캐소드 보호에 노출된 제2 실시예 센서에 대한 부식 손상률(백분율로서)을 도시한 그래프.
본 명세서에 개시된 센서의 예는 센서의 일부인, 상보성 금속-옥사이드 반도체(CMOS) 검출 디바이스의 부품(들)의 적어도 일부의 2중 보호(two-fold protection)를 통합한다. 금속 CMOS 부품은 예를 들어, 이러한 것이 고도로 산성이거나 고도로 염기성인 환경과 접촉되는 경우에, 부식되기 쉬울 수 있다. 본 명세서에 개시된 예에서, 한 수준의 부식 방지는 CMOS 검출 디바이스에 결합된 흐름 셀 내에 도입된 시약과 CMOS 검출 디바이스 사이에 정위된 패시베이션층에 의해 제공된다. 다른 수준의 부식 방지는 보호 회로에 의해 제공된다. 본 명세서에 개시된 예들 중 일부에서, 보호 회로는 시약에 노출될 수 있는 CMOS 검출 디바이스의 적어도 금속-함유 부품에 대한 캐소드 또는 애노드 보호를 제공하도록 구성된다. 일례로서, 캐소드 또는 애노드 보호 바이어스가 인가될 때, CMOS의 부식률은 통상적인 부식률(예를 들어, 캐소드 또는 애노드 보호 없는 동일한 시약에 대한 노출)에 비해 약 5,000배 내지 약 10,000배 감소될 수 있다. 본 명세서에 개시된 예들 중 다른 예에서, 보호 회로는 시약에 노출될 수 있는 CMOS 검출 디바이스의 적어도 금속-함유 부품에 수동적 보호 또는 반-수동적 보호를 제공하도록 구성된다. 일례에서, 수동적 또는 반-수동적 보호 바이어스가 인가될 때, CMOS의 부식률은 통상적인 부식률(예를 들어, 수동적 또는 반-수동적 보호 없는 동일한 시약에 대한 노출)에 비해 약 500배 내지 약 1,000배 감소될 수 있다.
본 명세서에 개시된 센서의 예는 학술적 또는 상업적 분석을 위한 다양한 생물학적 또는 화학적 공정 및 시스템에서 사용될 수 있다. 예를 들어, 본 명세서에 개시된 예시적인 센서는 지정된 반응을 나타내는 사건, 성질, 품질, 또는 특징을 검출하기 위해 요망되는 다양한 공정 및 시스템에서 사용될 수 있다. 센서들 중 일부는 카트리지 및/또는 생물검정 시스템에서 사용될 수 있다.
생물검정 시스템은 개별적으로 또는 총괄적으로 검출될 수 있는 복수의 지정된 반응을 수행하도록 구성될 수 있다. 센서 및 생물검정 시스템은 복수의 지정된 반응이 병렬로 일어나는 다수의 사이클을 수행하도록 구성될 수 있다. 예를 들어, 생물검정 시스템은 효소 조작 및 이미지 획득의 반복 사이클을 통해 DNA 특성들의 고밀도 어레이를 서열화하기 위해 사용될 수 있다. 이와 같이, 센서는 반응 부위에 시약 또는 다른 반응 성분을 전달하는 하나 이상의 유체/흐름 채널을 포함할 수 있다.
본 명세서에서 사용되는 용어가 달리 기술하지 않는 한, 관련된 분야에서 이의 일반적인 의미를 가질 것으로 이해되어야 한다. 본 명세서에서 사용되는 여러 용어 및 이의 의미는 하기에 기술된다.
단수 형태("a", "an", 및 "the")는 달리 명확하게 명확하게 지시하지 않는 한 복수의 지시 대상을 포함한다.
용어 포함하는(comprising, including), 함유하는, 및 이러한 용어들의 다양한 형태는 서로 동일하고, 동일하게 넓어지는 의미를 갖는다. 또한, 상반되게 명시하지 않는 한, 특정 성질을 갖는 구성요소 또는 복수의 구성요소들을 포함하거나 갖는 예는 그러한 성질을 갖는 추가 구성요소를 갖는 지의 여부와는 관계없이 추가 구성요소를 포함할 수 있다.
또한, 용어 "연결하다(connect)," "연결된(connected)," 및/또는 "접촉하다(contact)" 등은 본 명세서에서 다양한 다른 배열 및 어셈블리 기술을 포괄하는 것으로 광범위하게 정의된다. 이러한 배열 및 기술은 (1) 사이에 개재 구성성분 없이 하나의 구성성분 및 다른 구성성분의 직접 결합(즉, 구성성분은 직접 물리적 접촉됨); 및 (2) 사이에 하나 이상의 구성성분들과 함께 하나의 구성성분과 다른 구성성분의 결합(단, 다른 구성성분"에 연결되거나" 다른 구성성분"과 접촉하는" 하나의 구성성분이 (사이에 하나 이상의 추가 구성성분의 존재에도 불구하고) 다른 구성성분과 어떻게든 작동 소통(operative communication)(예를 들어, 전기적으로, 유체 흐름 가능하게, 물리적으로, 광학적으로 등)함)을 포함하지만, 이로 제한되지 않는다. 서로 직접 물리적 접촉된 일부 부품들이 서로 전기적 접촉 및/또는 유체 접촉할 수 있거나 그렇지 않을 수 있는 것으로 이해되어야 한다. 또한, 전기적으로 또는 유체 흐름 가능하게 연결된 2개의 부품들은 직접 물리적 접촉할 수 있거나 그렇지 않을 수 있으며, 하나 이상의 다른 부품들이 그 사이에 정위될 수 있다.
본 명세서에서 사용되는 "지정된 반응"은 고려되는 분석물의 화학적, 전기적, 물리적 또는 광학적 성질(또는 질(quality)) 중 적어도 하나의 변화를 포함한다. 특정 예에서, 지정된 반응은 포지티브 결합 사건(예를 들어, 고려되는 분석물과 함께 형광 표지된 생체분자의 도입)이다. 더욱 일반적으로, 지정된 반응은 화학적 변형, 화학적 변화, 또는 화학적 상호작용일 수 있다. 예시적 반응은 화학적 반응, 예를 들어, 환원, 산화, 첨가, 제거, 재배열, 에스터화, 아마이드화, 에테르화, 환형화, 또는 치환; 제1 화학물질이 제2 화합물에 결합하는 결합 상호작용; 둘 이상의 화학물질이 서로 분리되는 해리 반응; 형광; 발광; 생물발광; 화학발광; 및 생물학적 반응, 예를 들어, 핵산 복제, 핵산 증폭, 핵산 하이브리드화, 핵산 결찰, 포스포릴화, 효소 촉매작용, 수용체 결합, 또는 리간드 결합을 포함한다.
특정 예에서, 지정된 반응은 분석물에 형광-표지된 분자의 도입을 포함한다. 분석물은 올리고뉴클레오타이드일 수 있으며, 형광-표지된 분자는 뉴클레오타이드일 수 있다. 지정된 반응은 여기 광이 표지된 뉴클레오타이드를 갖는 올리고뉴클레오타이드 쪽으로 유도될 때 검출될 수 있으며, 형광단은 검출 가능한 형광 신호를 방출시킨다. 다른 예에서, 검출된 형광은 화학발광 또는 생물발광의 결과이다. 지정된 반응은 또한, 예를 들어, 수용체 형광단의 부근에 도너 형광단을 가져옴으로써 형광(또는 포스터(Forster)) 공명 에너지 전달(FRET)을 증가시키거나, 도너 및 수용체 형관단을 분리시킴으로써 FRET를 감소시키거나, 형광단으로부터 소광제(quencher)를 분리시킴으로써 형광을 증가시키거나, 소광제 및 형광단을 동시에 위치시킴으로써 형광을 감소시킬 수 있다.
본 명세서에 사용되는 "반응 성분" 또는 "반응물"은 지정된 반응을 수득하기 위해 사용될 수 있는 임의의 물질을 포함한다. 예를 들어, 반응 성분은 시약, 효소, 샘플, 다른 생체분자, 및 완충액을 포함한다. 반응 성분은 용액 중에서 반응 부위로 전달될 수 있고/거나, 반응 부위에 고정될 수 있다. 반응 성분은 다른 물질, 예를 들어, 고려되는 분석물과 직접 또는 간접적으로 상호 작용할 수 있다.
본 명세서에서 사용되는 용어 "반응 부위"는 지정된 반응이 일어날 수 있는 센서의 국소화된 영역을 지칭한다. 반응 부위는 지지체(예를 들어, 패시베이션층)의 표면 상에 형성될 수 있고, 그 위에 고정된 물질을 가질 수 있다. 예를 들어, 반응 부위는 패시베이션층 상에 획정되고 그 위에 핵산의 콜로니를 갖는 영역일 수 있다. 일부 경우에, 콜로니에서 핵산은 동일한 서열을 가지고, 예를 들어, 단일 가닥 또는 이중 가닥 주형의 클론 복사체이다. 그러나, 다른 경우에, 반응 부위는 예를 들어, 단일 가닥 또는 이중 가닥 형태의 단일 핵산 분자를 함유할 수 있다.
일부 예에서, 복수의 반응 부위는 실질적으로 평탄한 표면을 가로질러(예를 들어, 패시베이션층을 가로질러) 무작위적으로 분포된다. 예를 들어, 반응 부위는 일부 반응 부위가 다른 반응 부위에 비해 서로 더 가깝게 위치된 불규칙한 분포를 가질 수 있다. 다른 예에서, 반응 부위는 사전결정된 방식(예를 들어, 마이크로어레이와 같은 매트릭스에서 나란히) 실질적으로 평탄한 표면을 가로질러 패턴화된다.
각 반응 부위는 반응 챔버에 위치될 수 있다. 본 명세서에서 사용되는 용어 "반응 챔버"는 흐름 채널과 유체 소통하고 반응 부위에서 일어나는 지정된 반응을 구분하도록 구성된 공간 영역 또는 체적을 적어도 일부 획정한다. 하나의 반응 챔버는 주변 환경으로부터 및/또는 다른 반응 챔버로부터 적어도 일부 분리될 수 있다. 예를 들어, 복수의 반응 챔버는 공유된 벽에 의해 서로 분리될 수 있다. 더욱 특정 예로서, 반응 챔버는 웰의 내부 표면에 의해 획정된 공동을 포함하고, 공동이 흐름 채널과 유체 소통할 수 있도록 구멍(opening) 또는 개구를 가질 수 있다. 관련된 검출 디바이스의 픽셀은, 픽셀에 의해 검출된 활성이 요망되는 반응이 선택된 반응 챔버 내에서 일어났음을 나타내도록 반응 챔버를 선택하도록 지정될 수 있다.
반응 챔버는 고형물(반-고형물을 포함함)에 대해 사이징되고 형상화될 수 있으며, 이에 따라, 고형물은 그 안에 전부 또는 일부 삽입될 수 있다. 예를 들어, 단일 반응 챔버는 단지 하나의 캡처 비드를 수용하기 위해 사이징되고 형성화될 수 있다. 캡처 비드는 그 위에 클론으로 증폭된 DNA 또는 다른 물질을 가질 수 있다. 대안적으로, 반응 챔버는 대략적인 수의 비드 또는 고체 기질을 수용하기 위해 사이징되고 형상화될 수 있다. 다른 예에서, 반응 챔버는 반응 챔버 내로 흐를 수 있는 확산 또는 필터 유체를 제어하도록 구성된 다공성 겔 또는 물질로 채워질 수 있다.
본 명세서에 개시된 예들 중 일부에서, 각 반응 부위는 관련된 반응 부위로부터 광을 검출하는 하나 이상의 광학 센서(예를 들어, 광 센서, 예를 들어, 광다이오드)와 결합될 수 있다. 반응 부위와 결합된 광학 센서는 지정된 반응이 관련된 반응 부위에서 일어날 때 관련된 반응 부위로부터 광 방출을 검출하도록 구성된다. 일부 경우에, 복수의 광 센서(예를 들어, 수 픽셀의 카메라 디바이스)는 단일 반응 부위와 결합될 수 있다. 다른 경우에, 단일 광 센서(예를 들어, 단일 픽셀)는 단일 반응 부위와 또는 반응 부위의 그룹과 결합될 수 있다. 광 센서, 반응 부위, 및 센서의 다른 특징부들은 광의 적어도 일부가 반사되지 않고 광 센서에 의해 직접적으로 검출되도록 구성될 수 있다.
본 명세서에서 사용되는 용어 "인접한(adjacent)"은 반응 부위 및 광도파로의 입력 영역에 대해 사용될 때, 반응 부위로부터의 광 방출이 광도파로 내로 유도되도록 반응 부위가 광도파로와 적어도 부분적으로 정렬된 것을 의미한다. 하나 이상의 광학 투과층(들)은 인접한 반응 부위와 입력 영역 사이에 정위될 수 있다. 용어 인접한은 또한, 센서의 2개 부품(예를 들어, 2개의 반응 부위, 2개의 광학 센서 등)을 기술하기 위해 사용될 수 있다. 이러한 양태에서 사용될 때 "인접한"은 그러한 특정의 구성성분(예를 들어, 반응 부위, 광학 센서 등)의 다른 하나가 2개의 구성성분들 사이에 위치되지 않음을 의미한다(예를 들어, 인접한 광 센서들은 이들 사이에 다른 광 센서를 가지지 않는다). 인접한 반응 부위는, 이러한 것들이 서로 인접해 있도록 연속적일 수 있거나, 인접한 부위는 이들 사이에 개재 공간을 갖는 불연속적일 수 있다. 일부 예에서, 반응 부위는 다른 반응 부위에 인접하지 않을 수 있지만, 여전히 다른 반응 부위의 바로 근처에 존재할 수 있다. 예를 들어, 제1 반응 부위는, 제1 반응 부위로부터의 형광 방출 신호가 제2 반응 부위와 관련된 광학 센서에 의해 검출될 때, 제2 반응 부위의 바로 근처에 존재할 수 있다.
본 명세서에서 사용되는 "물질(substance)"은 생물학적 또는 화학적 물질뿐만 아니라, 캡처 비드(capture bead)와 같은 항목(item) 또는 고체를 포함한다. 또한, 본 명세서에서 사용되는 "생물학적 또는 화학적 물질"은 생체분자, 고려되는 샘플, 고려되는 분석물, 및 다른 화학적 화합물(들)을 포함한다. 생물학적 또는 화학적 물질은 다른 화학적 화합물(들)을 검출, 동정, 또는 분석하기 위해 사용될 수 있거나, 다른 화학적 화합물(들)을 연구 또는 분석하기 위한 중간체로서 기능할 수 있다. 특정 예에서, 생물학적 또는 화학적 물질은 생체분자를 포함한다. 본 명세서에서 사용되는 "생체분자"는 바이오폴리머, 뉴클레오시드, 핵산, 폴리뉴클레오타이드, 올리고뉴클레오타이드, 단백질, 효소(일례에서, 다른 반응 산물을 검출하기 위해 결합 반응에서 사용될 수 있는 것, 예를 들어, 파이로시퀀싱(pyrosequencing)에서 피로포스페이트를 검출하기 위해 사용되는 효소), 폴리펩타이드, 항체, 항원, 리간드, 수용체, 다당류, 탄수화물, 폴리포스페이트, 세포, 조직, 유기체, 또는 이들의 단편 또는 임의의 다른 생물학적 활성 화학적 화합물(들), 예를 들어, 상술된 종의 유사체 또는 모방체 중 적어도 하나를 포함한다.
생체분자, 샘플, 및 생물학적 또는 화학적 물질은 자연 발생 또는 합성일 수 있고, 용액 또는 혼합물에 현탁될 수 있다. 생체분자, 샘플 및 생물학적 또는 화학적 물질은 또한 고체상(예를 들어, 비드 등) 또는 겔 물질에 (예를 들어, 반응 부위에서, 반응 챔버에서) 결합될 수 있다. 생체분자, 샘플 및 생물학적 또는 화학적 물질은 또한, 약제 조성물을 포함할 수 있다. 일부 경우에, 고려되는 생체분자, 샘플, 및 생물학적 또는 화학적 물질은 표적, 프로브, 또는 분석물로서 지칭될 수 있다.
본 명세서에서 사용되는 "센서"는 반응 부위에서 또는 그 부근에서 일어나는 지정된 반응을 검출하도록 구성된 복수의 반응 부위를 갖는 구조를 포함한다. 본 명세서에 개시된 센서의 예는 CMOS 이미저(즉, 검출 디바이스) 및 여기에 연결된 흐름 셀을 포함한다. 흐름 셀은 반응 부위와 유체 소통하는 적어도 하나의 흐름 채널을 포함할 수 있다. 하나의 특정 예로서, 센서는 생물검정 시스템에 유체 흐름 가능하게 및 전기적으로 결합되도록 구성된다. 생물검정 시스템은 사전결정된 프로토콜(예를 들어, 합성을 통한 시퀀싱(sequencing-by-synthesis))에 따라 반응물을 반응 부위로 전달하고, 복수의 이미징 사건을 수행할 수 있다. 예를 들어, 생물검정 시스템은 반응 부위를 따라 흐르도록 시약을 유도할 수 있다. 시약 중 적어도 하나는 동일하거나 상이한 형광 라벨을 갖는 4가지 타입의 뉴클레오타이드를 포함할 수 있다. 뉴클레오타이드는 반응 부위에 위치된 상응하는 올리고뉴클레오타이드에 결합할 수 있다. 이후에, 생물검정 시스템은 여기 광원(예를 들어, 고체-상태 광원, 예를 들어, 발광 다이오드 또는 LED)을 이용하여 반응 부위를 비출 수 있다. 여기 광은 소정 범위의 파장을 포함하는, 사전결정된 파장 또는 파장들을 가질 수 있다. 여기된 형광 라벨은 광학 센서에 의해 검출될 수 있는 방출 신호를 제공한다.
다른 예에서, 센서는 다른 식별 가능한 성질을 검출하도록 구성된 전극 또는 다른 타입의 센서(즉, 광학 센서 이외)를 포함할 수 있다. 일례로서, 센서는 이온 농도 변화를 검출하도록 구성될 수 있다. 다른 예로서, 센서는 막을 가로지르는 이온 전류 흐름(ion current flow)을 검출하도록 구성될 수 있다.
본 명세서에 개시된 센서의 예는 감지 작동을 수행하기 위해 사용된다. 본 명세서에서 사용되는 "감지 작동"은 반응 부위에서의 반응에 대해 응답하고/거나 이로부터 형성된 식별 가능한 성질의 검출을 지칭한다. 본 명세서에 개시된 예에서, 감지 작동은 광학적 감지일 수 있다.
본 명세서에서 사용되는 "카트리지"는 본 명세서에 개시된 센서의 일례를 보유하도록 구성되는 구조를 포함한다. 일부 예에서, 카트리지는 추가 특징부들, 예를 들어, 센서의 반응 부위로 여기 광을 제공할 수 있는 광원(예를 들어, LED)을 포함할 수 있다. 카트리지는 또한, 반응 성분, 및 샘플 등을 반응 부위로 유체 흐름 가능하게 운반하기 위한 유체 저장 시스템(예를 들어, 시약, 샘플, 및 완충제를 위한 저장소) 및 유체 제어 시스템(예를 들어, 펌프, 및 밸브 등)을 포함할 수 있다. 예를 들어, 센서가 제조되거나 제작된 후에, 센서는 카트리지의 하우징 또는 용기에 결합될 수 있다. 일부 예에서, 센서 및 카트리지는 독립형, 일회용 유닛일 수 있다. 그러나, 다른 예는 사용자가 성분 또는 샘플의 유지 또는 교체를 위한 센서 또는 카트리지의 내부에 접근할 수 있는 제거 가능한 부분을 갖는 어셈블리를 포함할 수 있다. 센서 및 카트리지는 그 안의 제어된 반응을 수행하는 더 큰 생물검정 시스템, 예를 들어, 시퀀싱 시스템에 제거 가능하게 결합되거나 맞물려질 수 있다.
본 명세서에서 사용되는 바와 같이, 용어 "제거 가능하게(removably)" 및 "결합된(coupled)"(또는 "맞물려진(engaged)")이 센서(또는 카트리지)와 생물검정 시스템의 시스템 용기 또는 인터페이스 간의 관계를 기술하기 위해 함께 사용될 때, 이러한 용어는 시스템 용기 및/또는 센서(또는 카트리지)를 파괴하거나 손상시키지 않으면서 센서(또는 카트리지)와 시스템 용기 간의 연결이 용이하게 분리 가능함을 의미하는 것으로 의도된다. 성분들은, 성분들의 분리 시에 과도한 노력 또는 상당한 시간의 소비 없이 성분들이 서로 분리될 수 있을 때, 용이하게 분리 가능하다. 예를 들어, 센서(또는 카트리지)는 생물검정 시스템의 메이팅 접촉(mating contact)이 파괴되거나 손상되지 않도록 전기적 방식으로 시스템 용기에 제거 가능하게 결합되거나 맞물려질 수 있다. 센서(또는 카트리지)는 또한, 센서(또는 카트리지)를 보유하는 특징부가 파괴되거나 손상되지 않도록 기계적 방식으로 시스템 용기에 제거 가능하게 결합되거나 맞물려질 수 있다. 센서(또는 카트리지)는 또한, 시스템 용기의 포트가 파괴되거나 손상되지 않도록 유동적 방식으로 시스템 용기에 제거 가능하게 결합되거나 맞물려질 수 있다. 시스템 용기 또는 부품은 예를 들어, 단지 부품에 대한 단순한 조정(예를 들어, 재배치(realignment) 또는 단순한 교체(예를 들어, 노즐 교체)가 수반되는 경우에 파괴되거나 손상되는 것으로 여겨지지 않는다.
본 명세서에서 사용되는 용어 "유체 소통(fluid communication)," "유체 흐름 가능하게 연결된(fluidically coupled)" 및 "유체 흐름 가능하게 연결된(fluidically connected)"은 액체 또는 가스가 2개의 공간적 영역들 사이로 흐를 수 있도록 함께 연결되어 있는 2개의 공간적 영역을 지칭한다. 예를 들어, 미세유체 채널은 유체가 미세유체 채널로부터 반응 챔버 내로 자유롭게 흐를 수 있도록 반응 챔버와 유체 소통할 수 있다. 2개의 공간적 영역은 하나 이상의 밸브, 제헌기, 또는 시스템을 통한 유체의 흐름을 조절하거나 규제하도록 구성된 다른 유체 부품(fluidic component)을 통해 유체 소통될 수 있다.
본 명세서에서 사용되는 용어 "고정된(immobilized)"은 생체 분자 또는 생물학적 또는 화학적 물질에 대해 사용될 때, 생체분자 또는 생물학적 또는 화학적 물질을 분자 수준에서 적어도 실질적으로 표면에 부착시키는 것을 포함한다. 예를 들어, 생체분자 또는 생물학적 또는 화학적 물질은 비-공유 상호작용(예를 들어, 정전기력, 반데르발스, 및 소수성 계면의 탈수화) 및 작용기 또는 링커가 표면에 대한 생체분자의 부착을 용이하게 하는 공유 결합 기술을 포함하는 흡착 기술을 이용하여 지지 물질의 표면에 고정될 수 있다. 기질 물질의 표면에 생체분자 또는 생물학적 또는 화학적 물질의 고정은 지지 표면의 성질, 생체분자 또는 생물학적 또는 화학적 물질을 운반하는 액체 매질, 및/또는 생체분자 또는 생물학적 또는 화학적 물질 자체의 성질을 기초로 할 수 있다. 일부 경우에, 지지 표면은 기질 표면에 생체분자(또는 생물학적 또는 화학적 물질)의 고정화를 용이하게 하기 위해 작용화될 수 있다(예를 들어, 화학적으로 또는 물리적으로 개질될 수 있다). 지지 표면은 먼저 표면에 결합된 작용기를 갖도록 개질될 수 있다. 작용기는 이후에, 생체분자 또는 생물학적 또는 화학적 물질에 결합하여 작용기 위에 생체분자 또는 생물학적 또는 화학적 물질를 고정시킬 수 있다. 물질은 겔, 예를 들어, 폴리(N-(5-아지도아세트아미딜펜틸)아크릴아마이드-코-아크릴아마이드(즉, 선형이거나 약간 가교될 수 있고 약 10 kDa 내지 약 1500 kDa 범위의 분자량을 가질 수 있는, PAZAM)를 통해 표면에 고정될 수 있다.
PAZAM, 다른 형태의 아크릴아마이드 코폴리머는 일반적으로 하기 화학식 (I)의 반복 단위로 표현된다:
Figure pct00001
상기 식에서,
R1은 H 또는 선택적으로 치환된 알킬이며;
RA는 아지도/아지드이며;
R5, R6, 및 R8은 독립적으로 H 및 선택적으로 치환된 알킬로 이루어진 군으로부터 선택되며;
각 -(CH2)p-는 선택적으로 치환될 수 있으며;
p는 1 내지 50 범위의 정수이며;
n은 1 내지 50,000 범위의 정수이며;
m은 1 내지 100,000 범위의 정수이다.
당업자는 화학식 (I)에서 반복되는 "n" 및 "m" 특징의 배열이 대표적이며, 모노머 하위단위가 폴리머 구조(예를 들어, 랜덤, 블록, 패턴화, 또는 이들의 조합)에서 임의의 순서로 존재할 수 있음을 인식할 것이다.
PAZAM의 특정 예는 하기 화학식에 의해 표현된다:
Figure pct00002
또는
Figure pct00003
상기 식에서, n은 1 내지 20,000 범위의 정수이며, m은 1 내지 100,000 범위의 정수이다.
PAZAM의 분자량은 약 10 kDa 내지 약 1500 kDa의 범위일 수 있거나, 특정 예에서, 약 312 kDa일 수 있다.
일부 예에서, PAZAM은 선형 폴리머이다. 일부 다른 예에서, PAZAM은 약간 가교된 폴리머이다.
다른 예에서, 아지드 작용화된 분자는 화학식 (I)의 변형(variation)일 수 있다. 일례에서, 아크릴아마이드 단위는 N,N-디메틸아크릴아마이드(
Figure pct00004
)로 대체될 수 있다. 이러한 예에서, 화학식 (I)에서의 아크릴아마이드 단위는
Figure pct00005
로 대체될 수 있으며, 상기 식에서, R6, R7, 및 R8은 각각 H이며, R9 및 R10은 각각 메틸 기(아크릴아마이드를 갖는 경우와 같이 H를 대신함)이다. 이러한 예에서, q는 1 내지 100,000 범위의 정수일 수 있다. 다른 예에서, N,N-디메틸아크릴아마이드는 아크릴아마이드 단위에 추가하여 사용될 수 있다. 이러한 예에서, 화학식 (I)은 반복하는 "n" 및 "m" 특성에 추가하여 R6, R7, 및 R8은 각각 H이며, R9 및 R10는 각각 메틸 기라는 것을 포함할 수 있다. 이러한 예에서, q는 1 내지 100,000 범위의 정수일 수 있다.
일부 예에서, 핵산은 표면에 부착되고 역학적 배제 증폭 또는 브릿지 증폭을 이용하여 증폭될 수 있다. 표면 상에서 핵산을 증폭시키기 위한 다른 유용한 방법은 롤링 서클 증폭(rolling circle amplification: RCA)이다. 일부 예에서, 핵산은 표면에 부착되고 하나 이상의 프라이머 쌍을 이용하여 증폭될 수 있다. 예를 들어, 프라이머들 중 하나는 용액 중에 존재할 수 있으며, 다른 프라이머는 표면 상에 고정될 수 있다(예를 들어, 5'-부착됨). 일례로서, 핵산 분자는 표면 상의 프라이머들 중 하나에 하이브리드화할 수 있고, 이후에, 고정된 프라이머를 연장시켜 핵산의 제1 복사체를 생성시킬 수 있다. 용액 중의 프라이머는 이후에, 핵산의 제1 복사체에 하이브리드화하고, 이는 주형으로서 핵산의 제1 복사체를 사용하여 연장될 수 있다. 일부 예에서, 핵산의 제1 복사체가 생성된 후에, 본래 핵산 분자는 표면 상의 제2 고정된 프라이머에 하이브리드화할 수 있고, 동시에 또는 용액 중의 프라이머가 연장된 후에 연장될 수 있다. 고정된 프라이머 및 용액 중의 프라이머를 사용한 반복된 연장 라운드(예를 들어, 증폭)는 핵산의 다수의 복사체를 제공한다.
특정 예에서, 본 명세서에 기술된 시스템 및 방법에 의해 실행되는 검정 프로토콜은 천연 뉴클레오타이드 및 또한 천연 뉴클레오타이드와 상호작용할 수 있는 효소의 사용을 포함한다. 천연 뉴클레오타이드는 질소 함유 헤테로시클릭 염기, 당, 및 하나 이상의 포스페이트기를 포함한다. 천연 뉴클레오타이드의 예는 예를 들어, 리보뉴클레오타이드 또는 데옥시리보뉴클레오타이드를 포함한다. 리보뉴클레오타이드에서, 당은 리보스이며, 데옥시리보뉴클레오타이드에서, 당은 데옥시리보스, 즉, 리보스에서 2' 위치에 존재하는 하이드록실 기가 결여된 당이다. 천연 뉴클레오타이드는 모노-, 다이-, 또는 트라이-포스페이트 형태로 존재할 수 있으며, 헤테로시클릭 염기(즉, 뉴클레오염기)는 퓨린 염기 또는 피리미딘 염기일 수 있다. 퓨린 염기는 아데닌(A) 및 구아닌(B), 및 이들의 개질된 유도체 또는 유사체를 포함한다. 피리미딘 염기는 시토신(C), 티민(T), 및 우라실(U), 및 이들의 개질된 유도체 또는 유사체를 포함한다. 데옥시리보스의 C-1 원자는 피리미딘의 N-1 또는 퓨린의 N-9에 결합된다. 비-천연 뉴클레오타이드, 개질된 뉴클레오타이드, 또는 상술된 뉴클레오타이드의 유사체가 또한 사용될 수 있다는 것이 추가로 이해되어야 한다.
반응 챔버를 포함하는 예에서, 항목 또는 (반-고체 물질을 포함하는) 고체 물질은 반응 챔버 내에 배치될 수 있다. 배치될 때, 항목 또는 고체는 간섭 끼움(interference fit), 접착, 또는 포착(entrapment)을 통해 반응 챔버 내에 물리적으로 유지되거나 고정될 수 있다. 반응 챔버 내에 배치될 수 있는 항목 또는 고체의 예는 폴리머 비드, 펠렛, 아가로오스 겔, 분말, 양자점 또는 반응 챔버 내에서 압축되고/거나 유지될 수 있는 다른 고체를 포함한다. 일부 예에서, 핵산 상부구조(superstructure), 예를 들어, DNA 볼은 예를 들어, 반응 챔버의 내부 표면에 대한 부착에 의해 또는 반응 챔버 내에 액체의 잔류에 의해 반응 챔버 안에 또는 반응 챔버에 배치될 수 있다. DNA 볼 또는 다른 핵산 상부구조는 사전-형성되고, 이후에, 반응 챔버 내에 또는 반응 챔버에 배치될 수 있다. 대안적으로, DNA 볼은 반응 챔버에서 인시튜로 합성될 수 있다. 일례로서, DNA 볼은 특정의 핵산 서열의 연쇄체(concatamer)를 생성하기 위해 롤링 서클 증폭에 의해 합성될 수 있으며, 연쇄체는 상대적으로 컴팩트한 볼을 형성하는 조건으로 처리될 수 있다. 반응 챔버 내에 유지되거나 배치된 물질은 고체, 액체, 또는 기체 상태일 수 있다.
도 1 내지 도 3은 기능 블록의 다이어그램을 예시한 것이며, 기능 블록이 반드시 하드웨어 회로들 간의 분할을 나타내는 것은 아닌 것으로 이해되어야 한다. 이에 따라, 예를 들어, 기능 블록들 중 하나 이상(예를 들어, 프로세서 또는 메모리)은 하드웨어의 단일 피스(예를 들어, 범용 신호 프로세서 또는 랜덤 액세스 메모리, 및 하드 디스크 등)에서 실행될 수 있다. 유사하게, 프로그램은 독립형 프로그램일 수 있고, 작동 시스템에서 서브루틴으로서 통합될 수 있고, 설치된 소프트웨어 패키지에서 기능할 수도 있다. 모든 도면의 논의 전반에 걸쳐, 다양한 예가 도시된 배열 및 수단으로 제한되지 않는 것으로 이해되어야 한다.
도 1은 생물학적 또는 화학적 분석을 위한 생물검정 시스템(100)의 일례의 블록 다이어그램이다. 용어 "생물검정(bioassay)"은 생물검정 시스템(100)이 생물학적 또는 화학적 물질 중 적어도 하나와 관련한 임의의 정보 또는 데이터를 얻기 위해 작동할 수 있는 것으로 제한되는 것으로 의도되지 않는다. 일부 구현예에서, 생물검정 시스템(100)은 벤치-톱 디바이스 또는 데스크톱 컴퓨터와 유사할 수 있는 워크스테이션이다. 예를 들어, 지정된 반응을 수행하기 위한 대부분(또는 모든)의 시스템 및 구성성분은 공동의 하우징(116) 내에 존재할 수 있다.
특정 예에서, 생물검정 시스템(100)은 드 노보 시퀀싱(de novo sequencing), 전체 거놈 또는 표적 게놈 영역의 재시퀀싱, 및 범유전체학(metagenomics)을 포함하는, 다양한 적용을 수행할 수 있는 핵산 시퀀싱 시스템(또는 시퀀서(sequencer))이다. 시퀀서는 또한 DNA 또는 RNA 분석을 위해 사용될 수 있다. 일부 예에서, 생물검정 시스템(100)은 또한 센서(10, 10', 10")에서의 반응 부위에서 반응을 발생시키도록 구성될 수 있다. 예를 들어, 생물검정 시스템(100)은 샘플을 수용하고 이를 센서(10, 10', 10")로 유도할 수 있으며, 여기서, 샘플로부터 유래된 클론 증폭된 핵산의 표면 부착된 클러스터가 생성된다.
생물검정 시스템(100)은 센서(10, 10', 10") 내에서 지정된 반응을 수행하기 위해 센서(10, 도 6 및 도 7에 도시됨; 10', 도 8 및 도 9에 도시됨); 또는 10", 도 12에 도시됨)와 상호작용할 수 있는 시스템 용기 또는 인터페이스(102)를 포함할 수 있다. 도 1을 참조로 하는 하기 설명에서, 센서(10, 10', 10")는 시스템 용기(102) 내에 로딩된다. 그러나, 센서(10, 10', 10")를 포함하는 교체 가능한 또는 영구 카트리지가 시스템 용기(102)내로 삽입될 수 있는 것으로 이해된다. 본 명세서에 기술되는 바와 같이, 카트리지는 다른 것들 중에서, 유체 제어 및 유체 저장 구성성분을 포함할 수 있다.
생물검정 시스템(100)은 센서(10, 10', 10") 내에서 다수의 병렬 반응을 수행할 수 있다. 센서(10, 10', 10")는 지정된 반응이 일어날 수 있는 하나 이상의 반응 부위를 포함한다. 반응 부위는 센서(10, 10', 10")의 고체 표면에 고정되거나 센서(10, 10', 10")의 상응하는 반응 챔버 내에 위치된 비드(또는 다른 이동 가능한 기질)에 고정된 반응성 성분(들)을 포함할 수 있다. 반응 부위는 예를 들어, 클론 증폭된 핵산의 클러스터를 포함할 수 있다. 센서(10, 10', 10")는 고체-상태 이미징 디바이스(예를 들어, CMOS 이미저) 및 여기에 탑재된 흐름 셀을 포함할 수 있다. 흐름 셀은 생물검정 시스템(100)으로부터 용액을 수용하고 반응 부위 쪽으로 용액을 유도하는 하나 이상의 흐름 채널을 포함할 수 있다. 일부 예에서, 센서(10, 10', 10")는 흐름 채널 안팎으로 열 에너지를 전달하기 위한 열 부재와 결합하도록 구성될 수 있다.
생물검정 시스템(100)은 본 명세서에 개시된 방법의 예를 수행하기 위해 서로 상호작용하는 다양한 구성성분, 어셈블리, 및 시스템(또는 하위-시스템)을 포함할 수 있다. 예를 들어, 생물검정 시스템(100)은 생물검정 시스템(100) 및 또한 센서(10, 10', 10")의 다양한 구성성분, 어셈블리, 및 하위-시스템과 소통할 수 있는 시스템 제어기(104)를 포함한다.
본 명세서에 개시된 예들 중 일부에서, 시스템 제어기(104)는 센서의 검출 디바이스의 회로에 연결되며, 이에 따라, 이러한 것이 센서(10, 10', 10")의 보호 작동 및 감지 작동 둘 모두를 작동시킬 수 있게 한다. 센서(10, 10')를 이용하는 일례에 대하여, 시스템 제어기(104)는 매립된 금속층의 캐소드 또는 애노드 보호를 위해 센서(10, 10')의 매립된 금속층 및 시약 전극에 바이어스를 선택적으로 인가하도록 프로그래밍될 수 있고, 또한, 감지 작동을 수행하기 위해 센서(10, 10')의 광학적 및/또는 전기적 부품을 제어하도록 프로그래밍될 수 있다.
본 명세서에 개시된 다른 예에서, 생물검정 시스템(100)은 보호 작동이 감지 작동과 직교하도록 2개의 시스템 제어기(104 및 104')를 포함할 수 있다. 센서(10 또는 10')를 이용한 일례에서, 시스템 제어기들 중 하나(104)는 매립된 금속층의 캐소드 또는 애노드 보호를 제공하기 위해 이전에 언급된 전기 바이어스를 인가하도록 프로그래밍될 수 있으며, 시스템 제어기들 중 다른 하나(104')는 감지 작동에 관여된 광학적 및/또는 전기적 부품을 작동하도록 프로그래밍될 수 있다. 센서(10 또는 10')를 이용하는 다른 예에서, 시스템 제어기들 중 하나(104)는 매립된 금속층의 반-수동적 보호를 제공하기 위해 (예를 들어, 캐소드 보호를 달성하기 위해 인가된 바이어스와 비교하여) 감소된 전기 바이어스를 인가하도록 프로그래밍될 수 있으며, 시스템 제어기들 중 다른 하나(104')는 감지 작동에 관련된 광학적 및/또는 전기적 부품을 작동하도록 프로그래밍될 수 있다. 반-수동적 보호와 관련하여, 캐소드 또는 애노드 보호에 미치지 못하지만, 오히려 일부 부식 감소를 야기시키는 감소된 전위인 전기 바이어스가 인가된다. 센서(10")를 이용한 도 다른 예에서, 시스템 제어기들 중 하나(104)는 매립된 금속층의 수동적 보호를 제공하기 위해 매립된 금속층을 접지하도록 프로그래밍될 수 있으며, 시스템 제어기들 중 다른 하나(104')는 감지 작동과 관련된 광학적 및/또는 전기적 부품을 작동하도록 프로그래밍될 수 있다.
센서(10, 10')를 이용한 본 명세서에 개시된 예의 일부에서, 보호 모듈(134)은 시약(시약 전극과 접촉함)에서 매립된 금속층(캐소드 또는 애노드 보호를 통해 보호되어야 함)까지 오프셋된 전기 바이어스를 설정한다.
생물검정 시스템(100)의 다른 구성성분, 어셈블리, 및 서브-시스템은 생물검정 시스템(100) 및 센서(10, 10', 10")의 유체 네트워크 전반에 걸쳐 유체의 흐름을 제어하기 위한 유체 제어 시스템(106); 생물검정 시스템(100)에 의해 이용될 수 있는 모든 유체(예를 들어, 가스 또는 액체)를 보유하기 위한 유체 저장 시스템(108); 유체 네트워크, 유체 저장 시스템(108), 및/또는 센서(10, 10', 10")에서 유체의 온도를 조절할 수 있는 온도 제어 시스템(110); 및 센서(10, 10', 10")를 비추기 위한 조명 시스템(112)을 포함할 수 있다. 센서(10, 10', 10")를 갖는 카트리지가 시스템 용기(102) 내에 로딩되는 경우에, 카트리지는 또한, 유체 제어 및 유체 저장 구성성분을 포함할 수 있다.
생물검정 시스템(100)은 또한, 사용자와 상호작용하는 사용자 인터페이스(114)를 포함할 수 있다. 예를 들어, 사용자 인터페이스(114)는 사용자를 위한 정보를 디스플레이하거나 사용자로부터 정보를 요청하기 위한 디스플레이(113), 및 사용자가 입력을 수용하기 위한 사용자 입력 디바이스(115)를 포함할 수 있다. 일부 예에서, 디스플레이(113) 및 사용자 입력 디바이스(115)는 동일한 디바이스일 수 있다. 예를 들어, 사용자 인터페이스(114)는 개별 터치의 존재를 검출하기 위해 그리고 또한, 디스플레이 상에서 터치의 위치를 식별하기 위해 터치-감지 디스플레이를 포함할 수 있다. 그러나, 다른 사용자 입력 디바이스(115), 예를 들어, 마우스, 터치패드, 키보드, 키패드, 휴대용 스캐너, 음성-인식 시스템, 및/또는 모션 인식 시스템 등이 사용될 수 있다.
생물검정 시스템(100)은 지정된 반응을 수행하기 위해 센서(10, 10', 10")를 포함하는, 다양한 구성성분과 소통할 수 있다. 생물검정 시스템(100)은 또한, 사용자에게 요망되는 정보를 제공하기 위해, 센서(10, 10', 10")로부터 얻어진 데이터를 분석하도록 구성될 수 있다.
시스템 제어기(들)(104, 104')는 마이크로제어기, 축소 명령 집합 컴퓨터(RISC), 응용 주문형 집적 회로(ASIC), 필드 프로그램 기능 게이트 어레이(FPGA), 논리 회로, 및 본 명세서에 기술된 기능을 실행할 수 있는 임의의 다른 회로 또는 프로세서를 이용하는 시스템을 포함하는, 임의의 프로세서-기반 또는 마이크로프로세서 기반 시스템을 포함할 수 있다. 여러 예가 제공되었지만, 이러한 것들이 어떠한 방식으로도 용어 시스템 제어기의 정의 및/또는 의미를 제한하도록 의도되지 않는 것으로 이해되어야 한다. 일례에서, 시스템 제어기(104)는 센서(10, 10')의 매립된 금속층의 반-수동, 캐소드 보호, 또는 애노드 보호를 야기시키는 바이어스를 선택적으로 인가하기 위해 하나 이상의 저장 구성요소, 메모리, 또는 모듈에 저장된 한 세트의 명령을 실행한다. 다른 예에서, 시스템 제어기(104)는 매립된 금속층의 수동적 보호를 야기시키는 센서(10")의 매립된 금속층을 접지하기 위해 하나 이상의 저장 구성요소, 메모리, 또는 모듈에 저장된 한 세트의 명령을 실행한다. 일례에서, 시스템 제어기(들)(104 또는 104')는 검출 데이터를 얻고 분석하는 것 중 적어도 하나를 수행하기 위해, 하나 이상의 저장 구성요소, 메모리, 또는 모듈에 저장된 한 세트의 명령을 실행한다. 저장 구성요소는 생물검정 시스템(100) 내에 정보 소스 또는 물리적 메모리 구성요소의 형태로 존재할 수 있다.
명령 세트는 본 명세서에 기술된 다양한 예의 방법 및 공정과 같은 특정 작업을 수행하기 위해 생물검정 시스템(100) 또는 센서(10, 10', 10")를 명령하는 다양한 명령어를 포함할 수 있다. 명령 세트는 소프트웨어 프로그램의 형태로 존재할 수 있으며, 이는 명백하고, 일시적인 컴퓨터 판독 가능한 매체 또는 매체들의 일부를 형성할 수 있다. 본 명세서에서 사용되는 용어 "소프트웨어" 및 "펌웨어"는 상호 교체 가능하고, 컴퓨터에 의한 실행을 위해 메모리에 저장된 임의의 알고리즘 및/또는 컴퓨터 프로그램을 지칭한다. 메모리의 예는 RAM 메모리, ROM 메모리, EPROM 메모리, EEPROM 메모리, 및 비-휘발성 RAM(NVRAM) 메모리를 포함한다.
소프트웨어는 다양한 형태, 예를 들어, 시스템 소프트웨어 또는 응용 소프트웨어로 존재할 수 있다. 또한, 소프트웨어는 별개의 프로그램의 집합, 또는 더 큰 프로그램의 프로그램 모듈 또는 프로그램 모듈의 일부분의 형태로 존재할 수 있다. 소프트웨어는 또한, 객체-지향 프로그래밍 형태의 모듈형 프로그래밍을 포함할 수 있다. 검출 데이터를 얻은 후에, 검출 데이터는 생물검정 시스템(100)에 의해 자동적으로 처리되거나, 사용자 입력에 응답하여 처리되거나, 다른 처리 기계(예를 들어, 통신 링크를 통한 원격 요청)에 의해 이루어진 요청에 응답하여 처리될 수 있다.
도 1에 도시되어 있지는 않지만, 시스템 제어기(들)(104, 104')가 통신 링크를 통해 생물검정 시스템(100)의 센서(10, 10', 10") 및 다른 부품에 연결될 수 있는 것으로 이해되어야 한다. 시스템 제어기(들)(104, 104')은 또한, 원격, 오프-부위 시스템 또는 서버에 통신 가능하게 연결될 수 있다. 통신 링크는 유선 또는 무선일 수 있다. 시스템 제어기(들)(104, 104')는 사용자 인터페이스(114) 및 사용자 입력 디바이스(115)으로부터, 사용자 입력 또는 명령어를 수신할 수 있다.
유체 제어 시스템(106)은 유체 네트워크를 포함하고, 유체 네트워크를 통해 하나 이상의 유체의 흐름을 유도하고 조절하기 위해 사용될 수 있다. 유체 네트워크는 센서(10, 10', 10") 및 유체 저장 시스템(108)와 유체 소통할 수 있다. 예를 들어, 선택된 유체는 유체 저장 시스템(108)으로부터 인출되고 제어된 방식으로 센서(10, 10', 10")로 유도될 수 있거나, 유체는 센서(10, 10', 10")로부터 인출되고 예를 들어, 유체 저장 시스템(108)에서 폐기물 저장소 쪽으로 유도될 수 있다. 도시되어 있지는 않지만, 유체 제어 시스템(106)은 유체 네트워크 내의 유체의 유량 또는 압력을 검출하는 흐름 센서를 포함할 수 있다. 흐름 센서는 시스템 제어기(들)(104, 104')와 소통할 수 있다.
온도 제어 시스템(110)은 유체 네트워크, 유체 저장 시스템(108), 및/또는 센서(10, 10', 10")의 상이한 영역들에서 유체의 온도를 조절하기 위해 사용될 수 있다. 예를 들어, 온도 제어 시스템(110)은 센서(10, 10', 10")와 인터페이스하고 센서(10, 10', 10")에서 반응 부위를 따라 흐르는 유체의 온도를 제어하는 써모사이클러(thermocycler)를 포함할 수 있다. 온도 제어 시스템(110)은 또한, 생물검정 시스템(100) 또는 센서(10, 10', 10")의 고체 구성요소 또는 구성성분의 온도를 조절할 수 있다. 도시되어 있지는 않지만, 온도 제어 시스템(110)은 유체 및/또는 다른 성분의 온도를 검출하기 위한 센서를 포함할 수 있다. 이러한 센서는 또한, 시스템 제어기(들)(104, 104')와 소통할 수 있다.
유체 저장 시스템(108)은 센서(10, 10', 10")와 유체 소통하고, 센서(10, 10', 10")의 반응 부위(들)에서(in/at) 지정된 반응을 수행하기 위해 사용되는 다양한 반응 성분 또는 반응물을 저장할 수 있다. 유체 저장 시스템(108)은 또한, 유체 네트워크 및 센서(10, 10', 10")를 세척 또는 세정하기 위한 및 반응물을 희석하기 위한 유체를 저장할 수 있다. 예를 들어, 유체 저장 시스템(108)은 샘플, 시약, 효소, 다른 생체분자, 완충액, 수성, 및 비-수성 용액 등을 저장하기 위해 다양한 저장소를 포함할 수 있다. 또한, 유체 저장 시스템(108)은 또한 센서(10, 10', 10")로부터의 폐기물을 수용하기 위한 폐기물 저장소를 포함할 수 있다.
카트리지를 포함하는 예에서, 카트리지는 유체 저장 시스템, 유체 제어 시스템, 또는 온도 제어 시스템 중 하나 이상을 포함할 수 있다. 이에 따라, 그러한 시스템(108, 106, 110)과 관련한 바와 같이 본 명세서에 기술된 부품들 중 하나 이상은 카트리지 하우징 내에 함유될 수 있다. 예를 들어, 카트리지는 샘플, 시약, 효소, 다른 생체분자, 완충액, 수성 및 비극성 용액, 폐기물 등을 저장하기 위해 다양한 저장소를 가질 수 있다. 이와 같이, 일부 예에서, 유체 저장 시스템, 유체 제어 시스템 또는 온도 제어 시스템 중 하나 이상은 카트리지를 통해 생물검정 시스템(100)와 제거 가능하게 결합할 수 있다.
조명 시스템(112)은 센서(10, 10', 10")를 비추기 위해 광원(예를 들어, 하나 이상의 LED) 및 복수의 광학 부품을 포함할 수 있다. 광원의 예는 레이저, 아크 램프, LED, 또는 레이저 다이오드를 포함할 수 있다. 광학 부품은 예를 들어, 반사체, 다이크로익(dichroics), 빔 스플리터, 콜리메이터(collimator), 렌즈, 필터, 웨지(wedge), 프리즘, 거울, 검출기 등일 수 있다. 조명 시스템을 이용하는 예에서, 조명 시스템(112)은 센서(10, 10', 10")의 반응 부위(들)에 여기 광을 유도하기 위해 작동 가능하게 정위될 수 있다. 일례로서, 형광단은 광의 녹색 파장에 의해 여기될 수 있으며, 이와 같이, 여기 광의 파장은 대략 532㎚일 수 있다.
시스템 용기 또는 인터페이스(102)는 기계적, 전기적, 및 유동적 방식 중 적어도 하나로 센서(10, 10', 10")를 결합할 수 있다. 시스템 용기(102)는 센서(10, 10', 10")를 통해 유체의 흐름을 용이하게 하기 위해 요망되는 배향으로 센서(10, 10', 10")를 유지할 수 있다. 시스템 용기(102)는 또한, 생물검정 시스템(100)이 센서(10, 10', 10")와 소통하고/거나 센서(10, 10', 10")에 전력을 제공할 수 있도록 센서(10, 10', 10")와 결합할 수 있는 전기적 콘택트를 포함할 수 있다. 또한, 시스템 용기(102)는 센서(10, 10', 10")와 결합할 수 있는 유체 포트(예를 들어, 노즐)를 포함할 수 있다. 일부 예에서, 센서(10, 10', 10")는 기계적 방식, 전기적 방식, 및 또한 유동적 방식으로 시스템 용기(102)에 제거 가능하게 결합된다.
또한, 생물검정 시스템(100)은 다른 시스템 또는 네트워크와 또는 다른 생물검정 시스템(100)과 원격으로 소통할 수 있다. 생물검정 시스템(들)(100)에 의해 얻어진 검출 데이터는 원거리 데이터베이스에 저장될 수 있다.
도 2는 시스템 제어기(104)의 일례의 블록 다이어그램이다. 일례에서, 시스템 제어기(104, 104')는 서로 소통할 수 있는 하나 이상의 프로세서 또는 다른 하드웨어 모듈을 포함한다. 각 프로세서 또는 하드웨어 모듈은 특정 공정/작동을 수행하기 위해 알고리즘(예를 들어, 명확한 및/또는 비-일시적 컴퓨터 판독 가능한 저장 매체 상에 저장된 명령) 또는 서브-알고리즘을 실행할 수 있다. 시스템 제어기(104, 104')는 개념적으로 하드웨어 모듈의 집합으로서 예시되고, 전용 하드웨어 보드, 프로세서 등의 임의의 조합을 이용하여 실행될 수 있다. 대안적으로, 시스템 제어기(104, 104')는 단일 프로세서 또는 다수의 프로세서를 갖는 기성품 개인용 컴퓨터(PC)를 사용하여 실행될 수 있으며, 프로세서들 사이에 기능적 동작이 분포된다. 추가 옵션으로서, 하기에 기술되는 하드웨어 모듈은 하이브리드 구성을 이용하여 실행될 수 있으며, 여기서, 특정 모듈 기능은 전용 하드웨어를 이용하여 수행되며, 나머지 모듈 기능은 기성품 PC 등을 이용하여 수행된다. 또 다른 예에서, 하드웨어 모듈보다는, 본 명세서에 개시된 모듈은 또한, 프로세싱 유닛 내의 소프트웨어 모듈로서 실행될 수 있다.
작동 동안에, 통신 링크(118)는 센서(10, 10', 10")(도 1) 및/또는 서브-시스템(106, 108, 110)(도 1)으로 정보(예를 들어, 명령어)를 송신하거나 이러한 정보(예를 들어, 데이터)를 수신할 수 있다. 통신 링크(120)는 사용자 인터페이스(114)(도 1)로부터 사용자 입력을 수신하거나 사용자 인터페이스(114)로 데이터 또는 정보를 전송할 수 있다. 센서(10, 10', 10") 또는 서브-시스템(106, 108, 110)으로부터의 데이터는 보호 작동 및/또는 감지 작동 동안 시스템 제어기(104, 104')에 의해 실시간으로 처리될 수 있다. 추가적으로 또는 대안적으로, 데이터는 보호 작동 및/또는 감지 작동 동안 시스템 메모리에서 일시적으로 저장되고, 실시간 또는 오프-라인 작동보다 더 느리게 처리될 수 있다.
도 2에 도시된 바와 같이, 시스템 제어기(104, 104')는 주 제어 모듈(140)과 소통하는 복수의 모듈(122 내지 138)을 포함할 수 있다. 주 제어 모듈(140)은 사용자 인터페이스(114)(도 1)와 소통할 수 있다. 모듈(122 내지 138)이 주 제어 모듈(140)과 직접적으로 소통하는 바와 나타내지만, 모듈(122 내지 138)은 또한, 서로, 사용자 인터페이스(114), 및 센서(10, 10', 10")와 직접적으로 소통할 수 있다. 또한, 모듈(122 내지 138)은 다른 모듈(미도시됨)을 통해 주 제어 모듈(140)과 소통할 수 있다.
복수의 모듈(122 내지 138)은 일례에서, 서브-시스템(106, 108, 110, 및 112)과 각각 소통하는 시스템 모듈(122, 124, 126, 128)을 포함한다. 유체 제어 모듈(122)은 유체 네트워크를 통해 하나 이상의 유체의 흐름을 제어하기 위한 유체 네트워크의 밸브 및 흐름 센서를 제어하기 위해 유체 제어 시스템(106)과 소통할 수 있다. 유체 저장 모듈(124)은 유체가 낮을 때 또는 폐기물 저장소가 용량에 또는 용량 부근일 때 사용자에게 통지할 수 있다. 유체 저장 모듈(124)은 또한, 유체가 요망되는 온도에서 저장될 수 있도록 온도 제어 모듈(126)과 소통할 수 있다. 조명 모듈(128)은 프로토콜 동안, 예를 들어, 지정된 반응(예를 들어, 결합 사건)이 일어난 후에 명시된 시간에 반응 부위(들)를 비추기 위해 조명 시스템(112)과 소통할 수 있다.
복수의 모듈(122 내지 138)은 또한, 센서(10, 10', 10")와 소통하는 디바이스 모듈(130), 및 센서(10, 10', 10")와 관련한 식별 정보를 결정하는 식별 모듈(132)을 포함할 수 있다. 디바이스 모듈(130)은 예를 들어, 센서(10, 10', 10")가 생물검정 시스템(100)과 전기적 및 유동적 연결을 수립한 것을 확인하기 위해 시스템 용기(102)와 소통할 수 있다. 식별 모듈(132)은 센서(10, 10', 10")를 식별하는 신호를 수신할 수 있다. 식별 모듈(132)은 사용자에게 다른 정보를 제공하기 위해 센서(10, 10', 10")의 식별을 이용할 수 있다. 예를 들어, 식별 모듈(135)은 로트 번호, 제조일자, 또는 센서(10, 10', 10")와 함께 진행되도록 제안된 프로토콜을 결정하고 이후에 나타낼 수 있다.
복수의 모듈(122 내지 142)은 또한, 보호 모듈(134), 감지 작동 모듈(136), 및 분석 모듈(138)을 포함할 수 있다.
일부 예에서, 보호 모듈(134)은 시약 전극 및 센서(10, 10')의 매립된 금속층과 전기적으로 소통한다. 본 명세서에 개시된 예들 중 일부에서, 보호 모듈(134)은 시약(시약 전극과 접촉됨)에서 매립된 금속층(캐소드 또는 애노드 보호를 통해 보호됨)까지 오프셋된 전기 바이어스를 설정한다. 다시 말해서, 시약은 부식으로부터 보호되어야 하는 매립된 금속층에 대해 편향된다. 보호 모듈(134)은 전압 또는 전류를 제어함으로써 바이어스 오프셋을 설정, 변경 및 제거하는 정전위기(potentiostat)를 포함할 수 있다. 일부 예에서, 보호 모듈(134)은 시약 전극(애노드로서 기능함)과 매립된 금속층(캐소드로서 기능함) 사이의 시약에서 전기 바이어스를 발생시키는 신호를 선택적으로 전송할 수 있다. 이는 매립된 금속층에 캐소드 보호를 제공한다.
다른 예에서, 보호 모듈(134)은 시약 전극(캐소드로서 기능함)과 매립된 금속층(애노드로서 기능함) 사이의 시약에서 전기 바이어스를 발생시키는 신호를 선택적으로 송신할 수 있다. 이는 매립된 금속층에 대한 애노드 보호를 제공한다. 인가된 전기 바이어스, 및 이에 따른, 얻어진 보호(즉, 캐소드 보호 또는 애노드 보호)는 사용되는 시약, pH, 및 보호되는 금속에 따른다. 보호 모듈(134)은 또한, 신호에 반응하여 전기 바이어스를 적절하게 변경시킬 수 있는 매립된 금속층 및 시약 전극으로부터 신호를 수신할 수 있다. 예를 들어, 매립된 금속층은 CMOS AVdd(아날로그 Vdd) 라인(즉, 광학 센서 판독을 공급하기 위한 공급 전압)의 기능화 부품일 수 있으며, 보호 모듈(134)은 이러한 변동을 고려하여 전기 바이어스를 조정할 수 있도록 AVdd 라인에서의 변동을 모니터링할 수 있다. 일부 예에서, 보호 모듈(134)은 또한 시약 전극과 매립된 금속층 사이에 전류의 극성을 측정할 수 있고, 이러한 측정을 기초로 하여 전류를 조절할 수 있다. 본 명세서에 개시된 예에서, 포지티브 전류는 애노딕(anodic)(즉, 매립된 금속층에서 산화)일 수 있으며, 네가티브 전류는 캐소딕(cathodic)(즉, 매립된 금속층에서 환원)일 수 있다. 측정된 전류 극성에 따라, 바이어스는 전류를 고려되는 극성으로 밀어 조정될 수 있다(즉, 이에 따라, 캐소드 보호가 요망될 때 매립된 금속층이 캐소드로서 기능하고, 애노드 보호가 요망될 때 애노드로서 기능하게 함).
보호 모듈(134)은 전기 바이어스를 선택적으로 인가할 수 있다. 일부 예에서, 전기 바이어스는 연속적으로 인가될 수 있다. 전압이 연속적으로 인가되고 패시베이션층이 손상되지 않을 때(그리고, 이에 따라, 시약 전극이 매립된 금속층과 접촉하지 않을 때), 매립된 금속층의 개방 회로 전위는 시약을 통한 연결이 일어나는 지를 검출하기 위해 베이스라인으로서 사용될 수 있다. 개방 회로 전위의 변화가 일어날 때, 이는 시약이 예를 들어, 패시베이션층에서의 크랙(crack)을 통해 누출됨을 나타낸다. 이러한 예에서, 전기 바이어스는 캐소드 보호 또는 애노드 보호를 통해 시약으로부터 매립된 금속층을 보호하기 위해 조정될 수 있다. 다른 예에서, 전기적 바이어스는 켜지거나 꺼질 수 있다. 예를 들어, 특정 시약 반응이 바이어스된 상태에 있는 것보다 개방 상태에서 덜 반응성인 것으로 알려진 경우에, 전기 바이어스는 감지 작동에서 이러한 특정 반응 동안 꺼질 수 있다. 그러나, 전기 바이어스가 인가되지 않을 때, 보호 회로는 작동하지 않고, 이에 따라, 전기 바이어스가 다시 켜질 때까지 패시베이션층(24)에서 파괴, 크랙 등을 감지하기 위해 사용되지 못할 수 있다.
일례에서, 캐소드 보호는 DNA 시퀀싱 시약(DNA sequecing reagent) 및 약 300 mV 내지 약 800 mV 범위의 인가된 바이어스를 이용하여 달성될 수 있다.
일부 예에서, 보호 모듈(134)은 인가된 전기 바이어스가 너무 낮아서 시약이 효과적으로 반-수동적 상태에 있게 되도록 센서(10, 10')의 매립된 금속층 및 시약 전극과 전기적으로 소통한다. 이러한 전기 바이어스는 캐소드 또는 애노드 보호에 해당하지 않지만, 부식을 감소시킨다. 이러한 방법은 기계적 스위치를 사용하지 않고 수행될 수 있고, 매립된 금속층을 지면에 효과적으로 끌어 당기게 한다.
일부 또 다른 예에서, 보호 모듈(134)은 매립된 금속층이 접지되도록 센서(10, 이러한 예에서 시약 전극을 포함할 수 있거나 포함하지 않을 수 있음, 또는 10")의 매립된 금속층과 전기적으로 소통한다. 매립된 금속층의 접지는 매립된 금속층에 대한 수동적 보호를 제공할 수 있다. 시약 전극이 포함되지 않을 때(예를 들어, 센서(10")에 도시됨), 시약은 명시적인 기준 전압을 가지지 않는다. 이러한 예에서, 매립된 금속층은 지상에 직접적으로 연결되고(즉, 0 볼트), 보호 모듈(134)은 정전위기를 포함하지 않는다. 이와 같이, 일부 예에서, 보호 모듈(134)은 비-정전위기 제어 회로일 수 있다.
반응/감지 모듈(136)은 사전결정된 프로토콜(예를 들어, 검정 프로토콜)을 수행할 때 서브-시스템(106, 108, 및 110)의 작동을 제어하기 위해 주 제어 모듈(140)과 소통한다. 반응/감지 작동 모듈(136)은 서브-모듈, 예를 들어, 상이한 공정, 감지 작동 등을 위해 사전결정된 프로토콜과 관련한 특정 작동을 수행하기 위해 생물검정 시스템(100)을 명령하기 위한 명령어 세트를 포함하는 프로토콜 모듈(142, 144)을 포함할 수 있다.
도 2에 도시된 바와 같이, 프로토콜 모듈(142, 144) 중 하나는 합성을 통한 시퀀싱 공정을 수행하기 위해 다양한 명령을 내릴 수 있는 합성을 통한 시퀀싱(SBS) 모듈(142)일 수 있다. SBS에서, 핵산 주형을 따르는 핵산 프라이머의 연장은 주형에서 뉴클레오타이드의 서열을 결정하기 위해 모니터링된다. 하부 화학 공정은 중합(예를 들어, 폴리머라아제 효소에 의해 촉매화됨) 또는 결찰(예를 들어, 리가아제 효소에 의해 촉매화됨)일 수 있다. 특정 폴리머라아제-기반 SBS 공정에서, 형광 표지된 뉴클레오타이드는 프라이머에 첨가된 뉴클레오타이드의 순서 및 타입의 검출이 주형의 서열을 결정하기 위해 사용될 수 있도록, 주형 의존 방식으로 프라이머에 첨가된다(이에 의해 프라이머를 연장시킨다). 예를 들어, 제1 SBS 사이클을 개시하기 위하여, 명령어는 하나 이상의 표지된 뉴클레오타이드, DNA 폴리머라아제 등을 핵산 주형의 어레이를 하우징하는 센서(10, 10', 10")의 흐름 셀 내로/통해 전달하도록 제공될 수 있다. 핵산 주형은 상응하는 반응 부위에 위치될 수 있다. 프라이머 연장이 표지된 뉴클레오타이드를 도입되게 하는 반응 부위는 이미징 사건을 통해 검출될 수 있다.
이미징 사건 동안, 조명 시스템(112)은 반응 부위에 여기 광을 제공할 수 있다. 일부 예에서, 뉴클레오타이드는 뉴클레오타이드가 프라이머에 첨가된 직후에 추가 프라이머 연장을 종결시키는 가역적 종결 성질을 더 포함할 수 있다. 예를 들어, 가역적 종결자 모이어티를 갖는 뉴클레오타이드 유사체는 후속 연장이 모이어티를 제거하기 위해 블로킹방지제가 전달될 때까지 일어나지 못하도록 프라이머에 첨가될 수 있다. 이에 따라, 가역적 종결을 사용하는 예를 위하여, 명령어는 (검출이 일어나기 전 또는 후에) 센서(10, 10', 10")의 흐름 셀에 블로킹방지 시약을 전달하기 위해 유체 제어 시스템(106)로 전송될 수 있다. 하나 이상의 명령어는 다양한 전달 단계들 사이에서 세척(들)을 달성하기 위해 유체 제어 시스템(106)에 제공될 수 있다. 사이클은 이후에, n개의 뉴클레오타이드에 의해 프라이머를 연장시키기 위해 n회 반복될 수 있고, 이에 의해 길이 n의 서열을 검출할 수 있다.
SBS 사이클의 뉴클레오타이드 전달 단계에 대하여, 단일 타입의 뉴클레오타이드는 한 번에 전달될 수 있거나, 다수의 상이한 뉴클레오타이드 타입(예를 들어, A, C, T 및 G 함께)이 전달될 수 있다. 단지 단일 타입의 뉴클레오타이드가 한 번에 존재하는 뉴클레오타이드 전달 구성에 대하여, 상이한 뉴클레오타이드는 개별화된 전달에서 내재된 시간적 분리를 기초로 하여 구별될 수 있기 때문에 별도의 라벨을 가질 필요가 없다. 이에 따라, 시퀀싱 방법 또는 장치는 단일 칼라 검출을 사용할 수 있다. 예를 들어, 여기 소스는 단일 파장에서 또는 단일 파장 범위에서의 여기만을 제공할 필요가 있다. 전달이 다수의 상이한 뉴클레오타이드를 한 번에 흐름 셀에 존재하게 하는 뉴클레오타이드 전달 구성에 대하여, 상이한 뉴클레오타이드 타입을 도입하는 부위는 혼합물에서 개개 뉴클레오타이드 타입에 부착된 상이한 형광 라벨을 기초로 하여 구별될 수 있다. 예를 들어, 4개의 상이한 뉴클레오타이드가 사용될 수 있으며, 각각은 4개의 상이한 형광단 중 하나를 갖는다. 일례에서, 4개의 상이한 형광단은 스펙트럼의 4개의 상이한 영역에서의 여기를 이용하여 구별될 수 있다. 예를 들어, 4개의 상이한 여기 방사선 소스가 사용될 수 있다. 대안적으로, 4개 미만의 상이한 여기 소스가 사용될 수 있지만, 단일 소스로부터의 여기 방사선의 광학적 여과는 흐름 셀에서 상이한 범위의 여기 방사선을 생성시키기 위해 사용될 수 있다.
다른 예에서, 4개 미만의 상이한 칼라는 4개의 상이한 뉴클레오타이드를 갖는 혼합물에서 검출될 수 있다. 예를 들어, 뉴클레오타이드의 쌍은 동일한 파장에서 검출될 수 있지만, 그러한 쌍의 다른 구성원과 비교하여 쌍의 하나의 구성원에 대한 세기의 차이를 기초로 하여, 또는 그러한 쌍의 다른 구성원에 대해 검출된 신호와 비교하여 명백한 신호를 나타나게 하거나 사라지게 하는 그러한 쌍의 하나의 구성원에 대한 변화를 기초로 하여(예를 들어, 화학적 개질, 광화학적 개질 또는 물리적 개질을 통함) 구별될 수 있다. 제2 예로서, 4개의 상이한 뉴클레오타이드 타입 중 3개는 특정 조건 하에서 검출 가능할 수 있으며, 제4 뉴클레오타이드 타입은 그러한 조건 하에서 검출 가능한 라벨이 결여된다. 제2 예의 SBS 관련 예에서, 핵산에 처음 3개의 뉴클레오타이드의 도입은 이의 개개 신호의 존재를 기초로 하여 결정될 수 있으며, 핵산에 제4 뉴클레오타이드 타입의 도입은 임의의 신호의 부재를 기초로 하여 결정될 수 있다. 제3 예로서, 하나의 뉴클레오타이드 타입은 2개의 상이한 이미지 또는 2개의 상이한 채널(예를 들어, 2개의 종들의 혼합물은 동일한 염기를 가지지만, 상이한 라벨이 사용될 수 있거나, 2개의 라벨을 갖는 단일 종이 사용될 수 있거나, 두 채널 모두에서 검출된 라벨을 갖는 단일 종이 사용될 수 있음)에서 검출될 수 있으며, 다른 뉴클레오타이드 타입은 이미지 또는 채널 중 하나 이하로 검출된다. 이러한 제3 예에서, 2개의 이미지 또는 2개의 채널의 비교는 상이한 뉴클레오타이드 타입을 구별하는 역할을 한다.
또한, 도 2에 도시된 바와 같이, 다른 프로토콜 모듈(142, 144)은 센서(10, 10', 10") 내에서 생성물을 증폭시키기 위한 유체 제어 시스템(106) 및 온도 제어 시스템(110)에 명령어를 제공하는 샘플-제조(또는 생성) 모듈(144)(prep 모듈)일 수 있다. 예를 들어, prep 모듈(144)은 센서(10, 10', 10") 내에서 반응 챔버에 증폭 성분을 전달하기 위해 유체 제어 시스템(106)에 명령을 내릴 수 있다. 일부 예에서, 반응 부위가 증폭을 위한 일부 성분, 예를 들어, 주형 DNA 및/또는 프라이머를 이미 함유할 수 있다. 반응 챔버에 증폭 성분을 전달한 후에, prep 모듈(144)은 공지된 증폭 프로토콜에 따라 상이한 온도 스테이지를 통해 온도 제어 시스템(110)을 사이클링하도록 명령할 수 있다. 일부 구현예에서, 증폭 및/또는 뉴클레오타이드 도입은 등온으로 수행된다.
SBS 모듈(142)은 클론 앰플리콘의 클러스터가 흐름 셀의 채널 내의 국소화된 구역 상에 형성되는 브릿지 PCR을 수행하기 위해 명령을 내릴 수 있다. 브릿지 PCR을 통해 앰플리콘을 생성시킨 후에, 앰플리콘은 단일 가닥 주형 DNA 또는 sstDNA를 만들기 위해 "선형화"될 수 있으며, 시퀀싱 프라이머는 고려되는 영역의 측면에 위치한 보편적 서열(universal sequence)에 하이브리드화될 수 있다. 예를 들어, 가역적 종결제-기반 합성을 통한 시퀀싱 방법은 상기에 기술된 바와 같이, 또는 하기와 같이 사용될 수 있다. 각 시퀀싱 사이클은 예를 들어, 개질된 DNA 폴리머라아제, 및 4개의 타입의 뉴클레오타이드의 혼합물을 사용함으로써 달성될 수 있는 단일 염기에 의해 sstDNA를 연장시킬 수 있다. 상이한 타입의 뉴클레오타이드는 독특한 형광 라벨을 가질 수 있으며, 각 뉴클레오타이드는 단지 단일-염기 도입을 각 사이클에서 일어날 수 있게 하는 가역적 종결기를 추가로 가질 수 있다. 단일 염기가 sstDNA에 첨가된 후에, 여기 광은 반응 부위 상에 입사될 수 있으며, 형광 방출이 검출될 수 있다. 검출 후에, 형광 라벨 및 종결제는 sstDNA로부터 화학적으로 절단될 수 있다. 다른 유사한 시퀀싱 사이클이 이어질 수 있다. 이러한 시퀀싱 프로토콜에서, SBS 모듈(142)은 센서(10, 10', 10")를 통해 시약 및 효소 용액의 흐름을 유도하기 위해 유체 제어 시스템(106)을 명령할 수 있다.
일부 예에서, prep 및 SBS 모듈(144, 142)은 단일 검정 프로토콜에서 작동할 수 있으며, 여기서, 예를 들어, 주형 핵산은 증폭되고, 후속하여, 동일한 카트리지 내에서 시퀀싱된다.
생물검정 시스템(100)은 또한, 사용자가 검정 프로토콜과 같은, 프로토콜을 재구성할 수 있게 한다. 예를 들어, 생물검정 시스템(100)은 결정된 프로토콜을 수정하기 위해 사용자 인터페이스(114)를 통해 사용자에게 선택을 제공할 수 있다. 예를 들어, 센서(10, 10', 10")가 증폭을 위해 사용되는 것이 결정된 경우에, 생물검정 시스템(100)은 어닐링 사이클을 위한 온도를 요구할 수 있다. 또한, 생물검정 시스템(100)은 사용자가 일반적으로 선택된 프로토콜에 대해 수용할 수 없는 사용자 입력을 제공한 경우, 사용자에게 경고를 발생할 수 있다.
시스템 제어기(104, 104')는 또한 분석 모듈(138)을 포함한다. 분석 모듈(138)은 센서(10, 10', 10")로부터의 신호 데이터(예를 들어, 이미지 데이터)를 수신하고 분석한다. 신호 데이터는 후속 분석을 위해 저장될 수 있거나, 사용자에게 요망되는 정보를 디스플레이를 위하여 사용자 인터페이스(114)로 전송될 수 있다. 일부 예에서, 신호 데이터는 분석 모듈(138)이 신호 데이터를 수신하기 전에 고체-상태 이미저(예를 들어, 센서(10, 10', 10")의 CMOS 이미지 센서)에 의해 처리될 수 있다.
도 3은 생물학적 또는 화학적 분석을 위한 워크스테이션(200)의 일례의 블록 다이어그램이다. 워크스테이션(200)은 상술된 생물검정 시스템(100)과 유사한 특성, 시스템, 및 어셈블리를 가질 수 있다. 예를 들어, 워크스테이션(200)은 유체 제어 시스템, 예를 들어, 유체 네트워크(202)를 통해 센서(또는 카트리지)(10, 10', 10")에 유체 흐름 가능하게 연결된 유체 제어 시스템(106)(도 1)을 가질 수 있다. 유체 네트워크(202)는 시약 카트리지(204), 밸브 블록(206), 메인 펌프(208), 버블제거기(debubbler)(210), 3-방향 밸브(212), 흐름 제한기(214), 폐기물 제거 시스템(216), 및 퍼지 펌프(218)를 포함할 수 있다. 상술된 대부분의 부품 또는 모든 부품은 공동의 워크스테이션 하우징(미도시됨) 내에 정위될 수 있다.
도시되어 있지 않지만, 워크스테이션(200)은 또한, 조명 시스템, 예를 들어, 센서(10, 10', 10")의 반응 부위에 여기 광을 제공할 수 있는 조명 시스템(112)을 포함할 수 있다.
유체의 흐름은 유체 네트워크(202)를 따라 화살표로 나타낸다. 예를 들어, 시약 용액은 시약 카트리지(204)로부터 제거되고 밸브 블록(206)을 통해 흐를 수 있다. 밸브 블록(206)은 시약 카트리지(204)로부터 센서/카트리지(10, 10', 10")로 흐르는 유체의 0-데드 부피(zero-dead volume)를 생성시키는 것을 용이하게 할 수 있다. 밸브 블록(206)은 유체 네트워크(202)를 통해 시약 카트리지(204) 내의 하나 이상의 액체를 선택하거나 흐르게 할 수 있게 한다. 예를 들어, 밸브 블록(206)은 컴팩트 배열을 갖는 솔레노이드 밸브를 포함할 수 있다. 각 솔레노이드 밸브는 단일 저장소 백으로부터 유체의 흐름을 제어할 수 있다. 일부 예에서, 밸브 블록(206)은 둘 이상의 상이한 액체를 한 번에 유체 네트워크(202) 내로 흐를 수 있게 하여, 둘 이상의 상이한 액체를 혼합할 수 있다.
밸브 블록(206)을 떠난 후에, 유체는 메인 펌프(208)를 통해 그리고 버블제거기(210)로 흐를 수 있다. 버블제거기(210)는 유체 네트워크(202) 내로 진입하거나, 유체 네트워크 내에서 발생된 원치 않는 가스를 제거할 수 있다. 버블제거기(210)로부터, 유체는 3-방향 밸브(212)로 흐를 수 있으며, 여기서, 유체는 센서(10, 10', 10")로 유도되거나, 폐기물 제거 시스템(216)으로 우회된다. 센서(10, 10', 10") 내에서 유체의 흐름은 센서(10, 10', 10")에서 다운스트림에 위치된 흐름 제한기(214)에 의해 적어도 일부 제한될 수 있다. 또한, 흐름 제한기(214) 및 메인 펌프(208)는 반응 부위를 가로지르는 유체의 흐름을 제한하고/거나 유체 네트워크(202) 내에서의 압력을 제어하기 위해 서로 조정할 수 있다. 유체는 센서(10, 10', 10")를 통해 그리고 폐기물 제거 시스템(252) 상에 흐를 수 있다. 일부 예에서, 유체는 퍼지 펌프(218)를 통해 그리고, 예를 들어, 시약 카트리지(204) 내의 폐기물 저장소 백으로 흐를 수 있다.
도 3에 도시된 바와 같이, 워크스테이션(200)은 워크스테이션(200)의 상이한 부품 및 서브-시스템의 열적 환경을 조절 또는 제어할 수 있는 온도 제어 시스템, 예를 들어, 온도 제어 시스템(110)(도 1)을 포함할 수 있다. 온도 제어 시스템(110)은 워크스테이션(200)에 의해 사용되는 다양한 유체의 온도를 제어할 수 있는 시약 냉각기(220), 및 센서(10, 10', 10")의 온도를 제어할 수 있는 써모사이클러(222)를 포함할 수 있다. 써모사이클러(222)는 센서(10, 10', 10")와 인터페이스하는 열 부재(미도시됨)를 포함할 수 있다.
또한, 워크스테이션(200)은 상술된 시스템 제어기(104, 104')와 유사한 특성을 가질 수 있는 시스템 제어기 또는 SBS 보드(224)를 포함할 수 있다. SBS 보드(224)는 센서(10, 10', 10")뿐만 아니라 워크 스테이션(200)의 다양한 부품 및 서브-시스템과 소통할 수 있다. 또한, SBS 보드(224)는 예를 들어, 데이터를 저장하거나 원격 시스템으로부터의 명령을 수신하기 위해 원격 시스템과 소통할 수 있다.
SBS 보드(224)는 보호 모듈(134)을 포함한다. 일부 예에서, 보호 모듈(134)은 시약 전극 및 센서(10, 10')의 매립된 금속층, 및 또한 3-방향 밸브(212)에 전기적으로 연결될 수 있다. 보호 모듈(134)은 메인 펌프(208)와 동기화될 수 있으며, 이에 따라, 시약이 센서(10, 10')로 전달될 때 전기 바이어스는 연속적으로 또는 선택적으로 인가되도록 한다. 다른 예에서, 보호 모듈(134)은 센서(10")의 매립된 금속층, 및 또한, 3-방향 밸브(212)에 전기적으로 연결될 수 있다. 보호 모듈(134)은 메인 펌프(208)와 동기화될 수 있으며, 이에 따라, 매립된 금속층은 시약이 센서(10")로 이동될 때 연속적으로 또는 선택적으로 접지된다.
워크스테이션(200)은 또한, 단일-보드 컴퓨터(SBC)(228)를 통해 SBS 보드(224)에 작동 가능하게 결합된 터치 스크린 사용자 인터페이스(226)를 포함할 수 있다. 워크스테이션(200)은 또한, 하나 이상의 사용자 접근 데이터 통신 포트 및/또는 드라이브를 포함할 수 있다. 예를 들어, 워크스테이션(200)은 컴퓨터 주변 장치, 예를 들어, 다른 소프트웨어 이외에 사용자 데이터를 저장하기 위한 플래시 또는 점프 드라이브(jump drive), 컴팩트-플래스(compact-flash: CF) 드라이브, 및/또는 하드 드라이브(230)에 대한 하나 이상의 유니버셜 시리얼 버스(USB) 연결부를 포함할 수 있다.
워크스테이션(200)의 부품이 보호 모듈(134) 및 관련된 보호 회로의 기능을 방해하지 않는 것으로 이해되어야 한다. 예를 들어, 시약 카트리지(204) 및 센서(10, 10', 10")에 시약을 운반하는 다른 부품들의 전기적 상태는 시약의 전도도 및/또는 센서(10, 10', 10")의 보호 회로를 간섭하지 않기 위해 비-전도성일 수 있다.
도 4는 본 명세서에 기술된 바와 같은 하나 이상의 센서(이러한 도면에는 도시되지 않음)를 포함할 수 있는 워크스테이션(300) 및 카트리지(302)의 절단 사시도이다. 워크스테이션(300)은 생물검정 시스템(100) 및 워크스테이션(200)에 대해 상술된 바와 유사한 구성성분을 포함할 수 있고, 유사한 방식으로 작동할 수 있다. 예를 들어, 워크스테이션(300)은 카트리지(302)를 수용하고 결합하도록 구성된 워크스테이션 하우징(304) 및 시스템 용기(306)를 포함할 수 있다. 시스템 용기(306)는 카트리지(302)를 유동적 또는 전기적 중 적어도 하나로 결합할 수 있게 할 수 있다. 워크스테이션 하우징(304)은 예를 들어, 상술된 바와 같은 시스템 제어기, 유체 저장 시스템, 유체 제어 시스템, 및 온도 제어 시스템을 보유할 수 있다.
도 4에서, 워크스테이션(300)은 워크스테이션 하우징(304)에 결합된 사용자 인터페이스 또는 디스플레이를 포함하지 않는다. 그러나, 사용자 인터페이스는 통신 링크를 통해 하우징(304)(및 그 안의 부품/시스템)에 통신 가능하게 결합될 수 있다. 이에 따라, 사용자 인터페이스 및 워크스테이션(300)은 서로에 대해 원격으로 위치될 수 있다. 함께, 사용자 인터페이스 및 워크스테이션(300)(또는 복수의 워크스테이션)은 생물검정 시스템을 구성할 수 있다.
도시된 바와 같이, 카트리지(302)는 카트리지 하우징(308)의 내부에 접근을 제공하는 적어도 하나의 포트(310)를 갖는 카트리지 하우징(308)을 포함한다. 예를 들어, 제어된 반응 동안 카트리지(302)에서 사용되도록 구성된 용액은 사용자에 의해 또는 워크스테이션(300)에 의해 포트(310)를 통해 삽입될 수 있다. 시스템 용기(306) 및 카트리지(302)는 카트리지(302)가 시스템 용기(306)의 용기 공동(미도시됨)내로 삽입될 수 있도록 서로에 대해 사이징되고 형상화될 수 있다.
도 5는 도 4에 도시된 카트리지(302)의 일례의 다양한 특성을 예시한 것이다. 도 5에 도시된 바와 같이, 카트리지(302)는 샘플 어셈블리(320)를 포함할 수 있으며, 시스템 용기(306)는 광 어셈블리(322)를 포함할 수 있다. 도 5에 도시된 스테이지(346)는 이러한 것이 서로 분리될 때 제1 서브-어셈블리(320)와 제2 서브-어셈블리(322) 간의 공간적 관계를 나타낸다. 도 5에 도시된 스테이지(348)는 제1 및 제2 서브-어셈블리(320 및 322)가 함께 연결될 때를 예시한 것이다. 카트리지 하우징(308)(도 4)은 결합된 제1 및 제2 서브-어셈블리(320 및 322)를 둘러쌓을 수 있다.
예시된 예에서, 제1 서브-어셈블리(320)는 베이스(326), 및 베이스(326) 상에 탑재된 반응-구성성분 바디(324)를 포함한다. 도시되어 있지는 않지만, 하나 이상의 센서(10, 10', 10")는 반응-구성성분 바디(324) 및 베이스(326)에 의해 적어도 일부 획정된 리세스(328)에서 베이스(326)에 탑재될 수 있다. 예를 들어, 적어도 4개의 센서(10, 10', 10")는 베이스(326)에 탑재될 수 있다. 일부 예에서, 베이스(326)는 카트리지(302) 및 워크스테이션(300)(도 4)의 상이한 부품들 간의 소통을 가능하게 하는 회를 갖는 인쇄 회로 보드이다. 예를 들어, 반응-구성성분 바디(324)는 회전 밸브(330), 및 회전 밸브(330)에 유체 흐름 가능하게 연결된 시약 저장소(332)를 포함할 수 있다. 반응-구성성분 바디(324)는 또한, 추가 저장소(334)를 포함할 수 있다.
제2 서브-어셈블리(322)는 복수의 광 유도 채널(338)을 포함하는 광 어셈블리(336)를 포함한다. 각 광 유도 채널(338)은 광원(미도시됨), 예를 들어, 발광 다이오드(LED)에 광학적으로 결합된다. 광원(들)은 광 유도 채널(338)에 의해 센서(10, 10', 10") 상으로 유도되는 여기 광을 제공하도록 정위된다. 대안적인 예에서, 카트리지(302)는 광원(들)을 포함하지 않을 수 있다. 이러한 예에서, 광원(들)은 워크스테이션(300)에 위치될 수 있다. 카트리지(302)가 시스템 용기(306) 내에 삽입될 때(도 4), 카트리지(302)는 카트리지(302)의 센서(들)(10)가 비춰질 수 있도록 광원(들)과 정렬될 수 있다.
도 5에 도시된 바와 같이, 제2 서브-어셈블리(322)는 또한, 포트(342 및 344)에 유체 흐름 가능하게 연결된 카트리지 펌프(340)를 포함한다. 제1 및 제2 서브-어셈블리(320 및 322)가 함께 결합되었을 때, 포트(342)는 회전 밸브(330)에 결합되며, 포트(344)는 다른 저장소(334)에 결합된다. 카트리지 펌프(340)는 명시된 프로토콜에 따라 저장소(332 및/또는 334)에서 센서(10, 10', 10")로 반응 성분을 유도하기 위해 활성화될 수 있다.
본 명세서에 개시된 생물검정 시스템(100) 및 워크스테이션(200, 300)의 임의의 예가 본 명세서에 개시된 센서(10, 10', 10")의 임의의 예를 도입할 수 있는 것으로 이해되어야 한다. 도 6 및 도 7은 센서(10)의 일례의 일부의 단면을 예시한 것이며, 도 8 및 도 9는 센서(10')의 일례의 일부의 단면을 예시한 것이며, 도 12는 센서(10")의 일례의 일부의 단면을 예시한 것이다.
도 6 내지 도 9 및 도 12에 도시된 각 센서(10, 10', 10")는 검출 디바이스(14, 14')의 일례에 직접적으로 또는 간접적으로 결합된(즉, 이와 접촉된) 흐름 셀(12)을 포함한다. 예시된 예에서, 흐름 셀(12)은 하나 이상의 고정 메커니즘(예를 들어, 접착제, 결합, 파스너 등)을 통해 검출 디바이스(14 또는 14')에 직접적으로 고정되고, 이에 따라, 이와 물리적으로 접촉될 수 있다. 흐름 셀(12)이 검출 디바이스(14 또는 14')에 제거 가능하게 결합될 수 있는 것으로 이해되어야 한다.
본 명세서에 개시된 검출 디바이스(14, 14')는 예를 들어, 실리콘 층(들), 유전체 층(들), 금속-유전체 층(들), 금속층(들) 등을 포함하는 복수의 적층된 층(16, 16')을 포함하는 CMOS 디바이스이다. 적층된 층(16, 16')은 디바이스 회로를 구성하는데, 이는 보호 회로 및 검출 회로를 포함한다. 보호 회로 및 검출 회로는 서로 전기적으로 연결될 수 있으며(도 6 및 도 7에 도시된 바와 같음), 이에 따라, 보호 작동 및 감지/검출 작동이 서로 통합되도록 한다. 대안적으로, 보호 회로 및 검출 회로는 서로 전기적으로 절연되거나 단선될 수 있으며(도 8, 도 9 및 도 12에 도시된 바와 같음), 이에 따라, 보호 작동 및 감지/검출 작동이 서로 직교되도록 한다. 각 검출 디바이스(14, 14')의 다양한 적층된 층(16, 16')은 각각 도 7 및 도 9를 참조하여 추가로 기술된다.
검출 디바이스(14, 14')는 또한, 광학 부품, 예를 들어, 광학 센서(들)(18) 및 광도파로(들)(20)를 포함한다. 도시된 검출 디바이스(14, 14')의 각 예에서, 광학 부품은 각 광학 센서(18)가 단일 광도파로(20) 및 흐름 셀(12)의 단일 반응 부위(22)와 적어도 실질적으로 정렬하고, 이에 따라, 이와 작동 가능하게 결합되도록 배열된다. 그러나, 다른 예에서, 단일 광학 센서(18)는 하나 초과의 광도파로(20)를 통해 및/또는 하나 초과의 반응 부위(22)로부터 광자를 수용할 수 있다. 이러한 다른 예에서, 단일 광학 센서(18)는 하나 초과의 광도파로(20) 및/또는 하나 초과의 반응 부위(22)와 작동 가능하게 결합된다.
본 명세서에서 사용되는 단일 광학 센서(18)는 하나의 픽셀 또는 하나 초과의 픽셀을 포함하는 광 센서일 수 있다. 일례로서, 각 광학 센서(18)는 약 50㎛2 미만인 검출 면적을 가질 수 있다. 다른 예로서, 검출 면적은 약 10㎛2 미만일 수 있다. 또 다른 예에서, 검출 면적은 약 2㎛2 미만일 수 있다. 후자의 예에서, 광학 센서(18)는 단일 픽셀을 구성할 수 있다. 광학 센서(18)에서 각 픽셀의 평균 판독 노이즈는 예를 들어, 약 150개 미만의 전자일 수 있다. 다른 예에서, 판독 노이즈는 약 5개 미만의 전자일 수 있다. 광학 센서(들)(18)의 분해능은 약 0.5 메가픽셀(Mpixel) 초과일 수 있다. 다른 예에서, 분해능은 약 5 Mpixel 초과, 또는 약 10 Mpixel 초과일 수 있다.
또한, 본 명세서에서 사용되는 단일 광도파로(20)는 i) 여기 광(36)(센서(10)의 외부로부터 흐름 채널(32)내로 전파함)을 필터링하고 ii) 상응하는 광학 센서(들)(18) 쪽으로 이를 통해 광 방출(미도시됨, 반응 부위(22)에서의 반응으로부터 형성됨)을 전파할 수 있게 하는 경화된 필터 물질을 포함하는 광 가이드일 수 있다. 일례에서, 광도파로(20)는 예를 들어, 유기 흡수 필터일 수 있다. 특정 예로서, 유기 흡수 필터는 약 532㎚ 파장의 여기 광(36)을 필터링하고 약 570㎚ 이상의 파장의 광 방출을 허용할 수 있다. 광도파로는 먼저 유전체 층(D)에 가이드 공동을 형성시키고 이후에 적합한 필터 물질로 가이드 공동을 채움으로써 형성될 수 있다.
광도파로(20)는 광-가이딩 구조를 형성하기 위해 검출 디바이스(14, 14')의 주변 물질(예를 들어, 유전 물질(D))에 대해 구성될 수 있다. 예를 들어, 광도파로(20)는 광 방출이 광도파로(20)와 주변 유전 물질 사이의 계면에서 실질적으로 반사되도록 약 2.0의 굴절률을 가질 수 있다. 특정 예에서, 광도파로(20)는 여기 광(36)의 광학 밀도(OD) 또는 흡광도가 적어도 약 4 OD이게 선택된다. 더욱 상세하게, 필터 물질이 선택될 수 있으며, 광도파로(20)는 적어도 4 OD를 달성하기 위해 치수화될 수 있다. 다른 예에서, 광도파로(20)는 적어도 약 5 OD 또는 적어도 약 6 OD를 달성하도록 구성될 수 있다.
센서(10, 10', 10")의 흐름 셀(12)은 대향면들(26, 28)(또는 본 명세서에서 제1 대향면(26) 및 제2 대향면(28)으로서 지칭됨)을 갖는 패시베이션층(24)을 포함한다. 패시베이션층(24)의 적어도 일부분은 검출 디바이스(14, 14')의 제1 매립된 금속층(34)과, 및 또한, 광도파로(20)의 입력 영역(21)과 접촉된다. 패시베이션층(24)과 제1 매립된 금속층(34) 간의 접촉은 직접 접촉일 수 있거나(도 8, 도 9, 및 도 12에 도시된 바와 같음), 차폐층(46)을 통해 간접 접촉될 수 있다(도 6 및 도 7에 도시된 바와 같음). 일례에서, 제2 대향면(28)의 일부분은 검출 디바이스(14, 14')의 최상부층(예를 들어, 매립된 금속층(34))과 접촉한다.
패시베이션층(24)은 대향면(28) 부근에 가장 가까운 검출 디바이스(14, 14')의 매립된 금속층(34)에 대한 한 수준의 부식 보호를 제공할 수 있다. 패시베이션층(24)은 반응 부위(22)에서의 반응으로부터 형성된 광 방출(예를 들어, 가시광)에 대해 투명하고 흐름 채널(32)에 도입되거나 또는 흐름 채널에 존재할 수 있는 유체 환경 및 수분에 대해 적어도 초기에 내성적인 물질을 포함할 수 있다. 적어도 초기에 내성적인 물질은 높은 pH 시약(예를 들어, 8 내지 14 범위의 pH)에 대한 에치 배리어로서 또는 수분 배리어로서 작용한다. 패시베이션층(24)을 위한 적합한 물질의 예는 실리콘 니트라이드(Si3N4), 실리콘 옥사이드(SiO2), 탄탈 펜톡사이드(TaO5), 하프늄 옥사이드(HaO2), 붕소 도핑된 p+ 실리콘 등을 포함한다. 패시베이션층(24)의 두께는 일부, 센서(10, 10', 10") 치수에 따라 달라질 수 있다. 일례에서, 패시베이션층(24)의 두께는 약 100㎚ 내지 약 500㎚의 범위이다.
흐름 셀(12)은 또한, 패시베이션층(24)(및 그 안에 또는 그 위의 반응 부위(들)(22))과 리드(30) 사이에 흐름 채널(32)를 부분적으로 획정하기 위해 패시베이션층(24)에 작동 가능하게 연결된 리드(30)를 포함한다. 리드(30)는 반응 부위(들)(22) 쪽으로 유도되는 여기 광(26)에 대해 투명한 임의의 물질일 수 있다. 일례로서, 리드(30)는 유리(예를 들어, 보로실리케이트, 용융 실리카 등), 플라스틱 등을 포함할 수 있다. 적합한 보로실리케이트 유리의 상업적으로 입수 가능한 예에는 스캇 노쓰 아메리카사(Schott North America Inc.)로부터 입수 가능한 D 263®이 있다. 적합한 플라스틱 물질의 상업적으로 입수 가능한 예, 즉, 사이클로 올레핀 폴리머에는 제온 케미컬사(Zeon Chemicals L.P.)로부터 입수 가능한 ZEONOR® 제품이 있다.
리드(30)는 측벽(들)(38)을 통해 패시베이션층(24)에 물리적으로 연결될 수 있다. 측벽(들)(38)은 패시베이션층(24)의 대향면(26)에 결합되고, 표면(26)과 리드(30)의 내부 표면(40) 사이에서 연장한다. 일부 예에서, 측벽(들)(38) 및 리드(30)는 이러한 것(38, 30)이 물질의 연속 피스(예를 들어, 유리 또는 플라스틱)이도록 일체형으로 형성될 수 있다. 다른 예에서, 측벽(들)(38) 및 리드(30)는 서로 결합된 별도의 부품일 수 있다. 이러한 다른 예에서, 측벽(들)(38)은 리드(30)와 동일한 물질 또는 상이한 물질일 수 있다. 이러한 다른 예들 중 일부에서, 측벽(38) 중 적어도 하나는 전극 물질을 포함한다(예를 들어, 도 10c 및 도 10f 참조). 또 다른 예에서, 측벽(들)(38)은 리드(30)를 대향면(26)에 결합시키는 경화성 접착층을 포함한다.
일례에서, 리드(30)는 흐름 채널(32)의 일부분을 획정하는 적어도 실질적으로 평탄한 외부 표면(42) 및 적어도 실질적으로 평탄한 내부 표면(40)을 갖는 실질적으로 직사각형 블록일 수 있다. 블록은 측벽(들)(38) 상에 탑재될 수 있다. 대안적으로, 블록은 리드(30) 및 측벽(들)(38)을 획정하기 위해 에칭될 수 있다. 예를 들어, 리세스는 투명 블록 내로 에칭될 수 있다. 에칭된 블록이 패시베이션층(24)에 탑재될 때, 리세스는 흐름 채널(32)이 될 수 있다.
리드(30)는 흐름 채널(32)로(예를 들어, 시약 카트리지(204) 또는 다른 유체 저장 시스템(108) 구성성분으로부터) 및 흐름 채널(32)로부터(예를 들어, 폐기물 제거 시스템(216)으로) 유체(들)를 유도하기 위한 다른 포트(미도시됨)를 유체 흐름 가능하게 결합할 수 있도록 구성된 유입구 및 유출구 포트(48, 50)를 포함할 수 있다. 예를 들어, 다른 포트는 카트리지(302)(도 4) 또는 워크스테이션(300)(도 4)으로부터일 수 있다.
흐름 셀(12)은 흐름 채널(32)이 리드(30)와 패시베이션층(24)의 대향면(26) 사이에 존재하도록 사이징되고 형상화된다. 흐름 채널(32)은 반응 부위(들)(22)를 따라 유체를 유도하기 위해 사이징되고 형상화될 수 있다. 흐름 채널(32)의 높이(즉, 표면(26)에서 표면(40)까지) 및 흐름 채널(32)의 다른 치수는 반응 부위(들)(22)를 따라 유체의 실질적으로 균일한 흐름을 유지하도록 구성될 수 있다. 흐름 채널(32)의 치수는 또한, 버블 형성을 제어하도록 구성될 수 있다. 일례에서, 흐름 채널(32)의 높이는 약 50㎛ 내지 약 400㎛의 범위일 수 있다. 다른 예에서, 흐름 채널(32)의 높이는 약 80㎛ 내지 약 200㎛의 범위일 수 있다. 흐름 채널(32)의 높이가 반응 부위(22)가 패시베이션층(24)의 표면(26)에 획정된 반응 챔버(44)에 위치될 때 가장 클 수 있는 것으로 이해되어야 한다. 이러한 예에서, 반응 챔버(44)는 이러한 특정 구역에서 흐름 채널(32)의 높이를 증가시킨다.
도 6 내지 도 9 및 도 12에 도시된 예에서, 반응 부위(들)(22)는 패시베이션층(24)의 대향면(26)에 위치된다. 더욱 상세하게, 각 반응 부위(22)는 지정된 반응이 일어날 수 있는 표면(26) 상의 국소화된 영역이다. 표면(26)의 국소화된 영역은 지정된 반응(들)을 수행하거나 이러한 반응에 참여하기 위해 적합한 방식으로 작용화, 즉 화학적으로 또는 물리적으로 개질될 수 있다. 일례에서(미도시됨), 반응 부위(22)는 대향면(26) 상에 형성될 수 있는데, 이는 적어도 실질적으로 평탄하다. 다른 예(도 6 내지 도 9, 및 도 12에 도시된 바와 같음)에서, 반응 부위(22)는 대향면(26) 상에 형성될 수 있는데, 이는 패시베이션층(24)에 획정된 개방측 반응 챔버(44)의 일부이다. 개방측 반응 챔버(44)는 예를 들어, 대향면(26)을 따라 오목한 곳(indent) 또는 깊이의 변화에 의해 획정될 수 있다. 각각의 개방측 반응 챔버(44)는 단일 반응 부위(22) 또는 다수의 반응 부위(22)를 포함할 수 있다.
도 6, 도 8 및 도 12에 도시된 바와 같이, 반응 부위(22)는 대향면(26)을 따라 패턴으로 분포될 수 있다. 예를 들어, 반응 부위(22)는 마이크로어레이와 유사한 방식으로 대향면(26)을 따라 열 및 컬럼에 위치될 수 있다. 그러나, 다양한 패턴의 반응 부위(22)가 사용될 수 있는 것으로 이해된다.
일례에서, 반응 부위(22)는 단일 광도파로(20)의 입력 영역(21)과 적어도 실질적으로 정렬된다. 이와 같이, 반응(22)에서의 광 방출은 입력 영역(21)내로, 도파관(20)을 통해, 그리고, 관련된 광학 센서(18)로 유도될 수 있다. 다른 예에서, 하나의 반응 부위(22)는 수 개의 광도파로(20)의 수 개의 입력 영역(21)과 정렬될 수 있다. 또 다른 예에서, 수 개의 반응 부위(22)는 하나의 광도파로(20)의 하나의 입력 영역(21)과 정렬될 수 있다.
본 명세서에 개시된 예에서, 반응 부위(22)는 광학(예를 들어, 광) 신호를 방출하는 생물학적 또는 화학적 물질을 포함할 수 있다. 예를 들어, 반응 부위(22)의 생물학적 또는 화학적 물질은 여기 광(36)에 응답하여 광 방출을 발생시킬 수 있다. 특정 예에서, 반응 부위(22)는 대향면(26) 상에 고정된 생체분자(예를 들어, 올리고뉴클레오타이드)의 클러스터 또는 콜로니를 포함한다.
상기에 주지된 바와 같이, 패시베이션층(24)은 적어도 초기에 흐름 채널(32)에 존재할 수 있는 유체 환경 및 수분에 대해 내성적이다. 그러나, 시간에 따라 그리고 센서 사용과 함께, 패시베이션층(24)이 높은 pH 시약(예를 들어, 8 내지 14 범위의 pH) 및/또는 수분의 존재 하에서 약해질 수 있고, 에칭, 크랙 등에 더욱 취약해질 수 있다는 것이 발견되었다. 본 명세서에 개시된 센서(10, 10', 10")의 예는 다른 수준의 부식 보호를 제공하기 위해 보호 회로(패시베이션층(24)에 추가로)를 포함한다. 일부 예에서, 보호 회로는 시약 전극(52) 및 검출 디바이스(14, 14')의 매립된 금속층(34)을 포함한다. 매립된 금속층(34)이 패시베이션층(24)에 인접한 CMOS 검출 디바이스(14, 14')의 금속층인 것으로 이해되어야 한다. 일부 예에서, 이러한 층(34)은 캐소드 또는 애노드 보호를 제공하기 위한 것이다. 다른 예에서, 이러한 층(34)은 반-수동적 보호를 제공하기 위한 것이다. 또 다른 예에서, 보호 회로는 시약 전극(52)과 함께 또는 이의 없이, 검출 디바이스(14')의 매립된 금속층(34)을 포함한다. 이러한 또 다른 예에서, 매립된 금속층(34)은 검출 회로로부터 전기적으로 절연되고, 수동적 보호를 제공하기 위해 접지로 설정된 검출 디바이스(14')의 가변 전극이다.
센서(10, 10')(도 6 내지 도 9)에서, 시약 전극(52)은 흐름 채널(32) 내에 도입된 시약과 접촉(예를 들어, 물리적 및 전기적 접촉)될 수 있도록 흐름 채널(32) 내의 임의의 위치에 정위될 수 있다. 시약 전극(52)은 흐름 채널(32)을 획정한 임의의 부품과는 별개의 부품일 수 있거나, 리드(30)에 고정될 수 있거나, 측벽(38)에 고정될 수 있거나, 측벽(38)을 형성할 수 있다. 시약 전극(52)의 다양한 구성은 도 10a 내지 도 10h에 도시되고 기술된다. 시약 전극(52)의 치수는 흐름 채널(32)내에 어떻게 통합되는 지에 따를 것이다.
시약 전극(52)은 임의의 적합한 전극 물질, 예를 들어, 금(Au), 은(Ag), 염화은(AgCl), 백금(Pt) 등일 수 있다.
본 명세서에 개시된 임의의 센서(10, 10', 10")에서, 매립된 금속층(34)은 임의의 적합한 CMOS 금속, 예를 들어, 알루미늄(Al), 알루미늄 클로라이드(AlCu), 텅스텐(W), 니켈(Ni), 또는 구리(Cu)일 수 있다.
예(10, 10')에서, 시약 전극(52)은 제어기(104, 104')를 통해 검출 디바이스(14, 14')의 매립된 금속층(34)에 전기적으로 연결된다. 일례에서, 시약 전극(52) 및 매립된 금속층(34)은 제어기(104, 104')의 보호 모듈(134)(정전위기를 포함할 수 있음)을 통해 전기적으로 연결된다. 상기에 기술된 바와 같이, 보호 모듈(134)은 시약 전극(52)과 매립된 금속층(34) 사이에 전기 바이어스를 설정하기 위해 사용될 수 있으며, 그러한 것은 시약으로부터(흐름 채널(32)에서 그리고 시약 전극(52)과 접촉하여) 매립된 금속층(34)까지 오프셋된다.
도 7을 참조하면, 센서(10)의 일부분이 도시된다. 센서(10)의 이러한 예에서, 검출 디바이스(14)는 복수의 적층된 층(16)을 포함한다. 더욱 상세하게, 도 7에는 단일 광학 센서(18), 광학 센서(18) 쪽으로 광 방출을 유도하기 위한 단일 광도파로(20), 및 (이에 대한 캐소드 또는 애노드 보호를 제공하기 위해) 전기 바이어스를 매립된 금속층(34)에 선택적으로 인가하고 또한 광학 센서(18)에 의해 검출된 광 방출(예를 들어, 광자)을 기초로 한 신호를 송신하기 위한 통합된 보호 및 검출 회로(54)가 도시되어 있다.
이러한 예에서, 매립된 금속층(34)은 CMOS AVdd 라인의 기능적 부분이고, 회로(54)를 통해, 또한 광학 센서(18)에 전기적으로 연결된다. 이에 따라, 매립된 금속층(34)은 검출/감지 작동에 참여한다. 이러한 예에서, 매립된 금속층(34)은 또한, 제어기(104, 104')를 통해 시약 전극(52)에 연결된다. 이에 따라, 매립된 금속층(34)은 또한, 캐소드 또는 애노드 보호 작동에 참여한다. 이러한 예에서, 단일 제어기(104, 104')는 보호 기능 및 검출 기능 둘 모두를 수행할 수 있다.
센서(10)의 다른 광학 센서(18)(도 6) 및 관련된 구성성분이 동일하거나 유사한 방식으로 구성될 수 있는 것으로 이해되어야 한다. 그러나, 또한, 검출 디바이스(14)가 전반적으로 동일하게 또는 균일하게 제작될 수 없는 것으로 이해되어야 한다. 대신에, 하나 이상의 광학 센서(18) 및/또는 관련된 구성성분은 상이하게 제작될 수 있거나, 서로에 대해 상이한 관계를 가질 수 있다.
통합된 보호 및 검출 회로(54)는 전기 전류를 수행할 수 있는 상호연결된 전도성 부재(예를 들어, 전도체, 트레이스(trace), 비아(via), 인터커넥트(interconnect) 등)를 포함할 수 있다. 회로(54)는 전기 바이어스를 선택적으로 인가하고 검출된 광자를 기초로 한 데이터 신호를 송신하기 위해 구성될 수 있다. 회로(54)는 또한, 신호 증폭, 디지털화, 저장, 및/또는 처리를 위해 구성될 수 있다. 회로(54)는 검출된 광 방출을 수집하고 분석하고, 검출 데이터를 생물검정 시스템(100)(도 1)에 소통하기 위한 데이터 신호를 발생시킬 수 있다. 회로(54)는 또한, 검출 디바이스(14)에서 추가적인 아날로그 및/또는 디지털 신호 처리를 수행할 수 있다.
검출 디바이스(14)는 집적 회로 제작 공정, 예를 들어, 상보성-금속 옥사이드 반도체(CMOS)를 제작하기 위해 사용되는 공정을 이용하여 제작될 수 있다.
검출 디바이스(14)는 층(56 내지 66)을 포함할 수 있으며, 이는 센서 베이스/층(56)(예를 들어, 실리콘 층 또는 웨이퍼)을 포함한다. 센서 베이스(56)는 광학 센서(18)를 포함할 수 있다. 검출 디바이스(14)가 완전히 형성되었을 때, 광학 센서(18)는 게이트(들), 트랜지스터(들) 등을 통해 회로(54)에 전기적으로 결합될 수 있다.
본 명세서에서 사용되는 용어 "층"은 달리 주지하지 않는 한, 물질의 단일 연속 바디로 제한되지 않는다. 예를 들어, 센서 베이스/층(56)은 상이한 물질인 다수의 서브-층들을 포함할 수 있고/거나 코팅, 접착제 등을 포함할 수 있다. 또한, 층들(또는 서브-층들) 중 하나 이상은 본 명세서에 기술된 특성을 제공하기 위해 개질될 수 있다(예를 들어, 에칭되고, 물질로 증착 등이 될 수 있다).
디바이스 층(16)은 또한, 복수의 금속-유전체 층(58 내지 66)을 포함한다. 이러한 층들(58 내지 66) 각각은 금속성 원소(예를 들어, M1 내지 M5, 이는 예를 들어, W(텅스텐), Cu(구리), Al(알루미늄), 또는 임의의 다른 적합한 CMOS 전도성 물질일 수 있음) 및 유전체 물질(D)(예를 들어, SiO2)를 포함한다. 다양한 금속성 원소 M1 내지 M5 및 유전체 물질(D), 예를 들어, 집적 회로 제작을 위해 적합한 것이 사용될 수 있다.
도 7에 도시된 예에서, 각각의 복수의 금속-유전체 층(58 내지 66)은 금속성 원소 M1, M2, M3, M4, M5, 및 유전체 물질(D) 모두를 포함한다. 각 층(58 내지 66)에서, 금속성 원소 M1, M2, M3, M4, M5는 상호연결되고 유전체 물질(D) 내에 매립된다. 일부 금속-유전체 층(58, 60, 62)에서, 추가 금속성 원소 M2', M3', M4'가 또한 포함된다. 일부 이러한 금속성 원소 M2' 및 M3'는 열 및 컬럼 선택기를 통해 개별 픽셀을 다루기 위해 사용될 수 있다. 이러한 원소 M2' 및 M3'에서의 전압이 달라질 수 있으며, 센서(10)를 픽셀함에 따라 약 -1.4 V 내지 약 4.4 V의 스위치가 판독된다.
도 6 및 도 7에서 금속성 원소 M1, M2, M3, M4, M5 및 유전체 층 D의 구성은 통합된 보호 및 검출 회로(54)를 예시하는 것이며, 다른 예가 더 적은 또는 추가 층을 포함할 수 있고/거나 금속성 원소 M1 내지 M5의 상이한 구성을 가질 수 있는 것으로 이해되어야 한다.
도 7에 도시된 예에서, 검출 디바이스(14)는 또한, 패시베이션층(24)의 제2 대향면(28)의 적어도 일부분과 접촉한 차폐층(46)을 포함한다. 차폐층(36)은 광도파로(20)의 입력 영역(21)에 적어도 부분적으로 인접한 개구(70)를 갖는다. 이러한 개구(70)는 반응 부위(22)(및 이로부터의 광 방출의 적어도 일부)를 도파로(20)에 광학적으로 연결될 수 있게 한다. 단일 개구(70)가 도시되어 있지만, 차폐층(46)이 검출 디바이스(14)에서 각 광도파로(20)의 입력 영역(21)에 적어도 부분적으로 인접한 개구(70)를 가질 수 있는 것으로 이해되어야 한다. 차폐층(46)은 인접한 개구들(70) 사이에 연속적으로 연장할 수 있다.
도 7에 예시된 바와 같이, 차폐층(46)은 매립된 금속층(34)의 적어도 일부분을 따라 직접적으로 증착될 수 있다.
차폐층(46)은 흐름 채널(32)을 통해 전파되는 광 신호를 차단, 반사, 및/또는 현저하게 감쇠시킬 수 있는 임의의 물질을 포함할 수 있다. 광 신호는 반응 부위(들)(22)로부터의 여기 광(36) 및/또는 광 방출일 수 있다. 일례로서, 차폐층(46)은 텅스텐(W)일 수 있다.
도 9를 참조하면, 센서(10')의 일부분이 도시되어 있다. 센서(10')의 이러한 예에서, 검출 디바이스(14')는 복수의 적층된 층(16')을 포함한다. 더욱 상세하게, 도 9는 단일 광학 센서(18), 광 방출을 광학 센서(18) 쪽으로 유도하기 위한 단일 광도파로(20), 및 분리된 보호 회로(72) 및 검출 회로(74)를 도시한다. 보호 회로(72)는 임베딩 금속층(34)에 대한 캐소드 또는 애노드 보호를 제공하기 위해 전기 바이어스를 선택적으로 인가한다. 검출 회로(74)는 광학 센서(18)에 의해 검출된 광 방출(예를 들어, 광자)을 기초로 한 신호를 전송한다. 2 세트의 회로(72, 74)는 전기 절연 갭(76)에 의해 분리된다. 더욱 상세하게, 캐소드 또는 애노드 보호를 수용하는 매립된 금속층(34)은 갭(76)에 의해 검출 디바이스 회로(74)(광학 센서(18)에 전기적으로 연결됨)로부터 이격된다. 이러한 전기 절연 갭(76)은 감지/검출 작동에 대해 직교하는 전기 바이어스의 인가를 제공한다.
이러한 예에서, 시약 전극(52)은 보호 회로(72)에 및 특히, 매립된 금속층(34)에, 제어기(104)를 통해, 전기적으로 연결된다. 센서(10')의 이러한 예는 또한, 제2 제어기(104')를 포함하는데, 이는 CMOS 회로에 대해 외부에 있고, 검출 회로(74)의 입력 구성성분(들)에 전기적으로 연결된다. 도시된 바와 같이, 제2 제어기(104')는 검출 회로(74)의 최상부 매립된 금속층과 같은 CMOS 센서의 입력 전압에 연결된다. 도시된 예에서, 제2 제어기(104')는 금속성 원소 M3의 상부에 연결된다. 이러한 예에서, 제어기(104)는 보호 기능(즉, 시약 전극(52)을 애노드로 제공하고 매립된 금속층(34)을 캐소드로 제공하는 바이어스를 선택적으로 인가함)을 유도할 수 있으며, 제어기(104')는 검출 기능을 유도할 수 있다.
센서(10')(도 8)의 다른 광학 센서(18) 및 관련된 구성성분이 동일하거나 유사한 방식으로 구성될 수 있는 것으로 이해되어야 한다. 그러나, 검출 디바이스(14')가 전반적으로 동일하게 또는 균일하게 제작되지 않을 수 있는 것으로 이해되어야 한다. 대신에, 하나 이상의 광학 센서(18) 및/또는 관련된 구성성분이 상이하게 제작되거나 서로에 대해 상이한 관계를 가질 수 있다.
보호 회로(72) 및 검출 회로(74) 각각은 전기 전류를 전도할 수 있는 상호연결된 전도성 원소(예를 들어, 전도체, 트레이스, 비아, 인터커넥트 등)를 포함할 수 있다. 보호 회로(74)는 매립된 금속층(34)에 캐소드 또는 애노드 보호를 제공하기 위해 전기 바이어스를 선택적으로 인가하기 위해 구성될 수 있으며, 검출 회로는 검출된 광자를 기초로 하여 데이터 신호를 전송하기 위해 구성될 수 있다. 회로(74)는 또한, 신호 증폭, 디지털화, 저장, 및/또는 처리를 위해 구성될 수 있다. 회로(74)는 검출된 광 방출을 수집 및 분석하고, 생물검정 시스템(100)(도 1)에 검출 데이터를 소통하기 위해 데이터 신호를 발생시킬 수 있다. 회로(74)는 또한 검출 디바이스(14)에서 추가 아날로그 및/또는 디지털 신호 처리를 수행할 수 있다.
검출 디바이스(14')는 집적 회로 제작 공정, 예를 들어, 상보성-금속 옥사이드 반도체(CMOS)를 제작하기 위해 사용된 공정을 이용하여 제작될 수 있다.
검출 디바이스(14)와 같이, 검출 디바이스(14')는 또한, M1 내지 M5(예를 들어, W(텅스텐), Cu(구리), 또는 Al(알루미늄))를 포함하는 수 개의 금속-유전체 층, 및 유전체 물질(D)(예를 들어, SiO2)를 포함할 수 있다.
도 9에 도시된 예에서, 검출 회로(74)의 금속성 원소 M1, M2, M3는 상호연결되고, 유전체 물질(D)내에 임베딩되며, 보호 회로(72)의 금속성 원소 M4, M5는 상호연결되고, 유전체 물질(D) 내에 매립된다. 전기 절연 갭(76)은 유전체 물질(D)로 채워진다. 검출 회로(74)의 일부 금속-유전체 층에서, 추가 금속성 원소 M2', M3', 및 M4'가 또한 포함된다.
도 8 및 도 9에서 금속성 원소 M1 내지 M5 및 유전체 층 D의 구성은 분리된 보호 회로(72) 및 검출 회로(74)를 예시한 것이며, 다른 예가 더 적은 또는 추가적인 층을 포함할 수 있고/거나 금속성 원소 M1 내지 M5의 상이한 구성을 가질 수 있는 것으로 이해되어야 한다.
검출 디바이스(14, 14')가 전기적 부품들 사이에 추가 전기 절연 갭을 포함할 수 있는 것으로 이해되어야 한다. 예를 들어, 유전체 물질(D)는 디바이스(14, 14')의 상이한 전압 층을 분리할 수 있다.
도시되어 있지는 않지만, 보호 회로(54, 72)는 시약 전극(52), 매립된 금속층(34), 및 기준 전극(시약 전극(52)과 유사하게 제작됨)을 포함하는 3 전극 시스템일 수 있다. 기준 전극은 제어기(104, 104')에 연결될 수 있고, 전기 바이어스를 감지하기 위해 사용될 것이다. 기준 전극의 부가와 함께, 전기 바이어스의 감지 및 인가가 더욱 정확할 수 있다.
또한, 도시되어 있지는 않지만, 보호 모듈(134)(일부 예에서, 정전위기)은 CMOS 회로 내에 통합될 수 있다. 이러한 예에서, 제어기(104, 104')는 회로의 적절한 내부 전압 설정 또는 입력에 연결될 수 있다.
도 12를 참조하면, 수동적 보호를 위한 센서(10")의 예의 일부분이 도시된다. 도 12에 도시된 센서(10")는 도 8에 도시된 센서(10')와 유사하고, 시약 전극(52)이 포함되지 않은 것을 제외하고 도 8 및 도 9를 참조로 하여 기술된다. 이러한 예에서, 보호 회로(72)는 매립된 금속층(34)을 접지하며, 검출 회로(74)는 광학 센서(18)에 의해 검출된 광 방출(예를 들어, 광자)을 기초로 한 신호를 전송한다. 2 세트의 회로(72, 74)는 전기 절연 갭(76)에 의해 분리된다. 더욱 상세하게, 접지된(그리고 이에 따라 수동적 보호를 수용하는) 매립된 금속층(34)은 갭(76)에 의해 다른 디바이스 회로(74)(광학 센서(18)에 전기적으로 연결됨)로부터 이격된다. 이러한 전기 절연 갭(76)은 감지/검출 작동에 대해 직교하는 매립된 금속층(34)의 접지를 제공한다.
일례에서, 센서(10")는 대향면들(26, 28) 및 대향면들 중 제1 면(26)의 반응 부위(22)를 갖는 패시베이션층(24), 및 리드(30)와 반응 부위(22) 사이에 흐름 채널(43)을 부분적으로 획정하기 위해 패시베이션층(24)에 작동 가능하게 연결된 리드(30)를 포함하는 흐름 셀(12); 패시베이션층(24)의 대향면들 중 제2 면(28)과 접촉하는 검출 디바이스(14')로서, 해당 검출 디바이스(14')의 다른 검출 회로(74)로부터 전기적으로 절연된 매립된 금속층(34)을 포함하는, 상기 검출 디바이스(14'); 및 매립된 금속층(34)을 접지하기 위한 제어기(104)를 포함한다. 일부 예에서, 센서(10")는 광학 센서(18)에 의해 검출된 광자에 응답하여 데이터 신호를 전송하기 위해 검출 디바이스(14')의 다른 검출 회로(74)에 전기적으로 연결된 광학 센서(18); 및 매립된 금속층(34)과 다른 검출 회로(74) 사이의 전기적 비-전도성 갭(76)을 더 포함한다. 이러한 예는 광학 센서(18)를 다른 검출 회로(74)에 전기적으로 연결시키는 제2 제어기(104')를 더 포함할 수 있다.
다른 예에서, 센서(10")는 광도파로(20)를 포함하는 검출 디바이스(14'); 광도파로(20)와 작동 가능하게 결합된 광학 센서(18); 및 제1 매립된 금속층(34), 및 광학 센서(18)에 전기적으로 연결된 제2 매립된 금속층(검출 회로(74)의 일부)을 포함하는 디바이스 회로(16')로서, 제1 매립된 금속층(34)이 전기 절연 갭(76)에 의해 제2 매립된 금속층으로부터 이격된, 상기 디바이스 회로; 제1 매립된 금속층(34) 및 광도파로(20)의 입력 영역(21)과 접촉하는 패시베이션층(24)의 적어도 일부분으로서, 광도파로(20)의 입력 영역(21)에 적어도 부분적으로 인접한 반응 부위(22)를 갖는, 상기 패시베이션층(24)의 적어도 일부분; 리드(30)와 반응 부위(32) 사이에서 흐름 채널(32)을 부분적으로 획정하기 위해 패시베이션층(24)에 작동 가능하게 연결된 리드(30); 제1 매립된 금속층(34)을 선택적으로 접지하기 위해 제1 매립된 금속층(34)에 전기적으로 연결된 제1 제어기(104); 및 광학 센서(18)에 의해 검출된 광자에 응답하여 데이터 신호를 전송하기 위해 광학 센서(18)에 제2 매립된 금속층을 전기적으로 연결시키는 제2 제어기(104')를 포함한다.
센서(10, 10')의 예에서 상술된 바와 같이, 시약 전극(52)의 다양한 구성이 사용될 수 있다. 일례는 도 6 내지 도 9에 도시되어 있으며, 여기서, 시약 전극(52)은 리드(30)의 내부 표면(40)의 적어도 일부분에 연결된다. 전극(52)은 접착제를 통해 연결될 수 있다. 시약 전극(52)을 결합, 고정, 또는 연결시키기 위한 다른 메커니즘이 또한 사용될 수 있다.
시약 전극(52)의 다른 구성은 도 10a 내지 도 10h에 도시되고 기술되어 있다. 본 명세서 전반에 걸쳐, 통합된 보호 및 검출 회로(54)(및 이에 따라 검출 디바이스(14) 또는 분리된 보호 회로(72) 및 검출 회로(74)(및 이에 따라, 검출 디바이스(14'))가 사용될 수 있고, 이에 따라, 다양한 금속성 원소 M 및 유전체 물질(D)이 도시되지 않는 것으로 이해되어야 한다.
도 10a에서, 시약 전극(52)은 리드(30)의 내부 표면(40)의 일부분에 연결되고 또한 리드(30)에 획정된 유체 포트의 적어도 일부분(즉, 유입구 포트(48) 또는 유출구 포트(50)) 상에 배치된 층을 포함한다. 이러한 예에서, 시약 전극(52)은 제어기(104, 104')에 또는 전도성 부품(78)(예를 들어, 전도성 접착제, 전도성 트레이스, 및/또는 전도성 커넥터 등, 및/또는 이들의 조합)을 통해 통합된 보호 및 검출 회로(54) 또는 보호 회로(72)의 다른 전기적 부품에 전기적으로 연결할 수 있다. 전도성 트레이스, 커넥터 등은 금속 또는 전도성 폴리머일 수 있다. 이러한 예에서, 전도성 부품(78)은 패시베이션층(24)에서 개구를 통해 연장하고, 금속 전도체 또는 커넥터(80)와 같은, 다른 전도성 부품에 전기적으로 연결한다.
도 10b에서, 시약 전극(52)은 리드(30)의 외부 표면(44)의 일부분에 연결되고 또한 리드(30)에 획정된 유체 포트의 적어도 일부분(즉, 유입구 포트(48) 또는 유출구 포트(50)) 상에 배치된 층을 포함한다. 이러한 예에서, 시약 전극(52)은 하나 이상의 전도성 부품(미도시됨)을 통해 제어기(104, 104')에 전기적으로 연결할 수 있다.
도 10c에서, 시약 전극(52)은 리드(30)의 내부 표면(40)의 일부분에 연결되고 흐름 채널(32)의 측벽(38)을 형성하는 층을 포함한다. 이와 같이, 전극(52)은 항상 측벽(38) 중 하나이다. 이러한 예에서, 시약 전극(52)의 측벽(38) 부분은 리드(30)의 내부 표면(40)의 부분에 연결된 시약 전극(52)의 다른 부분을 통해 그리고 또한 (패시베이션층(24)에 개구를 통해 정위된) 전도성 부품(78)을 통해 제어기(104, 104')에 전기적으로 연결할 수 있다. 도 10c에 도시된 예에서, 전도성 부품(78)은 금속 전도체 또는 커넥터(80)에 전기적으로 연결한다.
도 10d에서, 리드(30)는 흐름 채널(32)의 측벽(38)을 획정하는 특징부(82)를 포함한다. 특징부(82)는 리드(30)와 함께 일체형으로 형성되고, 리드(30)의 적어도 실질적으로 평탄한 부분으로부터 연장하는 돌출부이다. 이러한 예에서, 시약 전극(52)은 특징부(82) 상에 배치된 층을 포함한다. 시약 전극(52)은 특징부(82) 둘레를 등각으로 랩핑한다. 시약 전극(52) 층은 또한, 리드(30)의 내부 표면(40)의 일부분에 연결될 수 있다. 이러한 예에서, 시약 전극(52) 층은 제어기(104, 104')에 또는 전도성 부품(78)을 통해 통합된 보호 및 검출 회로(54) 또는 보호 회로(72)의 다른 전기적 부품에 전기적으로 연결할 수 있다. 이러한 예에서, 전도성 부품(78)은 패시베이션층(24)에서 개구를 통해 연장하고, 금속 전도체 또는 커넥터(80)에 전기적으로 연결한다.
도 10e는 도 6 내지 도 9에 도시된 예와 유사한 것으로서, 여기서, 시약 전극(52)은 리드(30)의 내부 표면(40)의 일부분에 연결된다. 이러한 예에서, 시약 전극(52) 층은 제어기(104, 104')에 또는 전도성 부품(78)을 통해 통합된 보호 및 검출 회로(54) 또는 보호 회로(72)의 다른 전기적 부품에 전기적으로 연결할 수 있다. 이러한 예에서, 전도성 부품(78)은 패시베이션층(24)에서 개구를 통해 연장하고, 금속 전도체 또는 커넥터(80)에 전기적으로 연결한다.
도 10f는 시약 전극(52)이 리드(30)의 내부 표면(40)의 일부분에 연결되고 흐름 채널(32)의 측벽(38)을 형성하는 층을 포함한다는 점에서 도 10c와 유사하다. 그러나, 이러한 예에서, 시약 전극(52)의 측벽(38) 부분은 패시베이션층(24)에서 개구를 통해 연장하고, 이에 따라, 제어기(104, 104')에 전기적으로 연결하는 금속 전도체 또는 커넥터(80)에 전기적으로 연결하고 직접적으로 기계적으로 연결한다.
도 10g에서, 패시베이션층(24)은 그 위에 획정되거나 그 안에 매립된 시약 전극(52)을 갖는다. 도시된 예에서, 시약 전극(52)은 패시베이션층(24)에 임베딩되어 있다. 패시베이션층(24)은 그 안에 획정된(이의 전체 두께를 통해) 개구(예를 들어, 패드 개구)를 포함하며, 시약 전극(52)은 패시베이션층 개구에 중첩된 웰(84)을 획정한다. 이러한 예에서, 시약 전극(52)은 패시베이션층(24)에서 개구를 통해 연장하고, 금속 전도체 또는 커넥터(80)에 직접적으로 그리고 전기적으로 연결한다.
도 10g와 같이, 도 10h에 도시된 예는 패시베이션층(24)을 통해 획정된 개구(예를 들어, 패드 개구)를 포함한다. 그러나, 이러한 예에서, 시약 전극(52)은 개구를 통해 노출된다. 이러한 예에서, 시약 전극(52)은 패시베이션층(24) 아래에 정위되고, 금속 전도체 또는 커넥터(80)에 전기적으로 연결한다. 개구는 패드 개구이며, 도시되어 있지는 않지만, 시약 전극(52)은 매립된 금속층(34)과 동일 평면 상에 있다.
본 명세서에 개시된 방법의 일례에서, 센서(10, 10')의 임의의 예가 사용될 수 있다. 방법(400)의 일례는 도 11에 도시되어 있다. 도 11의 참조 번호 402로 도시된 바와 같이, 방법(400)은 대향면들 및 대향면들 중 제1 면에서의 반응 부위를 갖는 패시베이션층, 및 리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀; 패시베이션층의 대향면들 중 제2 면과 접촉하고 매립된 금속층을 포함하는 검출 디바이스; 및 매립된 금속층에 전기적으로 연결되고 흐름 채널 내로 도입된 시약과 접촉하도록 정위된 시약 전극을 포함하는 센서의 흐름 채널에 시약을 도입하는 것을 포함한다. 참조 번호 404로 도시된 바와 같이, 방법(400)은 또한, 시약의 적어도 일부의 반응 성분을 포함하는 반응 부위에서 반응에 대한 응답으로 센서의 감지 작동을 수행하는 것을 포함한다. 참조 번호 406으로 도시된 바와 같이, 방법(400)은 또한, 감지 작동 동안에, 시약 전극을 애노드 또는 캐소드 중 하나로 제공하고 매립된 금속층을 캐소드 또는 애노드 중 다른 하나로 제공하는 전기 바이어스를 인가하여, 매립된 금속층에 캐소드 보호 또는 애노드 보호를 제공하는 것을 포함한다.
시약은 센서(10, 10')의 흐름 채널(32)내로 도입된다(도 11의 참조 번호 402). 시약은 수성일 수 있고(즉, 물을 포함함), 염(들), 금속(들), DNA 프라이머(들), 완충제(들), 활성 성분(들) 등을 포함할 수 있다. 일례에서, 시약은 약 6.5 내지 약 10 범위의 pH, 및 약 45 mS/cm 내지 약 85 mS/cm 범위의 전도도를 갖는다.
시약은 반응 부위(22)를 따라 흐르도록 유도될 수 있으며, 여기서, 반응은 적어도 시약의 성분과 반응 부위(22)의 성분 간에 일어난다. 예를 들어, 시약들 중 적어도 하나는 동일하거나 상이한 형광 라벨을 갖는 4가지 타입의 뉴클레오타이드를 포함할 수 있으며, 여기서, 뉴클레오타이드는 반응 부위(22)에 위치된 상응하는 올리고뉴클레오타이드에 결합한다.
본 방법은 시약의 적어도 일부 반응 성분과 관련된 반응 부위(22)에서의 반응(들)에 응답하여 센서(10, 10')의 감지 작동을 수행하는 것을 포함한다(도 11의 참조 번호 404). 일례로서, 감지 작동은 여기 광원(예를 들어, 고체-상태 광원, 예를 들어, 발광 다이오드 또는 LED)을 이용하여 반응 부위(22)를 비추는 것을 포함할 수 있다. 여기된 형광 라벨은 광학 센서(18)에 의해 검출될 수 있는 방출 신호를 제공한다.
본 방법은 또한, 시약 전극(52)을 애노드로 제공하고 매립된 금속층(34)을 캐소드로 제공하는 전기 바이어스를 (감지 작동 동안) 인가하여, 매립된 금속층(34)의 캐소드 또는 애노드 보호를 제공하는 것을 포함한다(도 11의 참조 번호 406). 바이어스의 인가는 이전에 기술된 바와 같이 통합된 보호 및 검출 회로 54 또는 분리된 보호 회로(74)를 이용하여 달성될 수 있다.
바이어스는 요망되는 애노드 또는 캐소드 보호를 달성하는 임의의 적합한 방법에 따라 설정될 수 있다. 일례에서, 최대 바이어스는 가장 민감한 시약의 가장 낮은 산화 전위 미만이다. 예를 들어, 최대 바이어스는 버블 형성을 완화시키기 위해 물의 산화 전위로 제한될 수 있다. 최대 바이어스는 시약 및 센서(10, 10')의 허용 오차에 따라 달라질 수 있다.
바이어싱을 위한 관계는 실험적으로 결정되고 이후에, 사용된 시약이 공지되고 제어되기 때문에, 생물검정 시스템(100)을 통해 유체 제어 및 전기 바이어스 제어기(예를 들어, 보호 모듈(134)) 사이에서 동기화될 수 있다.
전기 바이어스는 시약의 pH를 기초로 하여 조정될 수 있다. 예를 들어, 관련된 금속에 대한 분석 Pourbaix 다이어그램(전위/pH 다이어그램)이 사용될 수 있다. 바이어스는 다이어그램의 안정하거나 보호된 상에서 측정된 pH에 대한 전위를 유지시키기 위해 사전 계산된 Pourbaix 다이어그램을 이용할 것이다.
본 방법의 다른 예는 반-수동적 부식 방지를 제공하는 것을 포함한다. 센서(10, 10')의 임의의 예는 이러한 반-수동적 부식 방지 방법에서 사용될 수 있다. 이러한 예에서, 본 방법은 대향면들(26, 28) 및 대향면들 중 제1 면(26)에서의 반응 부위(22)를 갖는 패시베이션층(24), 및 리드(30)와 반응 부위(22) 사이에 흐름 채널(32)을 부분적으로 획정하기 위해 패시베이션층(24)에 작동 가능하게 연결된 리드(30)를 포함하는 흐름 셀(12); 패시베이션층(24)의 대향면들 중 제2 면(28)과 접촉하는 검출 디바이스(14, 14')로서, 매립된 금속층(34)을 포함하는 상기 검출 디바이스(14, 14'); 및 매립된 금속층(34)에 전기적으로 연결되고 흐름 채널(32)내에 도입된 시약과 접촉되도록 정위된 시약 전극(52)을 포함하는 센서(10, 10')의 흐름 채널(32)에 시약을 도입하는 것을 포함한다. 이러한 반-수동적 부식 방지 방법은 또한, 시약의 적어도 일부 반응 성분에 관여하는 반응 부위(22)에서의 반응에 응답하여 센서(10, 10')의 감지 작동을 수행하는 것을 포함할 수 있다. 이러한 반-수동적 부식 방지는 또한, 감지 작동 동안, 반-수동적 상태에서 시약 전극(52) 및 매립된 금속층(34)을 제공하는 전기 바이어스를 인가하여, 매립된 금속층(34)에 반-수동적 보호를 제공하는 것을 포함한다. 일례에서, 반-수동적 보호를 달성하기 위한 전기 바이어스는 약 300 μV이다.
본 방법의 다른 예는 또한, 수동적 부식 방지를 제공하는 것을 포함한다. 센서(10")의 임의의 예는 이러한 예시적 방법에서 사용될 수 있다. 이러한 예에서, 본 방법은 대향면들(26, 28) 및 대향면들 중 제1 면(26)에서의 반응 부위(22)를 갖는 패시베이션층(24), 및 리드(30)와 반응 부위(22) 사이에 흐름 채널(32)을 부분적으로 획정하기 위해 패시베이션층(24)에 작동 가능하게 연결된 리드(30)를 포함하는 흐름 셀(12); 및 패시베이션층(24)의 대향면들 중 제2 면(28)과 접촉하는 검출 디바이스(14')로서, 검출 디바이스의 다른 검출 회로(74)로부터 전기적으로 절연된 매립된 금속층(34)을 포함하는 상기 검출 디바이스(14')를 포함하는 센서(10")의 흐름 채널(32)에 시약을 도입하는 것을 포함한다. 이러한 방법은 또한, 시약의 적어도 일부 반응 성분에 관여된 반응 부위(22)에서의 반응에 응답하여 센서(10")의 감지 작동을 수행하는 것을 포함한다. 본 방법은 또한, 감지 작동 동안, 매립된 금속층(34)을 접지하여 매립된 금속층(34)에 수동적 보호를 제공하는 것을 포함한다. 본 방법의 이러한 예는 본 명세서에 기술된 바와 같은 시약 전극(52)을 사용할 수 있거나 사용하지 않을 수 있으며, 이에 따라, 시약(시약 전극을 갖는 않는 예에서)은 명시적인 기준 전압을 가지지 않는다.
상기에 언급된 바와 같이, 본 명세서에 개시된 방법의 예는 CMOS 층의 부식률을 적어도 여러 자릿수 배 감소시킬 수 있다. 본 방법(들)은 또한 깊은 부식 결함(즉, 물리적 크랙을 통한 시약 노출의 결과로서 에칭되는 CMOS의 더 낮은 금속층(들)(예를 들어, 2M, 3M))의 발생을 감소시킬 수 있다. 일부 경우에, 본 방법은 깊은 부식 결함을 제거한다(즉, 보호 바이어스가 인가될 때 깊은 부식 결함의 경우가 존재하지 않는다). 다른 경우에, 본 방법은 예를 들어, 80% 이상(보호 바이어스가 인가되지 않음)에서 0% 내지 10%(보호 바이어스가 인가될 때)로 깊은 부식 결함의 백분율을 감소시킨다. 본 방법(들)은 또한 부식 손상률을 감소시킬 수 있다. 부식 손상은 중요한 특성(signature feature)이 이미지 센서로부터 출력된 이미지에서 관찰될 때 검출될 수 있으며, 여기서, 중요한 특성은 이전에 부식 결함과 상관 관계가 있는 것이다. 일부 경우에, 수동적 보호 방법은 70% 이상(수동적 보호가 적용되지 않음)에서 약 15% 내지 약 20%(수동적 보호가 적용됨)으로 부식 손상률을 감소시킨다. 다른 경우에, 캐소드 또는 애노드 보호 방법은 70% 이상(캐소드 또는 애노드 보호가 적용되지 않음)에서 약 5% 내지 약 15%(캐소드 또는 애노드 보호가 적용됨)으로 부식 손상률을 감소시킨다.
본 개시내용을 추가로 예시하기 위하여, 본 명세서에는 실시예가 제공된다. 이러한 실시예가 예시 목적을 위해 제공되는 것으로서, 본 개시내용의 범위를 한정하는 것으로 해석되어서는 안 되는 것으로 이해되어야 한다.
실시예
실시예 1
본 실시예는 작은 함유형 흐름셀(small contained flowcell) 내에서 수동적 보호 및 캐소드 보호의 효과를 예시하기 위해 수정 진동자 저울(Quartz Crystal Microbalance: QCM) 설정을 사용하였다. CMOS에 대해 내부의 민감한 금속(즉, 상부 매립된 금속층의 예)을 시뮬레이션하기 위해 텅스텐(W) 및 알루미늄(Al)의 샘플을 각각 QCM 표면 상에 증착하였다. 개개 층들의 두께는 잘 제어되었고, 100㎚ 내지 400㎚까지 다양하였다. 이후에, QCM을 백금 전극(즉, 시약 전극)을 갖는 전기화학적 셀에서 둘러쌌다. 시약은 8.5 초과의 pH를 갖는 DNA 시퀀싱 시약이었다.
베이스라인 실시예에서, 2 전극 시스템에서 각 전극을 접지하도록 설정하였다. 실시예 1에서, 바이어스를 백금 전극과 QCM 전극 사이에 설정하였으며, 이는 너무 낮아서(300 μV) 전극들이 반-수동적 상태에 있는 것으로 여겨졌다. 실시예 1 및 비교예 3 내지 6에서, 바이어스를 백금 전극과 QCM 전극 사이에서 시퀀싱 작업 동안 인가될 수 있는 것과 유사한 다양한 전압 수준으로 설정하였다. 각 실시예에 대하여, 전압 방식(voltage scheme)은 상이하였고, 1회 사이클 동안 인가되었다. 전압 방식은 표 1에 나타내었다.
Figure pct00006
베이스라인, 각 실시예, 및 각 비교예에 대한 텅스텐(W) 및 알루미늄(Al) 층의 두께를 다양한 전압 방식이 인가되기 전에 측정하였다. 전압 방식을 인가한 후에, 다시 텅스텐(W) 및 알루미늄(Al) 층의 두께를 측정함으로써 부식률의 직접 측정을 수행하였다. 결과는 도 13에서 1 사이클 후 층의 두께(nm)의 손실로서 나타내었다. 베이스라인 실시예, 실시예 1, 및 실시예 2 각각은 비교예 각각과 비교하여 감소된 부식률을 갖는다. 수동적 보호가 적용되었을 때(실시예 1), 시퀀싱 시약에서 CMOS 층의 부식률은 작동 바이어스(operational bias)가 연속적으로 인가될 때 통상적인 부식률과 비교하여 약 600배 감소되었다(실시예 1과 비교예 4를 비교함). 캐소드 보호 바이어스가 인가될 때, 시퀀싱 시약에서 CMOS 층의 부식률은 통상적인 부식률로부터 약 6,700배 감소되었다(실시예 2와 비교예 4를 비교함).
실시예 2
실시예 센서 및 비교예 센서를 본 실시예에서 사용하였다. 실시예 센서 및 비교예 센서 둘 모두는 검출 디바이스(예를 들어, 도 6에 도시된 검출 디바이스(14)와 유사함)로서 표준 CMOS를 포함하였으며, CMOS의 상부 표면 상에 화학적 패시베이션층이 증착되었다. 실시예 센서는 패시베이션층에 부착된 유리 리드, 및 유리 리드의 내부 표면에 부착된 시약 전극을 포함하였다. 시약 전극은 또한, 외부 정전위기 제어기와 CMOS의 상부 금속층을 전기적으로 연결되었다. 비교예 센서는 패시베이션층에 부착된 유리 리드를 포함하였지만, 시약 전극을 포함하지 않았다.
실시예 센서 및 비교예 센서를 시험 장비와 인터페이스하는 시험 패키지에서 시험하였다. 실시예 센서 및 비교예 센서 둘 모두는 35 mN의 제어된 힘으로 나노압입된 패시베이션층의 표면을 가지며, 이에 따라, 화학적 패시베이션층에 공지된 물리적 크랙이 존재하도록 하였다. 실시예 및 비교예 센서 둘 모두는 화학적 시험 후 센서 출력에서 깊은 부식 결함을 나타내는 것으로 예상되었다.
DNA 시퀀싱 시약에 노출과 관련된 실시예 및 비교예 센서 둘 모두에 대한 시험. 시약은 8 내지 10 범위의 높은 pH를 갖는다. 센서의 온도를 80℃까지 증가시켜 CMOS 부품 상에서의 부식을 가속화시키고, CMOS 부품을 전체 30분 시험 동안 능동적으로 온(ON) 상태이었다(즉, CMOS 내측의 모든 전압은 작동 중이었고 데이터를 캡처하고 전송하는 기능을 함). 30분 시험 동안, 각 실시예 센서를 또한, a) 시약 전극과 CMOS 사이에 바이어스를 인가하지 않고 b) 시약 전극과 CMOS 사이에 300 mV 내지 400 mV 보호 바이어스를 인가하면서 시험하였다. 표 2는 결과를 부식 결함의 백분율로서 예시한 것이다(즉, (깊은 부식 결함을 나타낸 센서의 수/시험된 모든 센서의 수)*100). CMOS의 더 낮은 금속층(들)(예를 들어, 2M, 3M)이 물리적 크랙을 통해 시약 노출의 결과로서 에칭되었을 때 깊은 부식 결함이 관찰되었다.
Figure pct00007
물리적 크랙이 존재함에도, 인가된 보호 바이어스를 갖는 실시예 센서는 깊은 부식 결함을 나타내지 않았다. 이러한 결과는, 본 명세서에 기술된 캐소드 보호가 기능적 작동 및 부식성 시약에 대한 노출 동안 CMOS(즉, 검출 디바이스)를 보호함을 입증한다.
실시예 3
2가지 타입의 실시예 센서, 및 1가지 타입의 비교예 센서를 본 실시예에서 사용하였다.
비교예 센서(A)는 검출 디바이스로서 표준 CMOS를 포함하였으며, CMOS의 상부 표면 상에 화학적 패시베이션층이 증착되었으며, 유리 리드는 패시베이션층에 부착되었다. 비교예 센서(A)는 시약 전극을 포함하지 않았다.
제1 실시예 센서(B)는 전기적으로 절연된 가변 전극 또는 상부 매립된 금속층을 갖는 변형된 CMOS(즉, 도 8에 도시된 검출 디바이스(14')와 유사함)를 포함하였다. 제1 실시예 센서(B)는 또한, 변형된 CMOS의 상부 표면 상에 증착된 화학적 패시베이션층 및 패시베이션층에 부착된 유리 리드를 포함하였다. 제1 실시예 센서(B)는 시약 전극을 포함하지 않았다.
제1 실시예 센서(B)와 같이, 제2 실시예 센서(C)는 또한, 전기적으로 절연된 가변 전극 또는 상부 매립된 금속층을 갖는 변형된 CMOS를 포함하였다. 제2 실시예 센서(C)는 패시베이션층에 부착된 유리 리드, 및 유리 리드의 내부 표면에 부착된 시약 전극을 포함하였다. 시약 전극은 또한, 외부 정전위기 제어기를 갖는 변형된 CMOS의 상부 금속층에 전기적으로 연결되었다.
시퀀싱 장비의 어셈블링된 흐름 채널에서 DNA 시퀀싱 시약에 대한 노출과 관련된 제1 및 제2 실시예 센서(B)(C) 및 비교예 센서(A)에 대한 시험. 개개 센서(A), (B), (C)로서 흐름 채널 내에 DNA 시퀀싱 시약을 펌핑한 시퀀싱 장비는 기능적으로 데이터를 캡처한다. 이와 같이, 개개 센서 (A), (B), (C)의 CMOS 부품은 전체 30분 시험 동안 능동적으로 온(ON) 상태이었다(즉, CMOS 내측의 모든 전압은 작동 중에 있고, 데이터를 캡처하고 전송하는 기능을 함). 추가적으로, 제1 실시예 센서(B)의 가변 전극을 수동적 보호를 제공하기 위해 접지(GND)하도록 설정하고, 제2 실시예 센서(C)의 가변 전극을 접지(GND)하도록 설정하고, 시약 전극을 캐소드 보호를 제공하기 위해 800 mV로 설정하였다.
표 3 및 도 14는 부식 손상률로서의 결과를 예시한 것이다(즉, (부식 손상을 나타낸 센서의 수/ 시험된 전체 센서의 수)*100). 이미지 센서로부터의 이미지 출력에서 중요한 특성이 관찰되었을 때 부식 손상이 관찰되었다. 중요한 특성은 부식 결함과 직접적으로 상관 관계를 갖는 이전에 공지된 및 특징된 이미지 센서 특성이었다.
Figure pct00008
제1 실시예 센서(B)(수동적 보호에 노출됨) 및 제2 실시예 센서(C)(캐소드 보호에 노출됨) 둘 모두는 비교예 센서와 비교할 때 유의미하게 개선된 부식 손상률을 나타낸다. 이러한 결과는, 본 명세서에 기술된 수동적 보호 및 캐소드 보호 기술 둘 모두가 기능적 작동 동안 CMOS(즉, 검출 디바이스)를 보호한다는 것을 입증한다.
상기 개념(단, 이러한 개념이 서로 상반되지 않음)의 모든 조합이 본 명세서에 개시된 본 발명의 대상의 일부인 것으로 고려되는 것으로 인식되어야 한다. 특히, 본 개시내용의 마지막에 나타나는 청구된 대상의 모든 조합은 본 명세서에 개시된 본 발명의 대상의 일부인 것으로 고려된다.
명세서 전반에 걸쳐 "일례," "다른 예," "예' 등에 대한 언급은, 그러한 예와 관련하여 기술된 특정 구성요소(예를 들어, 특성, 구조, 및/또는 특징)가 본 명세서에 기술된 적어도 하나의 예에 포함되고, 다른 예에 존재할 수 있거나 존재하지 않을 수 있음을 의미한다. 또한, 임의의 예에 대한 기술된 구성요소가 문맥이 달리 명확하게 지시하지 않는 한, 다양한 예에서 임의의 적합한 방식으로 조합될 수 있는 것으로 이해되어야 한다.
기술된 범위 내의 값(들) 또는 하위-범위(들)가 명시적으로 인용된 것처럼, 본 명세서에 제공된 범위가 기술된 범위 또는 기술된 범위의 임의의 수치 또는 하위-범위를 포함하는 것으로 이해되어야 한다. 예를 들어, 약 50㎛ 내지 약 400㎛의 범위는 약 50㎛ 내지 약 400㎛의 명시적으로 인용된 한계를 포함할 뿐만 아니라 약 58㎛, 약 125㎛, 약 285㎛, 약 375.5㎛ 등과 같은 개별 수치, 및 약 150㎛ 내지 약 350㎛, 약 55㎛ 내지 약 280㎛ 등과 같은 하위-범위를 포함하는 것으로 해석되어야 한다. 또한, "약" 및/또는 "실질적으로"가 값을 기술하기 위해 사용될 때, 이러한 것은 기술된 값으로부터의 최소 편차(최대 +/- 10%)를 포함하는 것을 의미한다.
몇 가지 예가 상세히 기술되었지만, 개시된 예가 변경될 수 있는 것으로 이해되어야 한다. 이에 따라, 상기 설명은 비-제한적인 것으로 여겨질 것이다.

Claims (35)

  1. 센서로서,
    흐름 셀(flow cell)로서,
    대향면(opposed surface)들 및 상기 대향면들 중 제1 면에서의 반응 부위(reaction site)를 갖는 패시베이션층(passivation layer); 및
    리드(lid)와 상기 반응 부위 사이에 흐름 채널(flow channel)을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 상기 리드를 포함하는, 상기 흐름 셀;
    상기 패시베이션층의 대향면들 중 제2 면과 접촉하고 검출 디바이스의 다른 검출 회로로부터 전기적으로 절연된 매립된 금속층(embedded metal layer)을 포함하는 검출 디바이스(detection device); 및
    상기 매립된 금속층을 접지하기 위한 제어기를 포함하는, 센서.
  2. 제1항에 있어서, 상기 검출 디바이스가,
    광학 센서(optical sensor)에 의해 검출된 광자(photon)에 응답하여 데이터 신호를 송신하기 위해 상기 검출 디바이스의 상기 다른 검출 회로에 전기적으로 연결된 광학 센서; 및
    상기 매립된 금속층과 상기 다른 검출 회로 사이의 전기적 비-전도성 갭(electrically non-conductive gap)을 더 포함하는, 센서.
  3. 제2항에 있어서, 상기 다른 검출 회로에 상기 광학 센서를 전기적으로 연결하는 제2 제어기를 더 포함하는, 센서.
  4. 제1항에 있어서, 상기 흐름 채널 내에 도입된 시약을 더 포함하되, 상기 시약이 약 6.5 내지 약 10 범위의 pH를 가지고 약 45 mS/cm 내지 약 85 mS/cm 범위의 전도도를 갖는, 센서.
  5. 센서로서,
    광도파로(optical waveguide), 상기 광도파로와 작동 가능하게 결합된 광학 센서, 및 제1 매립된 금속층 및 상기 광학 센서에 전기적으로 연결된 제2 매립된 금속층을 포함하되, 상기 제1 매립된 금속층이 전기 절연 갭에 의해 상기 제2 매립된 금속층으로부터 이격되어 있는 디바이스 회로(device circuitry)를 포함하는 검출 디바이스;
    상기 제1 매립된 금속층 및 상기 광도파로의 입력 영역(input region)과 접촉되고, 상기 광도파로의 상기 입력 영역에 적어도 부분적으로 인접한 반응 부위를 갖는 패시베이션층의 적어도 일부분;
    리드와 상기 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 리드;
    상기 제1 매립된 금속층을 선택적으로 접지하기 위해 상기 제1 매립된 금속층에 전기적으로 연결된 제1 제어기; 및
    상기 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 송신하기 위해 상기 광학 센서에 상기 제2 매립된 금속층을 전기적으로 연결하는 제2 제어기를 포함하는, 센서.
  6. 방법으로서,
    센서의 흐름 채널에 시약을 도입하는 단계로서, 상기 센서는,
    대향면들을 가지고 상기 대향면들 중 제1 면에 반응 부위를 갖는 패시베이션층, 및 리드와 상기 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀; 상기 패시베이션층의 대향면들 중 제2 면과 접촉하고 검출 디바이스의 다른 검출 회로로부터 전기적으로 절연된 매립된 금속층을 포함하는 검출 디바이스를 포함하는, 상기 시약을 도입하는 단계;
    상기 시약의 적어도 일부의 반응 성분과 관련된 상기 반응 부위에서의 반응에 응답하여 상기 센서의 감지 작동(sensing operation)을 수행하는 단계; 및
    상기 감지 작동 동안에, 상기 매립된 금속층을 접지하여(grounding), 상기 매립된 금속층에 대한 수동적 보호(passive protection)를 제공하는 단계를 포함하는, 방법.
  7. 제6항에 있어서, 상기 검출 디바이스가 상기 다른 디바이스 회로에 전기적으로 연결된 광학 센서를 더 포함하되,
    상기 매립된 금속층이 전기 절연 갭에 의해 상기 광학 센서에 전기적으로 연결된 상기 다른 디바이스 회로로부터 이격되어 있으며,
    상기 매립된 금속층의 접지가 상기 감지 작동에 대해 직교하는, 방법.
  8. 센서로서,
    흐름 셀로서,
    대향면들을 가지고 상기 대향면들 중 제1 면에 반응 부위를 갖는 패시베이션층; 및
    리드와 상기 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 리드를 포함하는, 상기 흐름 셀;
    상기 패시베이션층의 대향면들 중 제2 면과 접촉하고 매립된 금속층을 포함하는 검출 디바이스;
    상기 흐름 채널 내에 도입되는 시약과 접촉하도록 정위된 시약 전극; 및
    상기 시약 전극을 애노드 또는 캐소드 중 하나로 제공하고 상기 매립된 금속층을 상기 캐소드 또는 상기 애노드 중 다른 하나로 제공하는 전기 바이어스(electrical bias)를 선택적으로 인가하기 위해 상기 시약 전극 및 상기 매립된 금속층을 전기적으로 연결하는 제어기를 포함하는, 센서.
  9. 제8항에 있어서, 상기 시약 전극이 상기 리드의 내부 표면의 적어도 일부분에 연결된, 센서.
  10. 제8항에 있어서, 상기 시약 전극이,
    상기 리드의 내부 표면의 일부분에 연결되고; 그리고
    상기 흐름 채널의 측벽을 형성하는, 센서.
  11. 제10항에 있어서, 상기 측벽이 금속 전도체 또는 커넥터(connector)에 전기적으로 연결하고 직접적으로 기계적으로 연결하며, 상기 금속 전도체 또는 커넥터가 상기 제어기에 전기적으로 연결하는, 센서.
  12. 제10항에 있어서, 상기 측벽이 상기 리드의 상기 내부 표면의 일부분에 연결된 상기 시약 전극의 일부분을 통해 및 전도성 부품을 통해 상기 제어기에 전기적으로 연결하는, 센서.
  13. 제8항에 있어서,
    상기 리드가 상기 흐름 채널의 측벽을 획정하는 특징부(feature)를 포함하며; 그리고
    상기 시약 전극이 상기 특징부 상에 배치된 층을 포함하는, 센서.
  14. 제8항에 있어서, 상기 시약 전극이,
    상기 리드의 내부 표면의 일부분에 연결되고; 그리고
    상기 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된
    층을 포함하는, 센서.
  15. 제8항에 있어서, 상기 시약 전극이
    상기 리드의 외부 표면의 일부분에 연결되고; 그리고
    상기 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된
    층을 포함하는, 센서.
  16. 제8항에 있어서, 상기 패시베이션층의 일부분이 상기 패시베이션층 상에 획정되거나 상기 패시베이션층내에 매립된 상기 시약 전극을 갖는, 센서.
  17. 제8항에 있어서, 상기 패시베이션층의 일부분이 내부에 획정된 개구(aperture)를 가지며, 상기 시약 전극이 상기 개구를 통해 노출되는, 센서.
  18. 제8항에 있어서, 상기 검출 디바이스가,
    광학 센서;
    상기 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 전송하기 위해 상기 광학 센서에 전기적으로 연결된 디바이스 회로; 및
    상기 디바이스 회로와 상기 매립된 금속층 사이의 전기적 비-전도성 갭을 더 포함하는, 센서.
  19. 제8항에 있어서, 상기 검출 디바이스가,
    광학 센서; 및
    상기 광학 센서에 및 상기 매립된 금속층에 전기적으로 연결된 디바이스 회로를 더 포함하는, 센서.
  20. 제8항에 있어서, 상기 검출 디바이스가,
    상기 반응 부위를 광학 센서에 광학적으로 연결시키는 광도파로; 및
    상기 패시베이션층의 상기 제2 대향면의 적어도 일부분과 접촉하고 상기 광도파로의 입력 영역에 적어도 부분적으로 인접한 개구를 갖는 차폐층(shield layer)을 더 포함하는, 센서.
  21. 제8항에 있어서, 상기 흐름 채널 내로 도입된 상기 시약을 더 포함하되, 상기 시약이 약 6.5 내지 약 10 범위의 pH를 가지고 약 45 mS/cm 내지 약 85 mS/cm 범위의 전도도를 갖는, 센서.
  22. 센서로서,
    검출 디바이스로서,
    광도파로; 상기 광도파로와 작동 가능하게 결합된 광학 센서; 및 시약 전극, 상기 시약 전극에 전기적으로 연결된 제1 매립된 금속층, 및 상기 광학 센서에 전기적으로 연결된 제2 매립된 금속층을 포함하되, 상기 제1 매립된 금속층이 전기 절연 갭에 의해 상기 제2 매립된 금속층으로부터 이격되어 있는 디바이스 회로를 포함하는, 상기 검출 디바이스,
    상기 제1 매립된 금속층 및 상기 광도파로의 입력 영역과 접촉된 패시베이션층의 적어도 일부분으로서, 상기 광도파로의 상기 입력 영역에 적어도 부분적으로 인접한 반응 부위를 갖는 패시베이션층의 적어도 일부분; 및
    리드와 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 리드를 포함하되,
    상기 시약 전극이 상기 흐름 채널 내로 도입되는 시약과 접촉되도록 정위되어 있는, 센서.
  23. 제22항에 있어서,
    상기 시약 전극을 애노드로 제공하고 상기 제1 매립된 금속층을 캐소드로 제공하는 전기 바이어스를 선택적으로 인가하기 위해 상기 시약 전극 및 상기 제1 매립된 금속층을 전기적으로 연결시키는 제1 제어기; 및
    상기 광학 센서에 의해 검출된 광자에 응답하여 데이터 신호를 전송하기 위해 상기 광학 센서에 상기 제2 매립된 금속층을 전기적으로 연결시키는 제2 제어기를 더 포함하는, 센서.
  24. 제23항에 있어서, 상기 시약 전극이,
    상기 리드의 내부 표면의 일부분에 연결되고; 그리고
    상기 흐름 채널의 측벽을 형성하는, 센서.
  25. 제24항에 있어서, 상기 측벽이,
    금속 전도체 또는 커넥터에 전기적으로 연결되고 금속 전도체 또는 커넥터에 직접적으로 기계적으로 연결되되, 상기 금속 전도체 또는 커넥터는 상기 제1 제어기에 전기적으로 연결되는 것, 또는
    상기 리드의 상기 내부 표면의 상기 부분에 연결된 상기 시약 전극의 일부분을 통해 그리고 전도성 부품을 통해 상기 제1 제어기에 전기적으로 연결되는 것
    중 하나인, 센서.
  26. 제22항에 있어서, 상기 시약 전극이 상기 리드의 내부 표면의 적어도 일부분에 연결된, 센서.
  27. 제22항에 있어서,
    상기 리드가 상기 흐름 채널의 측벽을 획정하는 특징부를 포함하되,
    상기 시약 전극이 상기 특징부 상에 배치된 층을 포함하는, 센서.
  28. 제22항에 있어서, 상기 시약 전극이,
    상기 리드의 내부 표면의 일부분에 연결되고; 그리고
    상기 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된
    층을 포함하는, 센서.
  29. 제22항에 있어서, 상기 시약 전극이,
    상기 리드의 외부 표면의 일부분에 연결되고; 그리고
    상기 리드에 획정된 유체 포트의 적어도 일부분 상에 배치된
    층을 포함하는, 센서.
  30. 제22항에 있어서, 상기 패시베이션층의 다른 부분이 상기 패시베이션층 개구 상에 획정되거나 개구 안에 매립된 상기 시약 전극을 갖는, 센서.
  31. 제22항에 있어서, 상기 패시베이션층의 다른 부분이 내부에 획정된 개구를 가지며, 상기 시약 전극이 상기 개구를 통해 노출되는, 센서.
  32. 방법으로서,
    센서의 흐름 채널에 시약을 도입하는 단계로서, 상기 센서가,
    대향면들 및 상기 대향면들 중 제1 면에 반응 부위를 갖는 패시베이션층, 및 리드와 상기 반응 부위 사이에 흐름 채널을 부분적으로 획정하기 위해 상기 패시베이션층에 작동 가능하게 연결된 리드를 포함하는 흐름 셀; 상기 패시베이션층의 상기 대향면들 중 제2 면과 접촉하고 매립된 금속층을 포함하는 검출 디바이스; 및 상기 매립된 금속층에 전기적으로 연결되고 상기 흐름 채널 내로 도입된 시약과 접촉되도록 정위된 시약 전극을 포함하는, 상기 시약을 도입하는 단계;
    상기 시약의 적어도 일부 반응 성분과 관련된 상기 반응 부위에서의 반응에 응답하여 상기 센서의 감지 작동을 수행하는 단계; 및
    상기 감지 작동 동안에, 상기 시약 전극을 애노드 또는 캐소드 중 하나의 전극으로 제공하고 상기 매립된 금속층을 상기 캐소드 또는 상기 애노드 중 다른 하나로 제공하는 전기 바이어스를 적용하여, 상기 매립된 금속층에 캐소드 보호(cathodic protection) 또는 애노드 보호(anodic protection)를 제공하는 단계를 포함하는, 방법.
  33. 제32항에 있어서,
    상기 검출 디바이스가 광학 센서, 및 상기 광학 센서에 전기적으로 연결된 디바이스 회로를 더 포함하되;
    상기 매립된 금속층이 상기 디바이스 회로에 전기적으로 연결되며;
    상기 매립된 금속층이 상기 감지 작동의 수행 시에 작동하며;
    상기 전기 바이어스가 상기 매립된 금속층에 인가되는, 방법.
  34. 제32항에 있어서,
    상기 검출 디바이스가 광학 센서, 및 상기 광학 센서에 전기적으로 연결된 디바이스 회로를 더 포함하되;
    상기 매립된 금속층이 전기 절연 갭에 의해 상기 광학 센서에 전기적으로 연결된 상기 디바이스 회로로부터 이격되어 있으며;
    상기 전기 바이어스의 적용이 상기 감지 작동에 대해 직교하는, 방법.
  35. 제32항에 있어서, 상기 센서의 상기 흐름 채널에 도입된 상기 시약의 pH를 기초로 하여 상기 전기 바이어스를 조정하는 것을 더 포함하는, 방법.
KR1020187037969A 2017-04-25 2018-04-19 통합된 보호 회로를 갖는 센서 KR102576273B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762489840P 2017-04-25 2017-04-25
US62/489,840 2017-04-25
NLN2019043 2017-06-09
NL2019043A NL2019043B1 (en) 2017-04-25 2017-06-09 Sensors having integrated protection circuitry
PCT/US2018/028265 WO2018200300A1 (en) 2017-04-25 2018-04-19 Sensors having integrated protection circuitry

Publications (2)

Publication Number Publication Date
KR20190134457A true KR20190134457A (ko) 2019-12-04
KR102576273B1 KR102576273B1 (ko) 2023-09-07

Family

ID=65241545

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187037969A KR102576273B1 (ko) 2017-04-25 2018-04-19 통합된 보호 회로를 갖는 센서

Country Status (27)

Country Link
US (2) US11680906B2 (ko)
EP (2) EP4075135A1 (ko)
JP (1) JP7093312B2 (ko)
KR (1) KR102576273B1 (ko)
CN (1) CN109477799B (ko)
AU (1) AU2018258080B2 (ko)
CA (1) CA3026285C (ko)
CL (1) CL2018003752A1 (ko)
CO (1) CO2018013857A2 (ko)
CR (1) CR20190008A (ko)
DK (1) DK3615922T3 (ko)
ES (1) ES2928144T3 (ko)
HU (1) HUE059859T2 (ko)
IL (1) IL263400B2 (ko)
LT (1) LT3615922T (ko)
MX (1) MX2018016303A (ko)
NL (1) NL2019043B1 (ko)
PE (1) PE20200272A1 (ko)
PH (1) PH12018502734A1 (ko)
PL (1) PL3615922T3 (ko)
PT (1) PT3615922T (ko)
RU (1) RU2766538C2 (ko)
SA (1) SA519410381B1 (ko)
SG (1) SG11201811339SA (ko)
TW (1) TWI679418B (ko)
WO (1) WO2018200300A1 (ko)
ZA (1) ZA201808101B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3850336A4 (en) * 2018-09-14 2022-02-09 Illumina, Inc. FLOW CELLS AND ASSOCIATED METHODS
RU2769537C1 (ru) 2018-11-26 2022-04-01 Иллумина, Инк. Система проточных кювет и связанный с ней способ
KR20220030917A (ko) * 2019-07-08 2022-03-11 일루미나, 인코포레이티드 광 검출 디바이스 상의 광학 결합 구조체와의 도파관 통합
WO2022122577A2 (en) * 2020-12-09 2022-06-16 Ams-Osram Ag An optical absorbance spectrometer, optical device and method of optical absorbance spectrometry

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090223832A1 (en) * 2008-01-11 2009-09-10 Interuniversitair Microelektronica Centrum Vzw (Imec) Method and Apparatus for Preventing Galvanic Corrosion in Semiconductor Processing
US20100248284A1 (en) * 2006-01-20 2010-09-30 Agency For Science, Technology And Research Biosensor
WO2015089092A1 (en) * 2013-12-10 2015-06-18 Illumina, Inc. Biosensors for biological or chemical analysis and methods of manufacturing the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040037746A1 (en) * 2000-10-12 2004-02-26 Bauer Alan Joseph Analyte detection system
US7223534B2 (en) * 2002-05-03 2007-05-29 Kimberly-Clark Worldwide, Inc. Diffraction-based diagnostic devices
KR100835277B1 (ko) 2006-11-23 2008-06-05 삼성전자주식회사 희생 양극을 갖는 전자 장치의 제조방법 및 그에 의해제조된 전자 장치
EP2092322B1 (en) * 2006-12-14 2016-02-17 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale fet arrays
US8349167B2 (en) * 2006-12-14 2013-01-08 Life Technologies Corporation Methods and apparatus for detecting molecular interactions using FET arrays
US20080238449A1 (en) * 2007-03-27 2008-10-02 Denso Corporation Fluid sensor and impedance sensor
US8277628B2 (en) * 2007-07-13 2012-10-02 The Board Of Trustees Of The Leland Stanford Junior University Method and apparatus using electric field for improved biological assays
EP2344887A1 (en) * 2008-10-31 2011-07-20 Koninklijke Philips Electronics N.V. Biosensor with multi-chamber cartridge
EP2677307B1 (en) * 2012-06-21 2016-05-11 Nxp B.V. Integrated circuit with sensors and manufacturing method
US9978689B2 (en) * 2013-12-18 2018-05-22 Nxp Usa, Inc. Ion sensitive field effect transistors with protection diodes and methods of their fabrication
MX2016017115A (es) * 2014-06-25 2018-01-12 Jhl Biotech Inc Metodos y reactivos para la purificacion de proteinas.
WO2016100895A1 (en) * 2014-12-18 2016-06-23 Life Technologies Corporation Calibration panels and methods for designing the same
CN105806828B (zh) 2015-10-29 2019-05-14 北京联众泰克科技有限公司 一种电化学发光免疫分析系统及其流通池组件

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100248284A1 (en) * 2006-01-20 2010-09-30 Agency For Science, Technology And Research Biosensor
US20090223832A1 (en) * 2008-01-11 2009-09-10 Interuniversitair Microelektronica Centrum Vzw (Imec) Method and Apparatus for Preventing Galvanic Corrosion in Semiconductor Processing
WO2015089092A1 (en) * 2013-12-10 2015-06-18 Illumina, Inc. Biosensors for biological or chemical analysis and methods of manufacturing the same
US20160356715A1 (en) * 2013-12-10 2016-12-08 Illumina, Inc. Biosensors for biological or chemical analysis and methods of manufacturing the same

Also Published As

Publication number Publication date
HUE059859T2 (hu) 2023-01-28
ES2928144T3 (es) 2022-11-15
PE20200272A1 (es) 2020-02-04
ZA201808101B (en) 2020-11-25
CN109477799B (zh) 2023-06-20
EP3615922A4 (en) 2020-11-18
JP2020523551A (ja) 2020-08-06
AU2018258080B2 (en) 2023-07-13
RU2018145433A (ru) 2021-05-25
SA519410381B1 (ar) 2022-11-29
AU2018258080A1 (en) 2018-12-20
LT3615922T (lt) 2022-10-25
IL263400B2 (en) 2024-02-01
CL2018003752A1 (es) 2019-04-12
US20230314326A1 (en) 2023-10-05
CO2018013857A2 (es) 2019-01-18
NL2019043B1 (en) 2018-11-05
US11680906B2 (en) 2023-06-20
CR20190008A (es) 2019-04-09
TW201843445A (zh) 2018-12-16
CA3026285C (en) 2023-02-28
PH12018502734A1 (en) 2019-10-28
JP7093312B2 (ja) 2022-06-29
EP4075135A1 (en) 2022-10-19
TWI679418B (zh) 2019-12-11
RU2018145433A3 (ko) 2021-09-07
PT3615922T (pt) 2022-10-17
KR102576273B1 (ko) 2023-09-07
CA3026285A1 (en) 2018-11-01
NZ748879A (en) 2021-02-26
PL3615922T3 (pl) 2022-10-10
US20200132605A1 (en) 2020-04-30
BR112019007053A2 (pt) 2019-07-02
IL263400B1 (en) 2023-10-01
SG11201811339SA (en) 2019-01-30
EP3615922B1 (en) 2022-07-13
WO2018200300A1 (en) 2018-11-01
MX2018016303A (es) 2019-08-29
IL263400A (en) 2018-12-31
DK3615922T3 (da) 2022-09-05
CN109477799A (zh) 2019-03-15
RU2766538C2 (ru) 2022-03-15
EP3615922A1 (en) 2020-03-04

Similar Documents

Publication Publication Date Title
KR102102934B1 (ko) 생물학적 또는 화학적 분석을 위한 바이오센서들 및 이를 제조하기 위한 방법
US20230314326A1 (en) Sensors having integrated protection circuitry
US20190212295A1 (en) Systems and Devices for High-Throughput Sequencing with Semiconductor-Based Detection
US11953464B2 (en) Semiconductor-based biosensors for base calling
NL2020758B1 (en) High-throughput sequencing with semiconductor-based detection
NZ748879B2 (en) Sensors having integrated protection circuitry
BR112019007053B1 (pt) Sensores tendo conjunto de circuitos de proteção integrada
BR122022025461B1 (pt) Sensor e método
US20230027409A1 (en) Methods and systems for real time extraction of crosstalk in illumination emitted from reaction sites
WO2023028297A1 (en) Flow cell image sensor arrangement with reduced crosstalk

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant