KR20190128741A - 배리어 막 증착 및 처리 - Google Patents

배리어 막 증착 및 처리 Download PDF

Info

Publication number
KR20190128741A
KR20190128741A KR1020197032800A KR20197032800A KR20190128741A KR 20190128741 A KR20190128741 A KR 20190128741A KR 1020197032800 A KR1020197032800 A KR 1020197032800A KR 20197032800 A KR20197032800 A KR 20197032800A KR 20190128741 A KR20190128741 A KR 20190128741A
Authority
KR
South Korea
Prior art keywords
substrate
ald
power
film
atomic layer
Prior art date
Application number
KR1020197032800A
Other languages
English (en)
Other versions
KR102574313B1 (ko
Inventor
시앙진 시에
아돌프 밀러 앨런
시안민 탕
고이치 요시도메
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190128741A publication Critical patent/KR20190128741A/ko
Application granted granted Critical
Publication of KR102574313B1 publication Critical patent/KR102574313B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/225Oblique incidence of vaporised material on substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3471Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Abstract

원자 층 증착(ALD) 프로세스들은 저압 환경에서 물리 기상 증착(PVD) 프로세스들과 결합되어 고품질 배리어 막을 생성한다. 초기 배리어 막은 ALD 프로세스들을 사용하여 기판 상에 증착된 다음, PVD 챔버로 옮겨져 배리어 막의 밀도 및 순도를 증가시키도록 배리어 막을 처리하여, 배리어 막의 저항률을 감소시킨다. 재료들의 이중 소스가 기판 상에 스퍼터링되어 도핑을 제공하는 한편, 가스가 기판을 에칭하여 질소를 방출하는 데 동시에 사용된다. 제1 RF 전력 주파수에서의 RF 전력 및 DC 전력이 공급되는 동안 기판의 표면에 대해 예각으로 도핑을 제공하도록 적어도 하나의 재료 소스가 포지셔닝된다. 기판은 제2 RF 전력 주파수에서의 RF 전력을 사용하여 바이어싱된다.

Description

배리어 막 증착 및 처리
[0001] 본 개시내용의 실시예들은 일반적으로 기판 프로세스들에 관한 것이다.
[0002] 기판은 반도체 산업을 위한 구조들 또는 디바이스들을 구축하는 데 사용된다. 이 디바이스들은 박막 증착을 사용하여 재료들의 층들을 증착하여 도체들, 비아들, 반도체들 및 다른 구조들/디바이스들을 형성하도록 구성된다. 더 작고 더 빠른 전자 장치에 대한 요구로 인해 디바이스들의 크기들이 줄어들기 때문에, 적절한 디바이스 구성을 보장하기 위해 박막 프로세스에 대한 더 많은 제어가 요구된다. 더 작은 크기는 물리 기상 증착(PVD: physical vapor deposition) 챔버들을 사용하는 것에서 원자 층 증착(ALD: atomic layer deposition) 챔버들로의 이동으로 이어졌다. ALD 챔버들은 표면 제어 방법들이 전체 디바이스 구조에 걸쳐 매우 균일한 막들을 생성할 수 있게 한다. 그러나 배리어 막들을 생성하기 위해 ALD 챔버들이 사용될 때, 배리어 막들은 ALD 막들의 낮은 밀도로 인해 높은 저항률을 가져, 불량한 품질의 배리어 막을 생성한다. PVD 챔버들은 고밀도 및 더 낮은 저항률로 양호한 배리어 특성들을 생성하지만 비등각이어서, 기판 상에 부적절하게 구성된 디바이스들이 된다.
[0003] 이에 따라, 발명자들은 배리어 막들을 생성하기 위한 개선된 프로세스의 실시예들을 제공하였다.
[0004] ALD 프로세스들은 저압 환경에서 PVD 프로세스들과 결합되어 고품질 배리어 막을 생성한다. 초기 배리어 막은 ALD 프로세스들을 사용하여 기판 상에 증착된 다음, PVD 챔버로 옮겨져 배리어 막의 밀도 및 순도를 증가시키도록 배리어 막을 처리하여, 배리어 막의 저항률을 감소시킨다.
[0005] 일부 실시예들에서, 기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법이 제공된다. 이 방법은, 프로세스 챔버를 0보다 크고 약 10mTorr 미만인 압력으로 가압하는 단계, 제1 재료 소스 및 제2 재료 소스를 ALD 막 상에 스퍼터링하는 단계 ― 제1 재료 소스에는 RF 전력 또는 DC 전력이 공급되고, 제2 재료 소스에는 제1 RF 전력 주파수에서의 RF 전력이 그리고 DC 전력이 공급됨 ―, 제2 RF 전력 주파수에서의 RF 전력으로 ALD 막을 바이어싱하는 단계, 및 제1 재료 소스 및 제2 재료 소스를 스퍼터링하면서 적어도 하나의 가스로 ALD 막을 에칭하는 단계를 포함한다.
[0006] 다른 실시예들에서, 이 방법은 다음을 임의의 순서로 또는 조합하여 더 포함할 수 있다: 제2 재료 소스로 ALD 막에 대해 예각으로 스퍼터링하는 단계, 희가스, 질소계 가스 또는 산소계 가스 중 적어도 하나로 ALD 막을 에칭하는 단계, 약 1.8㎒ 내지 약 2.2㎒의 제1 RF 전력 주파수에서 제2 재료 소스로 스퍼터링하는 단계, 제1 RF 전력 주파수보다 큰 제2 RF 전력 주파수로 ALD 막을 바이어싱하는 단계, 약 15℃ 내지 약 400℃의 온도로 ALD 막을 처리하는 단계, 약 10초까지 동적 처리를 수행하는 단계, ALD 막을 탈가스(degas) 및 예비 세정하는 단계, 제2 재료 소스가 약 0볼트 내지 약 -1000볼트인 동안 제2 재료 소스만으로 ALD 막 상에 스퍼터링하는 단계, 약 0볼트 내지 -1000볼트에서 제2 재료 소스를 스퍼터링하는 동안 약 0볼트 내지 약 -300볼트 에너지 상태에서 적어도 하나의 가스로 ALD 막을 에칭하는 단계, 기판의 표면에 대해 예각으로 제2 재료 소스를 스퍼터링하는 단계, 탄탈 또는 니오븀에 적어도 부분적으로 기반하는 재료로 ALD 막을 도핑 또는 스퍼터링하는 단계, 탄탈 질화물 또는 니오븀 질화물 중 적어도 하나를 포함하는 ALD 막을 처리하는 단계, 막 스택을 생성하기 위한 프로세스의 적어도 일부 동안 처리를 적용하는 단계 ― 막 스택은 탄탈 질화물/코발트 막 스택, 탄탈 질화물/코발트/구리 막 스택, 탄탈 질화물/탄탈/루테늄/구리 막 스택, 및 탄탈 질화물/루테늄/구리 막 스택으로 이루어진 그룹으로부터 선택된 적어도 하나의 막 스택을 포함한다.
[0007] 다른 실시예들에서, 통합 툴을 사용하여 기판 상의 ALD 막을 처리하기 위한 방법은, ALD 프로세스 챔버에서 기판 상에 ALD 막을 증착하는 단계, 진공 차단 없이 기판을 PVD 프로세스 챔버로 이송하는 단계, PVD 프로세스 챔버를 0 압력보다 크고 약 10mTorr 미만인 압력으로 가압하는 단계, ALD 막을 제1 재료 및 제2 재료로 동시에 도핑하는 단계, 및 ALD 막을 제1 재료 및 제2 재료로 도핑하면서 적어도 하나의 가스로 ALD 막을 에칭하는 단계를 포함할 수 있다.
[0008] 다른 실시예들에서, 이 방법은 다음을 임의의 순서로 또는 조합하여 더 포함할 수 있다: DC 전력을 사용하여 제1 재료를 스퍼터링함으로써 제1 재료로 ALD 막을 도핑하는 단계, 제1 RF 전력 주파수에서의 RF 전력 및 DC 전력을 사용하여 제2 재료를 스퍼터링함으로써 제2 재료로 ALD 막을 도핑하는 단계, 및 제2 RF 전력 주파수에서의 RF 전력으로 기판을 바이어싱하는 단계; 및/또는 약 100와트 및 약 5000와트 미만의 전력 레벨로 제1 RF 전력 주파수를 공급하고 약 100와트 및 약 1000와트 미만의 전력 레벨로 제2 RF 전력 주파수를 공급하는 단계.
[0009] 다른 실시예들에서, 기판 상의 막 증착을 위한 장치는, 기판 지지부, 타깃, 및 기판 지지부와 타깃 사이에 위치된 유도 코일을 갖는, 내부 용적을 갖는 프로세스 챔버; 에칭 가스를 제공하는, 프로세스 챔버의 내부 용적에 유체 결합된 가스 공급부; 기판 지지부와 상호 작용하여 제1 주파수에서 RF 전력을 공급하는 제1 전원 공급 장치; 유도 코일과 상호 작용하여 제1 주파수 미만인 제2 주파수에서의 RF 전력 및 제1 DC 전력을 공급하는 제2 전원 공급 장치; 타깃과 상호 작용하여 제2 DC 전력을 공급하는 제3 전원 공급 장치; 및 타깃 또는 유도 코일을 스퍼터링하는 동안 그리고 프로세스 챔버의 내부 용적 내로의 에칭 가스의 흐름을 조절하는 동안 제1 전원 공급 장치의 제1 RF 전력 레벨, 제2 전원 공급 장치의 제2 RF 전력 레벨, 제2 전원 공급 장치의 제1 DC 전력 레벨 및 제3 전원 공급 장치의 제2 DC 전력 레벨을 조정하는 제어기를 포함한다.
[0010] 본 개시내용의 다른 그리고 추가 실시예들이 아래에 설명된다.
[0011] 위에서 간략하게 요약되고 아래에서 보다 상세하게 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조로 이해될 수 있다. 그러나 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 본 개시내용의 일부 실시예들에 따른 PVD 프로세스 챔버의 단면도를 도시한다.
[0013] 도 2는 본 개시내용의 일부 실시예들에 따른 도 1의 PVD 프로세스 챔버의 내부 용적의 대표도를 도시한다.
[0014] 도 3은 본 개시내용의 일부 실시예들에 따라 기판을 처리하는 방법의 흐름도를 도시한다.
[0015] 도 4는 본 개시내용의 일부 실시예들에 따라 기판을 처리하기 위한 방법들을 수행하기에 적합한 클러스터 툴을 도시한다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 가리키는 데, 가능한 경우, 동일한 참조 부호들이 사용되었다. 도면들은 실측대로 그려진 것이 아니며, 명확하게 하기 위해 단순화될 수 있다. 한 실시예의 엘리먼트들 및 특징들은 추가 언급 없이 다른 실시예들에 유리하게 포함될 수 있다.
[0017] 본 명세서에 사용되는 "약"이라는 용어는 대략 또는 거의를 의미하고, 언급되는 수치 값 또는 범위와 관련하여 수치 값의 ±15% 이하의 변화를 의미한다. 예를 들어, ±14%, ±10% 또는 ±5%씩 다른 값이 약의 정의를 충족할 것이다.
[0018] ALD 프로세스들은 PVD 프로세스들과 결합되어 고품질 배리어 막을 생성한다. 초기 배리어 막은 ALD 프로세스들을 사용하여 기판 상에 증착된 다음, PVD 챔버로 옮겨져 배리어 막의 밀도 및 순도를 증가시키도록 배리어 막을 처리하여, 배리어 막의 저항률을 감소시킨다. 프로세스들은 프로세스들 간의 진공 차단과 함께 또는 진공 차단 없이 수행될 수 있다.
[0019] 크기가 7㎚ 이하인 기판 디바이스들의 경우, PVD 배리어 막들과 구리(Cu) 상호 접속부들은 저항/커패시턴스(RC: resistance/capacitance) 감소(상호 접속부 시간 지연)에서 더욱 어려워지게 된다. 저항(R)을 줄이기 위해서는 훨씬 더 얇은 배리어가 요구된다. 배리어 프로세스를 조정함으로써 리플로우 또는 전기 구리 도금(ECP: electro copper plating) 성능을 개선하는 것을 또한 고려할 필요가 있다. 효과적인 Cu 배리어를 위해서는 지속적인 배리어가 요구된다. 베벨(bevel) 손상, 오버행(overhang), 비아 저항 및 정형성(conformality) 문제들은 서로 조합될 때, PVD 프로세스에 대해 극복하기가 매우 어렵다. 탄탈 질화물(TaN)과 함께 ALD 프로세스들을 사용하는 것은 통상적으로 우수한 등각 커버리지를 산출한다. 그러나 ALD TaN 막은 (질소가 풍부하기 때문에) 낮은 밀도 및 더 높은 저항률을 갖는다. 그래서 ALD TaN 막은 효과적인 배리어가 아니며, ALD TaN 막은 또한 (비아의 바닥을 채우는 균일한 막 증착으로 인해) 더 높은 비아 저항을 야기한다. 플라즈마 강화 ALD(PEALD: Plasma Enhanced ALD) TaN은 TaN 막 밀도를 향상시킬 수 있지만 k가 낮은 재료를 손상시키는 불이익(경시 절연 파괴(TDDB: time-dependent dielectric breakdown) 문제)을 가질 수 있다. 본 명세서에서 설명되는 기술들은 PVD 접근 방식으로 ALD 막들(예컨대, TaN 막들)을 처리하고 7㎚ 이하의 구조들에 대한 배리어 응용들(예컨대, Cu 배리어 응용들)을 위해 ALD 막들을 개선할 솔루션들을 제공한다. 이 접근 방식은 또한 다른 응용들을 위해 다른 ALD 또는 CVD 막들의 밀도를 증가시키는 데 사용될 수 있다. 처리될 수 있는 통상적인 막 스택들은 예를 들어, TaN/Co, TaN/Co/Cu, TaN/Ta/Ru/Cu 또는 TaN/Ru/Cu 등과 같은, 예를 들어 코발트(Co) 및 루테늄(Ru)을 갖는 막 스택들을 포함할 수 있다.
[0020] 개시된 방법들은 니오븀 질화물(NbN) 등과 같은, TaN 이외의 재료들 및 막들에 적용 가능하다. 그러나 단순하게 하기 위해, 설명되는 대부분의 실시예들은 TaN을 일례로 사용할 것이다. 막(예컨대, TaN)이 먼저 ALD 챔버에서 기판 상에 증착된다. 그 후, 기판은 ALD 막으로부터 질소를 선택적으로 제거하고 ALD 막을 치밀화하여 배리어 응용들을 위한 PVD 유사 막을 달성하는 데 사용될 수 있는 이중 주파수(제1 및 제2 주파수)를 갖는 PVD 챔버 내에 배치된다. PVD 챔버는 베벨 보호 및 측벽 처리를 위한 금속(예컨대, 탄탈) 소스를 또한 제공할 수 있는 이중 재료 소스들(타깃 및 코일)(제1 소스 및 제2 소스)을 갖는다. 프로세스는 통합 처리 시스템(즉, 클러스터 툴)에서 또는 단일 독립형 챔버들을 사용하여 실행될 수 있다. 통합 처리 시스템이 사용될 때, ALD 막이 기판 상에 증착된 다음, 진공 차단을 하지 않고 처리를 위해 기판이 PVD 챔버로 이송된다. 진공 차단의 부재는 전체 처리 시간을 감소시킨다. 그러나 프로세스는 또한 독립형 챔버들을 사용하여 완료될 수 있다. ALD 챔버에서 기판 상에 막을 증착한 후, 기판은 진공 차단에 직면할 것이고 처리를 위해 PVD 챔버에 삽입되기 전에 탈가스 및 사전 세정된다.
[0021] 도 1은 본 개시내용의 일부 실시예들에 따른 예시적인 프로세스 챔버(100)(예컨대, PVD 챔버)의 개략적인 단면도를 도시한다. 적합한 PVD 챔버들의 예들은, California, Santa Clara 소재의 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 ENCORE®Ⅱ 및 ENCORE®Ⅲ뿐만 아니라 다른 PVD 처리 챔버들을 포함한다. 그러나 개시되는 방법들은 다른 제조사들로부터 입수할 수 있는 처리 챔버들에서도 또한 사용될 수 있다. 일 실시예에서, 프로세스 챔버(100)는 예를 들어 탄탈, 탄탈 질화물, 티타늄 질화물, 텅스텐, 텅스텐 질화물, 니오븀, 니오븀 질화물 등을 기판(118) 상에 증착할 수 있다.
[0022] 프로세스 챔버(100)는 측벽들(102), 바닥(103) 및 리드 어셈블리(104)를 포함하는 챔버 본체(105)를 갖는데, 이들 모두가 내부 용적(106)을 둘러싼다. 기판 지지부(108)는 타깃(114)에 대향하는 프로세스 챔버(100)의 내부 용적(106)의 하부 부분에 배치된다. 측벽들(102)에는 내부 용적(106) 내외로 기판들을 이송하기 위한 기판 이송 포트(109)가 형성된다.
[0023] 가스 소스(110)가 프로세스 챔버(100)에 결합되어 내부 용적(106)으로 프로세스 가스들을 공급한다. 일 실시예에서, 프로세스 가스들은 불활성 가스들, 비반응성 가스들 및 반응성 가스들 등을 포함할 수 있다. 가스 소스(110)에 의해 제공될 수 있는 프로세스 가스들의 예들은 무엇보다도 아르곤 가스(Ar), 헬륨(He), 네온 가스(Ne), 질소 가스(N2), 산소 가스(O2) 및 H2O 등을 포함한다(그러나 이에 한정되지는 않음).
[0024] 펌프(112)가 내부 용적(106)과 연통하여 프로세스 챔버(100)에 결합되어 내부 용적(106)의 압력을 제어한다. 일 실시예에서, 프로세스 챔버(100)의 압력은 0보다 큰 압력 내지 약 10mTorr 이하로 유지될 수 있다. 다른 실시예에서, 프로세스 챔버(100) 내의 압력은 약 3mTorr로 유지될 수 있다.
[0025] 배킹 플레이트(113)는 내부 용적(106)의 상부 부분에서 타깃(114)을 지지할 수 있다. 타깃(114)은 일반적으로 기판(118) 상에 증착될 재료의 소스를 제공한다. 타깃(114)은 티타늄(Ti) 금속, 탄탈 금속(Ta), 니오븀(Nb) 금속, 텅스텐(W) 금속, 코발트(Co), 니켈(Ni), 구리(Cu), 알루미늄(Al), 이들의 합금들, 이들의 조합들 등을 포함하는 재료로 제작될 수 있다. 본 명세서에 도시된 예시적인 실시예에서, 타깃(114)은 탄탈 금속(Ta) 또는 니오븀 금속(Nb)으로 제작될 수 있다.
[0026] 타깃(114)은 타깃(114)을 위한 전원 공급 장치(117)를 포함하는 소스 어셈블리(116)에 결합될 수 있다. 일부 실시예들에서, 전원 공급 장치(117)는 RF 전원 공급 장치일 수 있다. 일부 실시예들에서, 전원 공급 장치(117)는 대안으로 DC 소스 전원 공급 장치일 수 있다. 일부 실시예들에서, 전원 공급 장치(117)는 DC 전원과 RF 전원 모두를 포함할 수 있다.
[0027] 추가 RF 전원(180)이 또한 기판 지지부(108)를 통해 프로세스 챔버(100)에 결합되어 타깃(114)과 기판 지지부(108) 사이에 바이어스 전력을 제공할 수 있다. 일 실시예에서, RF 전원(180)은 약 1㎒ 내지 약 100㎒, 이를테면 약 13.56㎒의 주파수로 기판(118)을 바이어싱하도록 기판 지지부(108)에 전력을 제공할 수 있다.
[0028] 기판 지지부(108)는 화살표(182)로 도시된 바와 같이, 상승된 위치와 하강된 위치 간에 이동 가능할 수 있다. 하강된 위치에서, 프로세스 챔버(100)로부터의 기판(118)의 제거 및 진입을 가능하게 하도록 기판 지지부(108)의 지지 표면(111)은 기판 이송 포트(109)와 또는 그 바로 아래에 정렬될 수 있다. 지지 표면(111)은 기판 지지부(108)를 플라즈마 및 증착된 재료로부터 보호하면서 기판(118)을 위에 수용하도록 크기가 정해진 에지 증착 링(136)을 가질 수 있다. 기판 지지부(108)는 프로세스 챔버(100) 내에서 기판(118)을 처리하기 위해 타깃(114)에 더 가깝게, 상승된 위치로 이동될 수 있다. 커버 링(126)은 기판 지지부(108)가 상승된 위치에 있을 때 에지 증착 링(136)과 맞물릴 수 있다. 커버 링(126)은 증착 재료가 기판(118)과 기판 지지부(108) 간에 브리징(bridging)하는 것을 막을 수 있다. 기판 지지부(108)가 하강된 위치에 있을 때, 커버 링(126)은 기판 지지부(108)와 그 위에 포지셔닝된 기판(118) 위에 매달려 기판 이송을 가능하게 한다.
[0029] 이를테면, ALD 챔버로부터 프로세스 챔버(100)로의 기판 이송 중에, 기판(118)을 위에 갖는 (도시되지 않은) 로봇 블레이드가 기판 이송 포트(109)를 관통하여 연장된다. (도시되지 않은) 리프트 핀들이 기판 지지부(108)의 지지 표면(111)을 관통하여 연장되어 기판(118)을 기판 지지부(108)의 지지 표면(111)으로부터 리프트하고, 이에 따라 기판(118)과 기판 지지부(108) 사이에 로봇 블레이드가 통과할 공간을 허용한다. 다음에 로봇은 기판 이송 포트(109)를 통해 기판(118)을 프로세스 챔버(100) 밖으로 운반할 수 있다. 기판 지지부(108) 및/또는 리프트 핀들의 상승 및 하강은 제어기(198)에 의해 제어될 수 있다.
[0030] 스퍼터 증착 동안, 기판(118)의 온도는 기판 지지부(108)에 배치된 열 제어기(138)를 이용함으로써 제어될 수 있다. 기판(118)은 처리를 위해 원하는 온도로 선택적으로 가열될 수 있다. 일부 실시예들에서, 선택적 가열은 기판 및/또는 막 온도를 약 200 내지 약 400℃의 온도로 만드는 데 사용될 수 있다. 다른 실시예들에서, 기판은 실온(약 15℃ 내지 약 30℃)에서 처리될 수 있다. 다른 실시예들에서, 온도는 약 15℃ 내지 약 400℃의 범위를 포함할 수 있다. 처리 후에, 기판(118)은 기판 지지부(108)에 배치된 열 제어기(138)를 이용하여 급속 냉각될 수 있다. 열 제어기(138)는 기판(118)의 온도를 제어하고, 기판(118)의 온도를 수초 내지 약 1분 사이에 제1 온도에서 제2 온도로 변화시키는 데 이용될 수 있다.
[0031] 내부 용적(106) 내에서 타깃(114)과 기판 지지부(108) 사이에 내측 차폐부(120)가 포지셔닝될 수 있다. 내측 차폐부(120)는 다른 재료들 중에서도 알루미늄 또는 스테인리스 스틸로 형성될 수 있다. 일 실시예에서, 내측 차폐부(120)는 스테인리스 스틸로 형성된다. 내측 차폐부(120)와 측벽(102) 사이에 외측 차폐부(122)가 형성될 수 있다. 외측 차폐부(122)는 다른 재료들 중에서도 알루미늄 또는 스테인리스 스틸로 형성될 수 있다. 외측 차폐부(122)는 내측 차폐부(120)를 넘어 연장될 수 있으며, 기판 지지부(108)가 하강된 위치에 있을 때 커버 링(126)을 지지하도록 구성된다.
[0032] 일 실시예에서, 내측 차폐부(120)는 내측 차폐부(120)의 외부 직경보다 더 큰 내부 직경을 포함하는 방사상 플랜지(123)를 포함한다. 방사상 플랜지(123)는 내측 차폐부(120)의 내부 직경 표면에 대해 약 90도(90°)보다 큰 각도로 내측 차폐부(120)로부터 연장된다. 방사상 플랜지(123)는 내측 차폐부(120)의 표면으로부터 연장되는 원형 리지(ridge)일 수 있고 일반적으로 기판 지지부(108) 상에 배치된 커버 링(126)에 형성된 리세스와 정합하도록 적응된다. 리세스는 커버 링(126)에 형성된 원형 홈일 수 있으며, 이 홈은 기판 지지부(108)의 세로 축에 대해 커버 링(126)을 센터링(center)한다.
[0033] 일부 실시예들에서, 프로세스 챔버(100)는 유도 코일(142)을 포함할 수 있다. 프로세스 챔버(100)의 유도 코일(142)은 1회전 또는 1보다 많은 회전을 할 수 있다. 유도 코일(142)은 단지 내측 차폐부(120) 내에 있을 수 있으며 기판 지지부(108) 위에 포지셔닝될 수 있다. 유도 코일(142)은 타깃(114)보다 기판 지지부(108)에 더 근접하게 포지셔닝될 수 있다. 유도 코일(142)은 2차 스퍼터링 타깃으로서 작용하도록 예를 들어, 탄탈 또는 니오븀과 같이 타깃(114)과 조성이 유사한 재료로 형성될 수 있다. 유도 코일(142)은 복수의 코일 스페이서들(140)에 의해 내측 차폐부(120)로부터 지지된다. 코일 스페이서들(140)은 내측 차폐부(120) 및 다른 챔버 컴포넌트들로부터 유도 코일(142)을 전기적으로 절연시킬 수 있다.
[0034] 유도 코일(142)은 전원(150)에 결합될 수 있다. 전원(150)은 프로세스 챔버(100)의 측벽(102), 외측 차폐부(122), 내측 차폐부(120) 및 코일 스페이서들(140)을 관통하는 전기 리드(lead)들을 가질 수 있다. 전기 리드들은 유도 코일(142)에 전력을 제공하기 위해 유도 코일(142) 상의 탭(144)에 접속된다. 탭(144)은 유도 코일(142)에 전력을 제공하기 위한 복수의 절연된 전기 접속부들을 가질 수 있다. 추가로, 탭들(144)은 코일 스페이서들(140)과 인터페이싱하고 유도 코일(142)을 지지하도록 구성될 수 있다. 일 실시예에서, 전원(150)은 유도 코일(142)에 전류를 인가하여 프로세스 챔버(100) 내에서 RF 필드를 유도하고 플라즈마 밀도, 즉 반응성 이온들의 농도를 증가시키기 위해 플라즈마에 전력을 결합한다. 일부 실시예들에서, 유도 코일(142)은 RF 전원(180)의 RF 전력 주파수 미만인 RF 전력 주파수에서 작동된다. 일 실시예에서, 유도 코일(142)에 공급되는 RF 전력 주파수는 약 2㎒이다. 다른 실시예들에서, RF 전력 주파수는 약 1.8㎒ 내지 약 2.2㎒의 범위로 동작할 수 있다. 다른 실시예들에서, RF 전력 주파수는 약 0.1㎒ 내지 99㎒의 범위일 수 있다. 일부 실시예들에서, 유도 코일(142)은 기판 상에 스퍼터링될 수 있는 재료, 이를테면 금속 재료로 만들어진다. 그 다음, 전원(150)은 또한 RF 전력을 플라즈마에 결합하면서 유도 코일(142)의 스퍼터링을 가능하게 하도록 유도 코일(142)에 DC 전력을 인가할 수 있다.
[0035] 제어기(198)가 프로세스 챔버(100)에 결합된다. 제어기(198)는 중앙 처리 유닛(CPU: central processing unit)(160), 메모리(158) 및 지원 회로들(162)을 포함한다. 제어기(198)는 프로세스 시퀀스를 제어하여, 가스 소스(110)로부터 프로세스 챔버(100)로의 가스 흐름들을 조절하고, 타깃(114) 및 유도 코일(142)의 이온 충격을 제어하는 데 이용된다. 일 실시예에서, 제어기(198)는 타깃 및/또는 유도 코일을 스퍼터링하는 동안 그리고 프로세스 챔버(100)의 내부 용적(106) 내로의 에칭 가스의 흐름을 조절하는 동안 제1 전원 공급 장치(예컨대, RF 전원(180))의 제1 RF 전력 레벨, 제2 전원 공급 장치(예컨대, 전원(150))의 제2 RF 전력 레벨, 제2 전원 공급 장치(예컨대, 전원(150))의 제1 DC 전력 레벨 및 제3 전원 공급 장치(예컨대, 전원 공급 장치(117))의 제2 DC 전력 레벨을 조정한다.
[0036] CPU(160)는 산업 환경에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태일 수 있다. 소프트웨어 루틴들이 메모리(158), 이를테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로들(162)은 종래에는 CPU(160)에 결합되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전원 공급 장치들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(160)에 의해 실행될 때, 프로세스들이 본 개시내용에 따라 수행되도록 프로세스 챔버(100)를 제어하는 특수 목적 컴퓨터(제어기)(198)로 CPU(160)를 변환한다. 소프트웨어 루틴들은 또한 프로세스 챔버(100)로부터 원격 위치된 (도시되지 않은) 제2 제어기에 의해 저장 및/또는 실행될 수 있다.
[0037] 도 2는 기판(218)의 처리 동안의 프로세스 챔버(100)의 내부 용적(106)의 대표도(200)이다. 기판(218) 상의 디바이스의 특징들이 예시 목적들로 쉽게 보일 수 있도록 기판(218)은 실측대로 도시되지 않는다. 기판(218)을 처리할 때, PVD 프로세스 챔버는 예를 들어, 탄탈 또는 니오븀 또는 그 유도체 등과 같은 금속을 스퍼터링하기 위해 전원 공급 장치(117) 및 전원(150)을 사용한다. 일부 실시예들에서, 전원 공급 장치(117)는 DC 전력을 생성하여 금속성 타깃을 스퍼터링하도록 동작하는 한편, 전원(150)은 유도 코일(142)을 스퍼터링하기 위한 DC 소스로서 동작하고 RF 전원(180)의 동작 RF 주파수보다 낮은 주파수에서 RF 전원으로서 동작하여 내부 용적(106) 내의 플라즈마 밀도를 증가시킨다. 일부 실시예들에서 전원(150)은 약 0.1㎒ 내지 99㎒의 RF 전력 주파수에서 동작한다. 다른 실시예들에서 전원(150)은 약 1.8㎒ 내지 약 2.2㎒의 RF 전력 주파수에서 동작한다.
[0038] 일부 실시예들에서, 타깃(114) 및 유도 코일(142)은 예를 들어, 탄탈 또는 니오븀 등과 같은 동일한 재료로 구성된다. 이중 소스들은 금속 막을 온전하게 또는 적어도 최소한으로 에칭되게 유지하면서 질소를 선택적으로 에칭하기에 충분한 에너지 및 안정적인 플라즈마를 제공하는 것을 돕는다. RF 전원(180)은 기판(218)을 바이어싱하기 위해 전원(150)의 동작 RF 전력 주파수보다 큰 RF 전력 주파수에서 동작한다. 일부 실시예들에서, RF 전원(180)은 약 1㎒ 내지 약 100㎒의 RF 전력 주파수에서 동작한다. 다른 실시예들에서, RF 전원은 약 13.56㎒의 RF 전력 주파수에서 동작한다.
[0039] 일부 실시예들에서, 가스 소스(110)는 내부 용적(106)으로 가스(208)를 공급한다. 일부 실시예들에서, 가스(208)는 예를 들어, 아르곤(Ar), 헬륨(He), 크세논(Xe), 네온(Ne) 또는 크립톤(Kr)과 같은 희가스일 수 있다. 일부 실시예들에서, 가스(208)는 또한 예를 들어, 질소(N2) 또는 산소(O2)와 같은 반응성 가스일 수 있다. 일부 실시예들에서, 가스(208)는 또한 하나 이상의 희가스들과 하나 이상의 반응성 가스들의 조합일 수 있다. 가스(208)는 기판(218) 위에 형성된 플라즈마(202)에 유입된다. 펌프(212)는 약 10mTorr 미만의 압력으로 내부 용적(106)을 유지하는 한편, 열 제어기(138)는 기판(218)을 약 200 내지 약 400℃로 또는 실온(약 15℃ 내지 약 30℃)으로 유지한다. 타깃(114)은 기판(218)에 입사하는 임의의 각도들로 이온들을 스퍼터링하며, 흔히 각도들은 수직 또는 거의 수직(경사) 피처들에 대해 양호한 커버리지를 제공하지 않는다. 유도 코일(142)은 예각들(204, 206)로 스퍼터링된 이온들을 기판(218)에 제공하여 기판(218) 상의 구조들의 측벽, 베벨들 및 경사 피처들에 대한 커버리지를 제공한다.
[0040] 도 3은 본 개시내용의 실시예들에 따라 기판 상에 증착된 막들을 처리하기 위한 방법(300)이다. 프로세스들은 순서대로 도시되지만, 프로세스들은 정확한 순서로 수행되거나 모든 프로세스들이 수행되어야 할 필요는 없다. 일부 프로세스들은 다른 프로세스들 전 또는 후에 오거나 동시에 수행될 수 있다. 다른 프로세스들을 수행하기 전에 프로세스들 간에 반복들이 발생할 수 있다. 도 1과 도 2 모두에 도시된 엘리먼트들에 대한 참조들이 이루어진다. 기판 상의 ALD 막이 PVD 챔버 내로 삽입되고, 방법(300)은 302에 표시된 바와 같이 프로세스 챔버를 0 압력보다 크고 약 10mTorr 미만인 압력으로 가압함으로써 시작된다. 일부 실시예들에서, 프로세스 챔버는 약 3mTorr로 유지된다.
[0041] 일부 실시예들에서, ALD 막/기판 온도는 처리 중에 실온으로 유지될 수 있다. 다른 실시예들에서, 실온(예컨대, 약 15℃ 내지 약 30℃)에서 기판에 프로세스를 수행하는 대신에, ALD 막/기판은 304에 표시된 바와 같이 약 200℃ 내지 약 400℃로 선택적으로 가열될 수 있다. 다른 실시예들에서, ALD 막/기판은 선택적으로 약 15℃ 내지 약 400℃로 가열될 수 있다. PVD 챔버 환경은 ALD 막 처리 중에 실온으로 또는 중간 온도 내지 고온 그리고 매우 낮은 압력 환경으로 유지될 수 있다. 일부 실시예들에서, 기판 및/또는 ALD 막의 온도는 약 325℃로 유지된다. 기판 상의 ALD 막은 임의의 타입의 재료 또는 재료의 조합들로 구성될 수 있다. 간결함을 위해, 실시예들의 예들은 처리될 ALD 막으로서 TaN 또는 NbN을 사용한다. PVD 챔버에서 처리되기 전의 ALD 막은, 막이 배리어 막으로 사용된다면 등각이지만 낮은 밀도 및 높은 저항률을 가져, ALD 막을 불량한 배리어 막으로 만든다는 점에서 ALD와 연관된 통상적인 특성들을 갖는다.
[0042] 306 및 308에 표시된 바와 같이 스퍼터링/도핑 및 플라즈마를 발생시키기 위해, 타깃(114)과 같은 타깃, 유도 코일(142)과 같은 코일 및 RF 전원(180)과 같은 바이어싱 컴포넌트에 전력이 인가된다. 타깃(114)은 일반적으로 금속성 재료이며, 전원 공급 장치(117)와 같은 전원 공급 장치로부터의 DC 전력을 사용하여 스퍼터링된다. 타깃(114)이 금속 산화물 재료라면 RF 전력이 사용될 수 있다. 일 실시예에서, 유도 코일(142)과 같은 코일은 DC 전원으로서 그리고 약 0.1㎒ 내지 약 99㎒(예컨대, 일부 실시예들에서는 약 1.8㎒ 내지 약 2.2㎒)의 주파수를 갖는 RF 전원으로서 작동되는 한편, RF 전원(180)과 같은 바이어싱 컴포넌트는 유도 코일(142)에 사용되는 주파수보다 큰 주파수(예컨대, 일부 실시예들에서는 약 13.56㎒의 주파수)에서 작동된다(RF 전력과 함께 DC 전력이 또한 유도 코일(142)에 인가될 수 있다). 도 2에 예시된 바와 같이, 타깃(114)은 스퍼터링되는데, 이는 일반적으로 수직인 입사각들로 일반적으로 기판(218)에 영향을 주는 랜덤하게 지향된 이온들을 방출하여 타깃(114)으로부터의 재료(예컨대, 탄탈, 니오븀 등)로 ALD 막을 도핑한다. 유도 코일(142)도 역시 스퍼터링되고, 유도 코일(142)로부터의 이온들은 기판(218)의 표면에 대해 예각들(204, 206)로 지향된다. 유도 코일(142)로부터의 스퍼터링은 유도 코일(142)로부터의 재료(예컨대, 탄탈, 니오븀 등)로 기판(218)의 측벽들, 베벨들 및 경사들을 도핑한다. 이중 소스들이 ALD 막의 선택적 도핑을 가능하게 한다.
[0043] 310에 표시된 바와 같이, ALD 막은 동시에 도핑되어 밀도를 증가시키고 에칭되어 막으로부터 질소를 제거한다. 내부 용적(106)과 같은 PVD 챔버 환경은 예를 들어, 아르곤 또는 아르곤과 질소 또는 다른 희가스들 및/또는 반응성 가스들과 같은 적어도 하나의 가스로 그리고 0 압력보다 크고 약 10mTorr 미만인 압력으로 채워진다. ALD 막으로부터 질소를 방출하기 위해, 도 2의 가스(208)와 같은 가스가 기판(218)과 같은 기판의 에칭을 제공하는 데 사용된다. 압력이 매우 낮게 유지되지 않는다면, 탄탈과 같은 일부 재료들은 매우 우수한 산소 게터(getter)들이며, 더 높은 압력들은 질소 산화물을 생성하여 질소 제거를 비효율적으로 만들 것이다.
[0044] ALD 막의 도핑이 발생하는 동시에, 아르곤 또는 아르곤과 질소 등과 같은 가스(208)가 기판(218) 표면의 저 에너지(0v 내지 -300v) 에칭을 제공한다. 저 에너지 에칭은 ALD 막으로부터 질소의 선택적 제거를 가능하게 한다. 저 에너지 에칭은 탄탈 또는 다른 재료를 무시할 수 있을 정도로 제거하거나 전혀 제거하지 않고 질소를 제거하기 때문에 이러한 에칭은 선택적이다. 에칭은 통상적으로 연결점으로서 사용될 비아(220)의 바닥과 같은 기판 지지부에 수직인 표면들에 가장 큰 영향을 미친다. 비아(220)의 바닥에서 에칭률이 더 높기 때문에, 비아(220)의 저항률이 크게 감소된다. 유도 코일(142)의 스퍼터링은 너무 과도하게 에칭될 기판(218)의 그러한 특징들을 보호하는 데 도움이 되어, 그러한 영역들에서 재료 두께를 유지한다. 이중 소스들(제1 재료 소스 및 제2 재료 소스)― 타깃(114) 및 유도 코일(142) ―은 측벽들에 대해 베벨 보호와 오프각(예각) 처리 모두를 제공한다. 처리 지속기간은 약 10초까지이다. 일부 실시예들에서, 저전압(0v 내지 -1000v)을 갖는 유도 코일(142)만이 처리 중에 소스로서 사용되고(타깃(114)은 스퍼터링되지 않음) 아르곤 에칭이 수행된다. 유도 코일(142)의 저전압은 유도 코일(142)의 스퍼터링을 현저하게 감소시켜, 아르곤 에칭만을 지배적으로 남긴다. 아르곤 에칭 후에 일반적으로 PVD 플래시가 수행되어 기판 상의 디바이스의 임의의 베벨 피처들을 보호한다. PVD 플래시는 얇은 PVD 막 층(예컨대, 약 3 내지 약 20옹스트롬)을 증착하여 표면 형태를 개선한다.
[0045] 일 실시예에서, 약 100와트 내지 약 1000와트 이하의 바이어스 전력과 함께, 유도 코일(142)에 사용되는 RF 전력은 약 100와트 내지 약 5000와트이다. 가스 공급원(110)에 의해 제공되는 가스 유량은 약 100sccm(표준 입방 센티미터/분) 이하이다. 내부 용적(106) 압력은 약 3mTorr로 유지된다. 기판 온도는 열 제어기(138)에 의해 약 325℃로 유지된다. 처리 기간은 약 2초 내지 약 3초이다. 짧은 지속기간은 특히, 통합 시스템 또는 클러스터 툴을 사용할 때 더 높은 처리량(예컨대, 스루풋)을 가능하게 한다(아래 도 4 참조).
[0046] PVD 챔버에서 처리된 후의 ALD 막은, PVD 프로세스들과 연관된 통상적인 특성들을 갖지만 ALD 막의 등각 특성들을 갖는다. 동적 처리 프로세스는 고밀도 및 낮은 저항률을 갖는 오래 지속되는 고품질 배리어 막을 생성한다.
[0047] 본 명세서에서 설명되는 방법들은 독립형 구성으로 또는 클러스터 툴, 예를 들어 도 4와 관련하여 아래에서 설명되는 통합 툴(400)(즉, 클러스터 툴)의 일부로서 제공될 수 있는 개별 프로세스 챔버들에서 수행될 수 있다. 통합 툴(400)을 사용하는 이점은 진공 차단이 없고 PVD 챔버에서의 처리 전에 기판을 탈가스 및 사전 세정할 필요가 없다는 것이다. 통합 툴(400)의 예들은 California, Santa Clara 소재의 Applied Materials, Inc.로부터 입수 가능한 CENTURA®및 ENDURA® 통합 툴들을 포함한다. 그러나 본 명세서에서 설명된 방법들은 적절한 프로세스 챔버들을 갖는 다른 클러스터 툴들을 사용하여 또는 다른 적절한 프로세스 챔버들에서 실시될 수 있다. 예를 들어, 일부 실시예들에서, 위에서 논의된 본 발명의 방법들은 프로세스들 사이에 진공 차단들이 제한적이거나 전혀 없도록 통합 툴에서 유리하게 수행될 수 있다. 예를 들어, 감소된 진공 차단들은 기판의 오염을 제한 또는 방지할 수 있다.
[0048] 통합 툴(400)은 진공 밀폐 처리 플랫폼(401), 팩토리 인터페이스(404) 및 시스템 제어기(402)를 포함한다. 처리 플랫폼(401)은 진공 기판 이송 챔버(이송 챔버들(403A, 403B))에 동작 가능하게 결합된 414A, 414B, 414C, 414D, 414E 및 414F와 같은 다수의 처리 챔버들을 포함한다. 팩토리 인터페이스(404)는 하나 이상의 로드락 챔버들(도 4에 도시된 406A 및 406B와 같은 2개의 로드락 챔버들)에 의해 이송 챔버(403A)에 동작 가능하게 결합된다.
[0049] 일부 실시예들에서, 팩토리 인터페이스(404)는 반도체 기판들의 이송을 가능하게 하도록 적어도 하나의 도킹 스테이션(407), 적어도 하나의 팩토리 인터페이스 로봇(438)을 포함한다. 도킹 스테이션(407)은 하나 이상의 전면 개방 통합 포드(FOUP: front opening unified pod)를 받아들이도록 구성된다. 405A, 405B, 405C 및 405D와 같은 4개의 FOUP들이 도 4의 실시예들에 도시되어 있다. 팩토리 인터페이스 로봇(438)은 406A 및 406B와 같은 로드락 챔버들을 통해 팩토리 인터페이스(404)로부터 처리 플랫폼(401)으로 기판들을 이송하도록 구성된다. 로드락 챔버들(406A, 406B) 각각은 팩토리 인터페이스(404)에 결합된 제1 포트 및 이송 챔버(403A)에 결합된 제2 포트를 갖는다. 로드락 챔버(406A, 406B)는 이송 챔버(403A)의 진공 환경과 팩토리 인터페이스(404)의 실질적으로 주위(예컨대, 대기) 환경 사이에서 기판들을 통과시키는 것을 가능하게 하도록 로드락 챔버들(406A, 406B)을 펌프 다운(pump down) 및 배출하는 (도시되지 않은) 압력 제어 시스템에 결합된다. 이송 챔버들(403A, 403B)은 각각의 이송 챔버들(403A, 403B)에 배치된 진공 로봇들(442A, 442B)을 갖는다. 진공 로봇(442A)은 로드락 챔버(406A, 406B), 처리 챔버들(414A, 414F) 및 냉각 스테이션(440) 또는 사전 세정 스테이션(442) 사이에서 기판들(421)을 이송할 수 있다. 진공 로봇(442B)은 냉각 스테이션(440) 또는 사전 세정 스테이션(442)과 처리 챔버들(414B, 414C, 414D, 414E) 사이에서 기판들(421)을 이송할 수 있다.
[0050] 일부 실시예들에서, 처리 챔버들(414A, 414B, 414C, 414D, 414E, 414F)은 이송 챔버들(403A, 403B)에 결합된다. 처리 챔버들(414A, 414B, 414C, 414D, 414E, 414F)은 적어도 원자 층 증착(ALD) 프로세스 챔버 및 물리 기상 증착(PVD) 프로세스 챔버를 포함한다. CVD 챔버들, 어닐링 챔버들, 추가 ALD 챔버들, 추가 PVD 챔버들 등과 같은 추가 챔버들이 또한 제공될 수 있다. ALD 및 PVD 챔버들은 앞서 논의한 바와 같이, 본 명세서에서 설명된 방법들의 전부 또는 부분들을 수행하기에 적합한 임의의 챔버들을 포함할 수 있다.
[0051] 일부 실시예들에서, (416A 및 416B로서 도시된) 하나 이상의 선택적인 서비스 챔버들이 이송 챔버(403A)에 결합될 수 있다. 서비스 챔버들(416A, 416B)은 탈가스, 배향, 기판 계측, 냉각 등과 같은 다른 기판 프로세스들을 수행하도록 구성될 수 있다.
[0052] 시스템 제어기(402)는 프로세스 챔버들(414A, 414B, 414C, 414D, 414E, 414F)의 직접 제어를 사용하여 또는 대안으로, 프로세스 챔버들(414A, 414B, 414C, 414D, 414E, 414F) 및 툴(400)과 연관된 컴퓨터들(또는 제어기들)을 제어함으로써 툴(400)의 동작을 제어한다. 작동시, 시스템 제어기(402)는 툴(400)의 성능을 최적화하도록 각각의 챔버들 및 시스템들로부터의 데이터 수집 및 피드백을 가능하게 한다. 시스템 제어기(402)는 일반적으로 중앙 처리 유닛(CPU)(430), 메모리(434) 및 지원 회로(432)를 포함한다. CPU(430)는 산업 환경에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태일 수 있다. 지원 회로(432)는 종래에는 CPU(430)에 결합되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전원 공급 장치들 등을 포함할 수 있다. 앞서 설명한 방법과 같은 소프트웨어 루틴들은 메모리(434)에 저장될 수 있으며, CPU(430)에 의해 실행될 때, CPU(430)를 특수 목적 컴퓨터(시스템 제어기(402))로 변환할 수 있다. 소프트웨어 루틴들은 또한, 툴(400)로부터 원격 위치된 (도시되지 않은) 제2 제어기에 의해 저장 및/또는 실행될 수 있다.
[0053] 전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본 범위를 벗어나지 않으면서 본 개시내용의 다른 실시예들 및 추가 실시예들이 안출될 수 있다.

Claims (15)

  1. 기판 상의 원자 층 증착(ALD: atomic layer deposition) 막에 대해 동적 처리를 수행하기 위한 방법으로서,
    프로세스 챔버를 0보다 크고 약 10mTorr 미만인 압력으로 가압하는 단계;
    제1 재료 소스 및 제2 재료 소스를 상기 ALD 막 상에 스퍼터링하는 단계 ― 상기 제1 재료 소스에는 RF 전력 또는 DC 전력이 공급되고, 상기 제2 재료 소스에는 제1 RF 전력 주파수에서의 RF 전력이 그리고 DC 전력이 공급됨 ―;
    제2 RF 전력 주파수에서의 RF 전력으로 상기 ALD 막을 바이어싱하는 단계; 및
    상기 제1 재료 소스 및 상기 제2 재료 소스를 스퍼터링하면서 적어도 하나의 가스로 상기 ALD 막을 에칭하는 단계를 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  2. 제1 항에 있어서,
    희가스, 질소계 가스 또는 산소계 가스 중 적어도 하나로 상기 ALD 막을 에칭하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  3. 제1 항에 있어서,
    약 1.8㎒ 내지 약 2.2㎒의 제1 RF 전력 주파수에서 상기 제2 재료 소스로 스퍼터링하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  4. 제1 항에 있어서,
    상기 제1 RF 전력 주파수보다 큰 제2 RF 전력 주파수로 상기 ALD 막을 바이어싱하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  5. 제1 항에 있어서,
    약 15℃ 내지 약 400℃의 온도로 상기 ALD 막을 처리하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  6. 제1 항에 있어서,
    약 10초까지 상기 동적 처리를 수행하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  7. 제6 항에 있어서,
    약 2초 내지 약 3초 동안 상기 동적 처리를 수행하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  8. 제1 항에 있어서,
    상기 제2 재료 소스가 약 0볼트 내지 약 -1000볼트인 동안 상기 제2 재료 소스만으로 상기 ALD 막 상에 스퍼터링하는 단계; 및
    약 0볼트 내지 약 -1000볼트에서 상기 제2 재료 소스를 스퍼터링하는 동안 약 0볼트 내지 약 -300볼트 에너지 상태에서 적어도 하나의 가스로 상기 ALD 막을 에칭하는 단계를 더 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  9. 제1 항에 있어서,
    막 스택을 생성하는 프로세스의 적어도 일부 동안 상기 동적 처리를 적용하는 단계를 더 포함하며,
    상기 막 스택은 탄탈 질화물/코발트 막 스택, 탄탈 질화물/코발트/구리 막 스택, 탄탈 질화물/탄탈/루테늄/구리 막 스택, 및 탄탈 질화물/루테늄/구리 막 스택으로 이루어진 그룹으로부터 선택된 적어도 하나의 막 스택을 포함하는,
    기판 상의 원자 층 증착(ALD) 막에 대해 동적 처리를 수행하기 위한 방법.
  10. 통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법으로서,
    ALD 프로세스 챔버에서 기판 상에 ALD 막을 증착하는 단계;
    진공 차단 없이 상기 기판을 물리 기상 증착(PVD: physical vapor deposition) 프로세스 챔버로 이송하는 단계;
    상기 PVD 프로세스 챔버를 0보다 크고 약 10mTorr 미만인 압력으로 가압하는 단계;
    상기 ALD 막을 제1 재료 및 제2 재료로 동시에 도핑하는 단계; 및
    상기 ALD 막을 상기 제1 재료 및 상기 제2 재료로 도핑하면서 적어도 하나의 가스로 상기 ALD 막을 에칭하는 단계를 포함하는,
    통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법.
  11. 제10 항에 있어서,
    DC 전력을 사용하여 상기 제1 재료를 스퍼터링함으로써 상기 ALD 막을 상기 제1 재료로 도핑하는 단계;
    제1 RF 전력 주파수에서의 RF 전력 및 DC 전력을 사용하여 상기 제2 재료를 스퍼터링함으로써 상기 제2 재료로 상기 ALD 막을 도핑하는 단계; 및
    제2 RF 전력 주파수에서의 RF 전력으로 상기 기판을 바이어싱하는 단계를 더 포함하는,
    통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법.
  12. 제11 항에 있어서,
    약 100와트 내지 약 5000와트의 전력 레벨로 상기 제1 RF 전력 주파수를 공급하는 단계; 및
    약 100와트 내지 약 1000와트의 전력 레벨로 상기 제2 RF 전력 주파수를 공급하는 단계를 더 포함하는,
    통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법.
  13. 제10 항에 있어서,
    약 100sccm 미만의 레이트로 상기 ALD 막을 에칭하도록 상기 적어도 하나의 가스를 유동시키는 단계를 더 포함하는,
    통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법.
  14. 제10 항에 있어서,
    상기 기판의 표면에 대해 예각으로 위치된 상기 제2 재료 소스로 상기 ALD 막을 도핑하는 단계를 더 포함하는,
    통합 툴을 사용하여 기판 상의 원자 층 증착(ALD) 막을 처리하기 위한 방법.
  15. 기판 상의 막 증착을 위한 장치로서,
    기판 지지부, 타깃, 및 상기 기판 지지부와 상기 타깃 사이에 위치된 유도 코일을 갖는, 내부 용적을 갖는 프로세스 챔버;
    에칭 가스를 제공하는, 상기 프로세스 챔버의 내부 용적에 유체 결합된 가스 공급부;
    상기 기판 지지부와 상호 작용하여 제1 주파수에서 RF 전력을 공급하는 제1 전원 공급 장치;
    상기 유도 코일과 상호 작용하여 상기 제1 주파수 미만인 제2 주파수에서의 RF 전력 및 제1 DC 전력을 공급하는 제2 전원 공급 장치;
    상기 타깃과 상호 작용하여 제2 DC 전력을 공급하는 제3 전원 공급 장치; 및
    상기 타깃 또는 유도 코일을 스퍼터링하는 동안 그리고 상기 프로세스 챔버의 내부 용적 내로의 상기 에칭 가스의 흐름을 조절하는 동안 상기 제1 전원 공급 장치의 제1 RF 전력 레벨, 상기 제2 전원 공급 장치의 제2 RF 전력 레벨, 상기 제2 전원 공급 장치의 제1 DC 전력 레벨 및 상기 제3 전원 공급 장치의 제2 DC 전력 레벨을 조정하는 제어기를 포함하는,
    기판 상의 막 증착을 위한 장치.
KR1020197032800A 2017-04-07 2018-04-03 배리어 막 증착 및 처리 KR102574313B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/482,198 2017-04-07
US15/482,198 US10563304B2 (en) 2017-04-07 2017-04-07 Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
PCT/US2018/025793 WO2018187262A1 (en) 2017-04-07 2018-04-03 Barrier film deposition and treatment

Publications (2)

Publication Number Publication Date
KR20190128741A true KR20190128741A (ko) 2019-11-18
KR102574313B1 KR102574313B1 (ko) 2023-09-01

Family

ID=63711286

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197032800A KR102574313B1 (ko) 2017-04-07 2018-04-03 배리어 막 증착 및 처리

Country Status (5)

Country Link
US (1) US10563304B2 (ko)
KR (1) KR102574313B1 (ko)
CN (1) CN110574143B (ko)
TW (1) TWI801374B (ko)
WO (1) WO2018187262A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11365147B2 (en) * 2017-04-27 2022-06-21 Schott Ag Optical component, preferably with improved degradation resistance, and method for producing same
US10566232B2 (en) * 2017-05-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post-etch treatment of an electrically conductive feature
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
US20220364230A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010001190A1 (en) * 1997-01-02 2001-05-17 Micron Technology, Inc. Method of in-situ cleaning and deposition of device structures in a high density plasma environment
JP2003311696A (ja) * 2002-04-12 2003-11-05 Xerox Corp ばね構造、ばね構造の製造方法、統合型処理ツール、及びウェハ上にばね構造を製造する方法
US20060057843A1 (en) * 2002-09-11 2006-03-16 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
KR20070015937A (ko) * 2004-05-26 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 스퍼터 반응기에서 금속 배리어를 형성하는 다단계프로세스에 이용되는 가변성 4중극 전자석 어레이
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077402A (en) 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6350353B2 (en) 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US20050277292A1 (en) * 2004-05-28 2005-12-15 Chao-Hsien Peng Method for fabricating low resistivity barrier for copper interconnect
US7202187B2 (en) * 2004-06-29 2007-04-10 International Business Machines Corporation Method of forming sidewall spacer using dual-frequency plasma enhanced CVD
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20080132060A1 (en) * 2006-11-30 2008-06-05 Macronix International Co., Ltd. Contact barrier layer deposition process
JP2009270158A (ja) * 2008-05-08 2009-11-19 Canon Anelva Corp マグネトロンスパッタリング装置及び薄膜の製造法
US20100314245A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US20100314244A1 (en) * 2009-06-12 2010-12-16 Applied Materials, Inc. Ionized Physical Vapor Deposition for Microstructure Controlled Thin Film Deposition
US10109481B2 (en) * 2012-07-02 2018-10-23 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10096548B2 (en) * 2015-03-16 2018-10-09 Tokyo Electron Limited Method of manufacturing Cu wiring

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010001190A1 (en) * 1997-01-02 2001-05-17 Micron Technology, Inc. Method of in-situ cleaning and deposition of device structures in a high density plasma environment
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2003311696A (ja) * 2002-04-12 2003-11-05 Xerox Corp ばね構造、ばね構造の製造方法、統合型処理ツール、及びウェハ上にばね構造を製造する方法
US20060057843A1 (en) * 2002-09-11 2006-03-16 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR20070015937A (ko) * 2004-05-26 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 스퍼터 반응기에서 금속 배리어를 형성하는 다단계프로세스에 이용되는 가변성 4중극 전자석 어레이
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials

Also Published As

Publication number Publication date
TWI801374B (zh) 2023-05-11
CN110574143B (zh) 2023-12-15
US20180294162A1 (en) 2018-10-11
KR102574313B1 (ko) 2023-09-01
TW201842218A (zh) 2018-12-01
CN110574143A (zh) 2019-12-13
WO2018187262A1 (en) 2018-10-11
US10563304B2 (en) 2020-02-18

Similar Documents

Publication Publication Date Title
KR102574313B1 (ko) 배리어 막 증착 및 처리
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
US11965236B2 (en) Method of forming nickel silicide materials
US9984976B2 (en) Interconnect structures and methods of formation
TWI651807B (zh) Cu配線之製造方法
US10014179B2 (en) Methods for forming cobalt-copper selective fill for an interconnect
US20220328348A1 (en) Impurity Removal in Doped ALD Tantalum Nitride
US11170998B2 (en) Method and apparatus for depositing a metal containing layer on a substrate
US20220364230A1 (en) Pulsing plasma treatment for film densification
JP2023541083A (ja) 金属リフローを強化するための混合層のための方法および装置
WO2011034089A1 (ja) 成膜方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant