KR20190033401A - Apparatus and method for treating substrate - Google Patents

Apparatus and method for treating substrate Download PDF

Info

Publication number
KR20190033401A
KR20190033401A KR1020170154769A KR20170154769A KR20190033401A KR 20190033401 A KR20190033401 A KR 20190033401A KR 1020170154769 A KR1020170154769 A KR 1020170154769A KR 20170154769 A KR20170154769 A KR 20170154769A KR 20190033401 A KR20190033401 A KR 20190033401A
Authority
KR
South Korea
Prior art keywords
additional
antenna
substrate
high frequency
coils
Prior art date
Application number
KR1020170154769A
Other languages
Korean (ko)
Other versions
KR101979597B1 (en
Inventor
갈스티안
멜리키안
김영빈
안종환
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to US16/120,498 priority Critical patent/US20190088449A1/en
Priority to CN201811105255.9A priority patent/CN109545641B/en
Publication of KR20190033401A publication Critical patent/KR20190033401A/en
Application granted granted Critical
Publication of KR101979597B1 publication Critical patent/KR101979597B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

Disclosed is a substrate processing apparatus. The substrate processing apparatus includes: a process chamber having a processing space therein; a support unit supporting a substrate in the processing space; a gas supply unit supplying a gas into the processing space; and a plasma generation unit generating plasma from the gas in the processing space, wherein the plasma generation unit includes: a high frequency power source; a high frequency antenna to which an electric current is applied from the high frequency power source; and an additional antenna which is provided to be spaced apart from the high frequency antenna and to which a coupling electric current is applied from the high frequency antenna.

Description

기판 처리 장치 및 기판 처리 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATE}[0001] APPARATUS AND METHOD FOR TREATING SUBSTRATE [0002]

본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것으로, 보다 상세하게는 기판 상의 모든 영역에 플라즈마를 균일하게 공급할 수 있는 기판 처리 장치 및 기판 처리 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a substrate processing method, and more particularly, to a substrate processing apparatus and a substrate processing method capable of uniformly supplying plasma to all regions on a substrate.

반도체 제조 공정은 플라즈마를 이용하여 기판을 처리하는 공정을 포함할 수 있다. 예를 들어, 반도체 제조 공정 중 에칭 공정은 플라즈마를 이용하여 기판 상의 박막을 제거할 수 있다.The semiconductor manufacturing process may include processing the substrate using plasma. For example, an etching process during a semiconductor manufacturing process can remove a thin film on a substrate using a plasma.

기판 처리 공정에 플라즈마를 이용하기 위해, 공정 챔버에 플라즈마를 발생시킬 수 있는 플라즈마 발생 유닛이 장착된다. 이 플라즈마 발생 유닛은 플라즈마 발생 방식에 따라 크게 CCP(Capacitively Coupled Plasma) 타입과 ICP(Inductively Coupled Plasma) 타입으로 나뉜다. CCP 타입의 소스는 챔버 내에 두 전극이 서로 마주보도록 배치되고, 두 전극 중 어느 하나 또는 둘 모두에 RF 신호를 인가하여 챔버 내에 전기장을 형성함으로써 플라즈마를 생성한다. 반면, ICP 타입의 소스는 챔버에 하나 또는 그 이상의 코일이 설치되고, 코일에 RF 신호를 인가하여 챔버 내에 전자장을 유도함으로써 플라즈마를 생성한다.In order to use the plasma in the substrate processing process, a plasma generating unit capable of generating plasma in the process chamber is mounted. The plasma generating unit is classified into a capacitively coupled plasma (CCP) type and an inductively coupled plasma (ICP) type according to a plasma generation method. The source of the CCP type is arranged so that two electrodes are facing each other in the chamber, and an RF signal is applied to either or both electrodes to generate an electric field in the chamber to generate plasma. On the other hand, an ICP-type source generates plasma by introducing one or more coils into a chamber and applying an RF signal to the coils to induce an electromagnetic field in the chamber.

도 1을 참조하면, 종래 ICP 타입의 경우, 기판상에 공급되는 플라즈마의 밀도가 균일하도록 각 안테나에 공급되는 전류 또는 위상을 제어하였는데, 기판의 에지 영역에 공급되는 플라즈마의 밀도는 조절하지 못하는 문제가 있었다.1, in the case of the conventional ICP type, the current or phase supplied to each antenna is controlled so that the density of the plasma supplied to the substrate is uniform. However, the density of the plasma supplied to the edge region of the substrate is not controlled .

본 발명의 목적은 기판의 에지 영역에 공급되는 플라즈마의 밀도를 조절할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공함에 있다.It is an object of the present invention to provide a substrate processing apparatus and a substrate processing method capable of adjusting the density of a plasma supplied to an edge region of a substrate.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and the problems not mentioned can be clearly understood by those skilled in the art from the description and the accompanying drawings will be.

상술한 목적을 달성하기 위한 본 발명의 일 실시 예에 따른 기판 처리 장치는, 내부에 처리 공간을 가지는 공정 챔버, 상기 처리 공간 내에서 기판을 지지하는 지지 유닛, 상기 처리 공간 내로 가스를 공급하는 가스 공급 유닛 및 상기 처리 공간 내에서 상기 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하되, 상기 플라즈마 발생 유닛은, 고주파 전원, 상기 고주파 전원으로부터 전류가 인가되는 고주파 안테나 및 상기 고주파 안테나와 이격되게 제공되며, 상기 고주파 안테나로부터 커플링 전류가 인가되는 부가 안테나를 포함한다.According to an aspect of the present invention, there is provided a substrate processing apparatus including a processing chamber having a processing space therein, a support unit for supporting the substrate in the processing space, a gas supplying unit for supplying gas into the processing space, And a plasma generation unit for generating a plasma from the gas in the processing space, wherein the plasma generation unit is provided with a high frequency power source, a high frequency antenna to which a current is supplied from the high frequency power source, and a high frequency antenna And an additional antenna to which a coupling current is applied from the high-frequency antenna.

여기서, 상기 부가 안테나는, 상기 고주파 전원과 독립적으로 제공될 수 있다.Here, the additional antenna may be provided independently of the high frequency power source.

또한, 상기 부가 안테나는, 폐쇄 회로로 제공될 수 있다.Further, the additional antenna may be provided as a closed circuit.

또한, 상기 부가 안테나는, 상부에서 바라볼 때 상기 부가 안테나가 제공된 영역이 상기 처리 공간 내부 중 가장자리 영역과 중첩되도록 제공될 수 있다.The additional antenna may be provided such that an area provided with the additional antenna when viewed from above is overlapped with an edge area of the inside of the process space.

또한, 상기 부가 안테나는, 복수 개의 부가 코일을 포함하고, 상기 복수 개의 부가 코일은 상기 고주파 안테나의 길이 방향을 따라 배치될 수 있다.The additional antenna may include a plurality of additional coils, and the plurality of additional coils may be disposed along the longitudinal direction of the high frequency antenna.

여기서, 상기 부가 코일에는 부가 커패시터가 연결될 수 있다.Here, an additional capacitor may be connected to the additional coil.

여기서, 상기 부가 코일에 연결된 부가 커패시터들 중 일부는 서로 다른 용량으로 제공될 수 있다.Here, some of the additional capacitors connected to the additional coil may be provided at different capacities.

또한, 상기 부가 커패시터는, 가변 커패시터일 수 있다.Further, the additional capacitor may be a variable capacitor.

또한, 상기 복수 개의 부가 코일은 상기 고주파 안테나의 외측에 제공될 수 있다.The plurality of additional coils may be provided outside the high frequency antenna.

또한, 상기 고주파 안테나는 외부 안테나를 포함하되, 상기 외부 안테나는 복수 개의 외부 코일을 포함하고, 상기 부가 코일들 중 하나는 상기 외부 코일들 중 하나와 커플링되며, 상기 부가 코일들은 서로 다른 상기 외부 코일에 커플링될 수 있다.The high frequency antenna may include an external antenna, wherein the external antenna includes a plurality of external coils, one of the additional coils is coupled to one of the external coils, May be coupled to the coil.

여기서, 상기 고주파 안테나는 상기 외부 안테나의 내측에 배치되는 내부 안테나를 더 포함할 수 있다.Here, the high-frequency antenna may further include an internal antenna disposed inside the external antenna.

또한, 상기 플라즈마 발생 유닛은, 상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수 개의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어하는 제어기;를 더 포함할 수 있다.The plasma generating unit may further include a controller for individually adjusting the capacities of the additional capacitors and controlling the plasma density of the regions facing the plurality of additional coils.

여기서, 상기 지지 유닛은, 상기 기판 상의 영역별 플라즈마 밀도를 검출하는 센서를 포함하고, 상기 제어기는, 상기 센서에서 검출된 기판 상의 영역별 플라즈마 밀도에 기초하여 상기 부가 커패시터의 용량을 조절할 수 있다.Here, the supporting unit includes a sensor for detecting a plasma density per region on the substrate, and the controller can adjust the capacity of the additional capacitor based on the plasma density of each region on the substrate detected by the sensor.

한편, 본 발명의 일 실시 예에 따른 플라즈마 생성 장치는, 고주파 전원, 상기 고주파 전원으로부터 전류가 인가되는 고주파 안테나 및 상기 고주파 안테나와 이격되게 제공되며, 상기 고주파 안테나와 커플링되어 커플링 전류가 인가되는 부가 안테나를 포함한다.A plasma generating apparatus according to an embodiment of the present invention includes a high frequency power source, a high frequency antenna to which a current is supplied from the high frequency power source, and a high frequency antenna. The plasma generating apparatus is coupled to the high frequency antenna, And an additional antenna.

여기서, 상기 고주파 안테나는 외부 안테나를 포함하되, 상기 외부 안테나는, 일단이 상기 고주파 안테나에 연결되고 타단이 접지되는 외부 코일을 포함하고, 상기 부가 안테나는, 상기 고주파 전원과 독립적으로 제공되는 부가 코일을 포함하며, 상기 부가 코일은 상기 외부 코일과 커플링될 수 있다.Here, the high-frequency antenna includes an external antenna, and the external antenna includes an external coil having one end connected to the high-frequency antenna and the other end grounded, and the additional antenna includes an additional coil And the additional coil may be coupled to the outer coil.

여기서, 상기 부가 코일에는 부가 커패시터가 연결될 수 있다.Here, an additional capacitor may be connected to the additional coil.

여기서, 상기 부가 코일에 연결된 부가 커패시터들 중 일부는 서로 다른 용량으로 제공될 수 있다.Here, some of the additional capacitors connected to the additional coil may be provided at different capacities.

또한, 상기 부가 커패시터는, 가변 커패시터일 수 있다.Further, the additional capacitor may be a variable capacitor.

여기서, 플라즈마 생성 장치는, 상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수 개의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어하는 제어기를 더 포함할 수 있다.The plasma generating apparatus may further include a controller for individually adjusting the capacities of the additional capacitors and controlling the plasma density in the regions facing the plurality of additional coils.

한편, 본 발명의 일 실시 예에 따른 기판 처리 방법은, 내부에 처리 공간을 가지는 공정 챔버, 상기 처리 공간 내에서 플라즈마를 발생시키는 고주파 안테나 및 상기 고주파 안테나로부터 커플링 전류가 인가되는 부가 안테나를 포함하는 기판 처리 장치의 기판 처리 방법에 있어서, 상기 부가 안테나를 제어하여 상기 처리 공간의 내부에서 가장자리 영역의 플라즈마 밀도를 제어하는 단계를 포함한다.Meanwhile, a substrate processing method according to an embodiment of the present invention includes a process chamber having a processing space therein, a high-frequency antenna for generating a plasma in the processing space, and an additional antenna to which a coupling current is applied from the high-frequency antenna And controlling the plasma density of the edge region inside the processing space by controlling the additional antenna.

여기서, 상기 부가 안테나는, 복수 개의 부가 코일 및 부가 코일에 연결되는 부가 커패시터를 포함할 수 있다.Here, the additional antenna may include a plurality of additional coils and additional capacitors connected to the additional coils.

여기서, 상기 부가 커패시터들 중 일부는 서로 다른 용량으로 제공될 수 있다.Here, some of the additional capacitors may be provided at different capacities.

또한, 상기 부가 커패시터는, 가변 커패시터이며, 상기 플라즈마 밀도를 제어하는 단계는, 상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어할 수 있다.The additional capacitor may be a variable capacitor, and the step of controlling the plasma density may individually control the capacitance of the additional capacitor to control the plasma density of the region facing each of the plurality of additional coils.

여기서, 기판 처리 방법은, 상기 기판 상의 영역별 플라즈마 밀도를 검출하는 단계를 더 포함하고, 상기 플라즈마 밀도를 제어하는 단계는, 상기 기판 상의 영역별 플라즈마 밀도에 기초하여 상기 부가 커패시터의 용량을 조절할 수 있다.Wherein the step of controlling the plasma density comprises the step of adjusting the capacity of the additional capacitors based on the plasma density per area on the substrate, have.

이상과 같이 본 발명의 다양한 실시 예에 따르면 커플링 전류가 인가되는 부가 안테나를 이용하여 기판의 에지 영역에 공급되는 플라즈마 밀도를 제어할 수 있다.As described above, according to various embodiments of the present invention, the plasma density supplied to the edge region of the substrate can be controlled using the additional antenna to which the coupling current is applied.

도 1은 종래 기판 처리 장치에서 기판 상에 공급되는 플라즈마 밀도가 균일하게 제공되지 않음을 나타내는 도면이다.
도 2는 본 발명의 일 실시 예에 따른 기판 처리 장치를 나타내는 도면이다.
도 3은 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛을 나타내는 도면이다.
도 4는 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛에 의해 기판 상의 영역별 플라즈마 밀도가 제어되는 과정을 나타내는 도면이다.
도 5는 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛을 나타내는 회로도이다.
도 6 내지 도 8은 본 발명의 다양한 실시 예에 따른 플라즈마 발생 유닛을 나타내는 회로도이다.
도 9는 본 발명의 일 실시 예에 따른 기판 처리 방법을 나타내는 흐름도이다.
도 10 및 도 11은 본 발명의 다른 실시 예에 따른 기판 처리 장치의 예시적인 도면이다.
1 is a view showing that a plasma density supplied on a substrate in a conventional substrate processing apparatus is not uniformly provided.
2 is a view showing a substrate processing apparatus according to an embodiment of the present invention.
3 is a view showing a plasma generating unit according to an embodiment of the present invention.
FIG. 4 is a diagram illustrating a process of controlling the plasma density per region on a substrate by the plasma generating unit according to an embodiment of the present invention.
5 is a circuit diagram showing a plasma generating unit according to an embodiment of the present invention.
6 to 8 are circuit diagrams showing a plasma generating unit according to various embodiments of the present invention.
9 is a flowchart showing a substrate processing method according to an embodiment of the present invention.
10 and 11 are exemplary views of a substrate processing apparatus according to another embodiment of the present invention.

본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시 예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited due to the embodiments described below. The present embodiments are provided to enable those skilled in the art to more fully understand the present invention. Accordingly, the shapes of the components and the like in the drawings are exaggerated in order to emphasize a clearer description.

도 2는 본 발명의 일 실시 예에 따른 기판 처리 장치(10)를 예시적으로 나타내는 도면이다.FIG. 2 is an exemplary view of a substrate processing apparatus 10 according to an embodiment of the present invention.

도 2를 참조하면, 기판 처리 장치(10)는 플라즈마를 이용하여 기판(W)을 처리한다. 예를 들어, 기판 처리 장치(10)는 기판(W)에 대하여 식각 공정을 수행할 수 있다. 기판 처리 장치(10)는 공정 챔버(100), 지지 유닛(200), 가스 공급 유닛(300), 플라즈마 발생 유닛(400) 및 배플 유닛(500)을 포함할 수 있다.Referring to Fig. 2, the substrate processing apparatus 10 processes the substrate W using plasma. For example, the substrate processing apparatus 10 may perform an etching process on the substrate W. [ The substrate processing apparatus 10 may include a process chamber 100, a support unit 200, a gas supply unit 300, a plasma generation unit 400, and a baffle unit 500.

공정 챔버(100)는 기판 처리 공정이 수행되는 공간을 제공한다. 공정 챔버(100)는 하우징(110), 밀폐 커버(120) 및 라이너(130)를 포함한다. The process chamber 100 provides a space in which the substrate processing process is performed. The process chamber 100 includes a housing 110, a seal cover 120, and a liner 130.

하우징(110)은 내부에 상면이 개방된 공간을 갖는다. 하우징(110)의 내부 공간은 기판 처리 공정이 수행되는 처리 공간으로 제공된다. 하우징(110)은 금속 재질로 제공된다. 하우징(110)은 알루미늄 재질로 제공될 수 있다. 하우징(110)은 접지될 수 있다. 하우징(110)의 바닥면에는 배기홀(102)이 형성된다. 배기홀(102)은 배기 라인(151)과 연결된다. 공정 과정에서 발생한 반응 부산물 및 하우징의 내부 공간에 머무르는 가스는 배기 라인(151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 하우징(110) 내부는 소정의 압력으로 감압된다.The housing 110 has a space whose top surface is open inside. The inner space of the housing 110 is provided to the processing space where the substrate processing process is performed. The housing 110 is made of a metal material. The housing 110 may be made of aluminum. The housing 110 may be grounded. An exhaust hole 102 is formed in the bottom surface of the housing 110. The exhaust hole 102 is connected to the exhaust line 151. The reaction by-products generated in the process and the gas staying in the inner space of the housing can be discharged to the outside through the exhaust line 151. The inside of the housing 110 is reduced in pressure to a predetermined pressure by the exhaust process.

밀폐 커버(120)는 하우징(110)의 개방된 상면을 덮는다. 밀폐 커버(120)는 판 형상으로 제공되며, 하우징(110)의 내부 공간을 밀폐시킨다. 밀폐 커버(120)는 유전체(dielectric substance) 창을 포함할 수 있다.The sealing cover 120 covers the open upper surface of the housing 110. The sealing cover 120 is provided in a plate shape to seal the inner space of the housing 110. The sealing cover 120 may include a dielectric substance window.

라이너(130)는 하우징(110) 내부에 제공된다. 라이너(130)는 상면 및 하면이 개방된 공간의 내부에 형성된다. 라이너(130)는 원통 형상으로 제공될 수 있다. 라이너(130)는 하우징(110)의 내측면에 상응하는 반경을 가질 수 있다. 라이너(130)는 하우징(110)의 내측면을 따라 제공된다. 라이너(130)의 상단에는 지지 링(131)이 형성된다. 지지 링(131)은 링 형상의 판으로 제공되며, 라이너(130)의 둘레를 따라 라이너(130)의 외측으로 돌출된다. 지지 링(131)은 하우징(110)의 상단에 놓이며, 라이너(130)를 지지한다. 라이너(130)는 하우징(110)과 동일한 재질로 제공될 수 있다. 즉, 라이너(130)는 알루미늄 재질로 제공될 수 있다. 라이너(130)는 하우징(110) 내측면을 보호한다. 공정 가스가 여기되는 과정에서 챔버(100) 내부에는 아크(Arc) 방전이 발생될 수 있다. 아크 방전은 주변 장치들을 손상시킨다. 라이너(130)는 하우징(110)의 내측면을 보호하여 하우징(110)의 내측면이 아크 방전으로 손상되는 것을 방지한다. 또한, 기판 처리 공정 중에 발생한 불순물이 하우징(110)의 내측벽에 증착되는 것을 방지한다. 라이너(130)는 하우징(110)에 비하여 비용이 저렴하고, 교체가 용이하다. 따라서, 아크 방전으로 라이너(130)가 손상될 경우, 작업자는 새로운 라이너(130)로 교체할 수 있다.The liner 130 is provided inside the housing 110. The liner 130 is formed inside the space where the upper surface and the lower surface are opened. The liner 130 may be provided in a cylindrical shape. The liner 130 may have a radius corresponding to the inner surface of the housing 110. The liner 130 is provided along the inner surface of the housing 110. At the upper end of the liner 130, a support ring 131 is formed. The support ring 131 is provided in the form of a ring and projects outwardly of the liner 130 along the periphery of the liner 130. The support ring 131 rests on the top of the housing 110 and supports the liner 130. The liner 130 may be provided in the same material as the housing 110. That is, the liner 130 may be made of aluminum. The liner 130 protects the inside surface of the housing 110. An arc discharge may be generated in the chamber 100 during the process gas excitation. Arc discharge damages peripheral devices. The liner 130 protects the inner surface of the housing 110 to prevent the inner surface of the housing 110 from being damaged by the arc discharge. Also, impurities generated during the substrate processing process are prevented from being deposited on the inner wall of the housing 110. The liner 130 is less expensive than the housing 110 and is easier to replace. Thus, if the liner 130 is damaged by an arc discharge, the operator can replace the new liner 130.

하우징(110)의 내부에는 기판 지지 유닛(200)이 위치한다. 기판 지지 유닛(200)은 기판(W)을 지지한다. 기판 지지 유닛(200)은 정전기력을 이용하여 기판(W)을 흡착하는 정전 척(210)을 포함할 수 있다. 이와 달리, 기판 지지 유닛(200)은 기계적 클램핑과 같은 다양한 방식으로 기판(W)을 지지할 수도 있다. 이하에서는 정전 척(210)을 포함하는 지지 유닛(200)에 대하여 설명한다.The substrate supporting unit 200 is located inside the housing 110. The substrate supporting unit 200 supports the substrate W. The substrate supporting unit 200 may include an electrostatic chuck 210 for attracting the substrate W using an electrostatic force. Alternatively, the substrate support unit 200 may support the substrate W in a variety of ways, such as mechanical clamping. Hereinafter, the supporting unit 200 including the electrostatic chuck 210 will be described.

지지 유닛(200)은 정전 척(210), 절연 플레이트(250) 및 하부 커버(270)를 포함한다. 지지 유닛(200)은 챔버(100) 내부에서 하우징(110)의 바닥면으로부터 상부로 이격되어 위치될 수 있다.The supporting unit 200 includes an electrostatic chuck 210, an insulating plate 250 and a lower cover 270. The support unit 200 may be positioned within the chamber 100 and spaced upwardly from the bottom surface of the housing 110.

정전 척(210)은 유전판(220), 전극(223), 히터(225), 지지판(230) 및 포커스 링(240)을 포함한다.The electrostatic chuck 210 includes a dielectric plate 220, electrodes 223, a heater 225, a support plate 230, and a focus ring 240.

유전판(220)은 정전 척(210)의 상단부에 위치한다. 유전판(220)은 원판 형상의 유전체(dielectric substance)로 제공된다. 유전판(220)의 상면에는 기판(W)이 놓인다. 유전판(220)의 상면은 기판(W)보다 작은 반경을 갖는다. 때문에, 기판(W) 가장자리 영역은 유전판(220)의 외측에 위치한다. 유전판(220)에는 제1 공급 유로(221)가 형성된다. 제1 공급 유로(221)는 유전판(210)의 상면으로부터 저면으로 제공된다. 제1 공급 유로(221)는 서로 이격하여 복수 개 형성되며, 기판(W)의 저면으로 열전달 매체가 공급되는 통로로 제공된다.The dielectric plate 220 is located at the upper end of the electrostatic chuck 210. The dielectric plate 220 is provided as a disk-shaped dielectric substance. A substrate W is placed on the upper surface of the dielectric plate 220. The upper surface of the dielectric plate 220 has a smaller radius than the substrate W. [ Therefore, the edge region of the substrate W is located outside the dielectric plate 220. A first supply passage 221 is formed in the dielectric plate 220. The first supply passage 221 is provided from the upper surface to the lower surface of the dielectric plate 210. A plurality of first supply passages 221 are spaced apart from each other and are provided as passages through which the heat transfer medium is supplied to the bottom surface of the substrate W.

유전판(220)의 내부에는 하부 전극(223)과 히터(225)가 매설된다. 하부 전극(223)은 히터(225)의 상부에 위치한다. 하부 전극(223)은 제1 하부 전원(223a)과 전기적으로 연결된다. 제1 하부 전원(223a)은 직류 전원을 포함한다. 하부 전극(223)과 제1 하부 전원(223a) 사이에는 스위치(223b)가 설치된다. 하부 전극(223)은 스위치(223b)의 온/오프에 의해 제1 하부 전원(223a)과 전기적으로 연결될 수 있다. 스위치(223b)가 온 되면, 하부 전극(223)에는 직류 전류가 인가된다. 하부 전극(223)에 인가된 전류에 의해 하부 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 유전판(220)에 흡착된다.A lower electrode 223 and a heater 225 are buried in the dielectric plate 220. The lower electrode 223 is located above the heater 225. The lower electrode 223 is electrically connected to the first lower power source 223a. The first lower power source 223a includes a DC power source. A switch 223b is provided between the lower electrode 223 and the first lower power source 223a. The lower electrode 223 may be electrically connected to the first lower power source 223a by turning on / off the switch 223b. When the switch 223b is turned on, a direct current is applied to the lower electrode 223. An electrostatic force is applied between the lower electrode 223 and the substrate W by the current applied to the lower electrode 223 and the substrate W is attracted to the dielectric plate 220 by the electrostatic force.

히터(225)는 제2 하부 전원(225a)과 전기적으로 연결된다. 히터(225)는 제2 하부 전원(225a)에서 인가된 전류에 저항함으로써 열을 발생시킨다. 발생된 열은 유전판(220)을 통해 기판(W)으로 전달된다. 히터(225)에서 발생된 열에 의해 기판(W)은 소정 온도로 유지된다. 히터(225)는 나선 형상의 코일을 포함한다.The heater 225 is electrically connected to the second lower power source 225a. The heater 225 generates heat by resisting the current applied from the second lower power supply 225a. The generated heat is transferred to the substrate W through the dielectric plate 220. The substrate W is maintained at a predetermined temperature by the heat generated in the heater 225. The heater 225 includes a helical coil.

유전판(220)의 하부에는 지지판(230)이 위치한다. 유전판(220)의 저면과 지지판(230)의 상면은 접착제(236)에 의해 접착될 수 있다. 지지판(230)은 알루미늄 재질로 제공될 수 있다. 지지판(230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 단차질 수 있다. 지지판(230)의 상면 중심 영역은 유전판(220)의 저면에 상응하는 면적을 가지며, 유전판(220)의 저면과 접착된다. 지지판(230)에는 제1 순환 유로(231), 제2 순환 유로(232) 및 제2 공급 유로(233)가 형성된다.A support plate 230 is positioned below the dielectric plate 220. The bottom surface of the dielectric plate 220 and the top surface of the support plate 230 may be adhered by an adhesive 236. [ The support plate 230 may be made of aluminum. The upper surface of the support plate 230 may be stepped so that the central region is positioned higher than the edge region. The upper surface central region of the support plate 230 has an area corresponding to the bottom surface of the dielectric plate 220 and is bonded to the bottom surface of the dielectric plate 220. A first circulation channel 231, a second circulation channel 232, and a second supply channel 233 are formed in the support plate 230.

제1 순환 유로(231)는 열전달 매체가 순환하는 통로로 제공된다. 제1 순환 유로(231)는 지지판(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제1 순환 유로(231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제1 순환 유로(231)는 서로 연통될 수 있다. 제1 순환 유로(231)는 동일한 높이에 형성된다.The first circulation channel 231 is provided as a passage through which the heat transfer medium circulates. The first circulation flow path 231 may be formed in a spiral shape inside the support plate 230. Alternatively, the first circulation flow path 231 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the first circulation flow paths 231 can communicate with each other. The first circulation flow path 231 is formed at the same height.

제2 순환 유로(232)는 냉각 유체가 순환하는 통로로 제공된다. 제2 순환 유로(232)는 지지판(230) 내부에 나선 형상으로 형성될 수 있다. 또한, 제2 순환 유로(232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제2 순환 유로(232)는 서로 연통될 수 있다. 제2 순환 유로(232)는 제1 순환 유로(231)보다 큰 단면적을 가질 수 있다. 제2 순환 유로(232)는 동일한 높이에 형성된다. 제2 순환 유로(232)는 제1 순환 유로(231)의 하부에 위치될 수 있다.The second circulation flow passage 232 is provided as a passage through which the cooling fluid circulates. The second circulation channel 232 may be formed in a spiral shape inside the support plate 230. Further, the second circulation flow path 232 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the second circulation flow paths 232 can communicate with each other. The second circulation channel 232 may have a larger cross-sectional area than the first circulation channel 231. The second circulation flow path 232 is formed at the same height. The second circulation flow passage 232 may be positioned below the first circulation flow passage 231.

제2 공급 유로(233)는 제1 순환 유로(231)부터 상부로 연장되며, 지지판(230)의 상면으로 제공된다. 제2 공급 유로(243)는 제1 공급 유로(221)에 대응하는 개수로 제공되며, 제1 순환 유로(231)와 제1 공급 유로(221)를 연결한다.The second supply passage 233 extends upward from the first circulation passage 231 and is provided on the upper surface of the support plate 230. The second supply passage 243 is provided in a number corresponding to the first supply passage 221 and connects the first circulation passage 231 to the first supply passage 221.

제1 순환 유로(231)는 열전달 매체 공급라인(231b)을 통해 열전달 매체 저장부(231a)와 연결된다. 열전달 매체 저장부(231a)에는 열전달 매체가 저장된다. 열전달 매체는 불활성 가스를 포함한다. 실시 예에 의하면, 열전달 매체는 헬륨(He) 가스를 포함한다. 헬륨 가스는 공급 라인(231b)을 통해 제1 순환 유로(231)에 공급되며, 제2 공급 유로(233)와 제1 공급 유로(221)를 순차적으로 거쳐 기판(W) 저면으로 공급된다. 헬륨 가스는 플라즈마에서 기판(W)으로 전달된 열이 정전 척(210)으로 전달되는 매개체 역할을 한다.The first circulation channel 231 is connected to the heat transfer medium storage unit 231a through the heat transfer medium supply line 231b. The heat transfer medium is stored in the heat transfer medium storage unit 231a. The heat transfer medium includes an inert gas. According to an embodiment, the heat transfer medium comprises helium (He) gas. The helium gas is supplied to the first circulation channel 231 through the supply line 231b and is supplied to the bottom surface of the substrate W through the second supply channel 233 and the first supply channel 221 in sequence. The helium gas serves as a medium through which the heat transferred from the plasma to the substrate W is transferred to the electrostatic chuck 210.

제2 순환 유로(232)는 냉각 유체 공급 라인(232c)을 통해 냉각 유체 저장부(232a)와 연결된다. 냉각 유체 저장부(232a)에는 냉각 유체가 저장된다. 냉각 유체 저장부(232a) 내에는 냉각기(232b)가 제공될 수 있다. 냉각기(232b)는 냉각 유체를 소정 온도로 냉각시킨다. 이와 달리, 냉각기(232b)는 냉각 유체 공급 라인(232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(232c)을 통해 제2 순환 유로(232)에 공급된 냉각 유체는 제2 순환 유로(232)를 따라 순환하며 지지판(230)을 냉각한다. 지지판(230)은 냉각되면서 유전판(220)과 기판(W)을 함께 냉각시켜 기판(W)을 소정 온도로 유지시킨다.The second circulation channel 232 is connected to the cooling fluid storage 232a through the cooling fluid supply line 232c. The cooling fluid is stored in the cooling fluid storage part 232a. A cooler 232b may be provided in the cooling fluid storage portion 232a. The cooler 232b cools the cooling fluid to a predetermined temperature. Alternatively, the cooler 232b may be installed on the cooling fluid supply line 232c. The cooling fluid supplied to the second circulation channel 232 through the cooling fluid supply line 232c circulates along the second circulation channel 232 to cool the support plate 230. The support plate 230 cools the dielectric plate 220 and the substrate W together while keeping the substrate W at a predetermined temperature.

포커스 링(240)은 정전 척(210)의 가장자리 영역에 배치된다. 포커스 링(240)은 링 형상을 가지며, 유전판(220)의 둘레를 따라 배치된다. 포커스 링(240)의 상면은 외측부(240a)가 내측부(240b)보다 높도록 단차질 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 상면과 동일 높이에 위치된다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 외측에 위치된 기판(W)의 가장자리 영역을 지지한다. 포커스 링(240)의 외측부(240a)는 기판(W)의 가장자리 영역을 둘러싸도록 제공된다. 포커스 링(240)은 챔버(100) 내에서 플라즈마가 기판(W)과 마주하는 영역으로 집중되도록 한다.The focus ring 240 is disposed in the edge region of the electrostatic chuck 210. The focus ring 240 has a ring shape and is disposed along the periphery of the dielectric plate 220. The upper surface of the focus ring 240 may be stepped so that the outer portion 240a is higher than the inner portion 240b. The upper surface inner side portion 240b of the focus ring 240 is positioned at the same height as the upper surface of the dielectric plate 220. [ The upper surface inner side portion 240b of the focus ring 240 supports an edge region of the substrate W positioned outside the dielectric plate 220. [ The outer side portion 240a of the focus ring 240 is provided so as to surround the edge region of the substrate W. [ The focus ring 240 allows the plasma to be concentrated within the chamber 100 in a region facing the substrate W. [

지지판(230)의 하부에는 절연 플레이트(250)가 위치한다. 절연 플레이트(250)는 지지판(230)에 상응하는 단면적으로 제공된다. 절연 플레이트(250)는 지지판(230)과 하부 커버(270) 사이에 위치한다. 절연 플레이트(250)는 절연 재질로 제공되며, 지지판(230)과 하부 커버(270)를 전기적으로 절연시킨다.An insulating plate 250 is disposed under the support plate 230. The insulating plate 250 is provided in a cross-sectional area corresponding to the support plate 230. [ The insulating plate 250 is positioned between the support plate 230 and the lower cover 270. The insulating plate 250 is made of an insulating material and electrically insulates the supporting plate 230 and the lower cover 270.

하부 커버(270)는 기판 지지 유닛(200)의 하단부에 위치한다. 하부 커버(270)는 하우징(110)의 바닥면에서 상부로 이격되어 위치한다. 하부 커버(270)는 상면이 개방된 공간이 내부에 형성된다. 하부 커버(270)의 상면은 절연 플레이트(250)에 의해 덮어진다. 따라서, 하부 커버(270)의 단면의 외부 반경은 절연 플레이트(250)의 외부 반경과 동일한 길이로 제공될 수 있다. 하부 커버(270)의 내부 공간에는 반송되는 기판(W)을 외부의 반송 부재로부터 정전 척(210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다.The lower cover 270 is located at the lower end of the substrate supporting unit 200. The lower cover 270 is spaced upwardly from the bottom surface of the housing 110. The lower cover 270 has a space in which an upper surface is opened. The upper surface of the lower cover 270 is covered with an insulating plate 250. Thus, the outer radius of the cross section of the lower cover 270 can be provided with a length equal to the outer radius of the insulating plate 250. A lift pin module (not shown) for moving the substrate W to be transferred from an external carrying member to the electrostatic chuck 210 may be positioned in the inner space of the lower cover 270.

하부 커버(270)는 연결 부재(273)를 갖는다. 연결 부재(273)는 하부 커버(270)의 외측면과 하우징(110)의 내측벽을 연결한다. 연결 부재(273)는 하부 커버(270)의 외측면에 일정한 간격으로 복수 개 제공될 수 있다. 연결 부재(273)는 기판 지지 유닛(200)을 챔버(100) 내부에서 지지한다. 또한, 연결 부재(273)는 하우징(110)의 내측벽과 연결됨으로써 하부 커버(270)가 전기적으로 접지되도록 한다. 제1 하부 전원(223a)과 연결되는 제1 전원 라인(223c), 제2 하부 전원(225a)과 연결되는 제2 전원라인(225c), 열전달 매체 저장부(231a)와 연결된 열전달 매체 공급라인(231b), 및 냉각 유체 저장부(232a)와 연결된 냉각 유체 공급 라인(232c) 등은 연결 부재(273)의 내부 공간을 통해 하부 커버(270) 내부로 연장된다.The lower cover 270 has a connecting member 273. The connecting member 273 connects the outer side surface of the lower cover 270 and the inner side wall of the housing 110. A plurality of connecting members 273 may be provided on the outer surface of the lower cover 270 at regular intervals. The connecting member 273 supports the substrate supporting unit 200 inside the chamber 100. The connecting member 273 is connected to the inner wall of the housing 110 so that the lower cover 270 is electrically grounded. A first power supply line 223c connected to the first lower power supply 223a, a second power supply line 225c connected to the second lower power supply 225a, a heat transfer medium supply line 233b connected to the heat transfer medium storage 231a And the cooling fluid supply line 232c connected to the cooling fluid reservoir 232a extend into the lower cover 270 through the inner space of the connection member 273. [

가스 공급 유닛(300)은 챔버(100) 내부에 공정 가스를 공급한다. 가스 공급 유닛(300)은 가스 공급 노즐(310), 가스 공급 라인(320) 및 가스 저장부(330)를 포함한다. 가스 공급 노즐(310)은 밀폐 커버(120)의 중앙부에 설치된다. 가스 공급 노즐(310)의 저면에는 분사구가 형성된다. 분사구는 밀폐 커버(120)의 하부에 위치하며, 챔버(100) 내부의 처리공간으로 공정 가스를 공급한다. 가스 공급 라인(320)은 가스 공급 노즐(310)과 가스 저장부(330)를 연결한다. 가스 공급 라인(320)은 가스 저장부(330)에 저장된 공정 가스를 가스 공급 노즐(310)에 공급한다. 가스 공급 라인(320)에는 밸브(321)가 설치된다. 밸브(321)는 가스 공급 라인(320)을 개폐하며, 가스 공급 라인(320)을 통해 공급되는 공정 가스의 유량을 조절한다.The gas supply unit 300 supplies the process gas into the chamber 100. The gas supply unit 300 includes a gas supply nozzle 310, a gas supply line 320, and a gas storage unit 330. The gas supply nozzle 310 is installed at the center of the sealing cover 120. A jetting port is formed on the bottom surface of the gas supply nozzle 310. The injection port is located at the bottom of the closed cover 120 and supplies the process gas to the processing space inside the chamber 100. The gas supply line 320 connects the gas supply nozzle 310 and the gas storage unit 330. The gas supply line 320 supplies the process gas stored in the gas storage unit 330 to the gas supply nozzle 310. A valve 321 is installed in the gas supply line 320. The valve 321 opens and closes the gas supply line 320 and regulates the flow rate of the process gas supplied through the gas supply line 320.

플라즈마 발생 유닛(400)은 챔버(100) 내 공정 가스를 플라즈마 상태로 여기시킨다. 본 발명의 일 실시 예에 따르면, 플라즈마 발생 유닛(400)은 ICP 타입으로 구성될 수 있다. The plasma generating unit 400 excites the process gas in the chamber 100 into a plasma state. According to one embodiment of the present invention, the plasma generating unit 400 may be configured as an ICP type.

플라즈마 발생 유닛(400)은 고주파 안테나(410), 고주파 전원(420) 및 부가 안테나(460)를 포함한다. The plasma generating unit 400 includes a high-frequency antenna 410, a high-frequency power source 420, and an additional antenna 460.

고주파 안테나(410)는 고주파 전원(420)으로부터 전류를 인가받아 전자장을 유도하여 플라즈마를 발생시킨다. 도 2에서 고주파 안테나(410)는 내부 안테나(411) 및 외부 안테나(413)로 구성되는 것으로 도시되어 있으나, 이에 한정되지 않고, 하나의 안테나로 제공되거나 3개 이상의 안테나로 제공될 수도 있다. 고주파 전원(420)은 고주파 신호를 공급한다. 일 예로, 고주파 전원(420)은 RF 전력을 공급하는 RF 전원일 수 있다.The high-frequency antenna 410 receives a current from the high-frequency power source 420 and induces an electromagnetic field to generate plasma. 2, the high-frequency antenna 410 is configured to include an internal antenna 411 and an external antenna 413. However, the high-frequency antenna 410 may be provided as one antenna or three or more antennas. The high frequency power source 420 supplies a high frequency signal. In one example, the high frequency power source 420 may be an RF power source supplying RF power.

부가 안테나(460)는 고주파 안테나(410)와 이격되어 제공되며, 고주파 안테나(410)로부터 커플링 전류를 인가받을 수 있다. 도 2에서 부가 안테나(460)는 고주파 안테나(410)의 외측에 제공되는 것으로 도시되어 있으나, 이와 달리, 고주파 안테나(410)의 내측에 제공될 수도 있다. 부가 안테나(460)는 고주파 전원(420)에 연결되지 않으며, 고주파 전원(420)과 독립적으로 제공된다. 또한, 부가 안테나(460)는 폐쇄 회로로 제공될 수 있다.The additional antenna 460 is provided apart from the high frequency antenna 410 and can receive a coupling current from the high frequency antenna 410. 2, the additional antenna 460 is provided outside the high-frequency antenna 410, but may be provided inside the high-frequency antenna 410. The additional antenna 460 is not connected to the high frequency power source 420 and is provided independently of the high frequency power source 420. Further, the additional antenna 460 may be provided as a closed circuit.

또한, 부가 안테나(460)는 상부에서 바라볼 때 부가 안테나(460)가 제공된 영역이 공정 챔버(100)의 처리 공간 내부 중 가장자리 영역과 중첩되도록 제공될 수 있다. 즉, 부가 안테나(460)는 기판의 에지 영역에 대향되는 위치에 제공되어, 기판의 에지 영역에 공급되는 플라즈마의 밀도를 제어할 수 있다. 부가 안테나(460)의 구체적인 구성은 이하 도 5 내지 도 7을 참조하여 후술한다.Further, the additional antenna 460 may be provided so that an area where the additional antenna 460 is provided when viewed from above is overlapped with an edge area of the inside of the processing space of the process chamber 100. That is, the additional antenna 460 is provided at a position opposite to the edge region of the substrate, so that the density of the plasma supplied to the edge region of the substrate can be controlled. The specific configuration of the additional antenna 460 will be described later with reference to Figs. 5 to 7 below.

배플 유닛(500)은 하우징(110)의 내측벽과 기판 지지 유닛(200) 사이에 위치된다. 배플 유닛(500)은 관통홀이 형성된 배플을 포함한다. 배플은 환형의 링 형상으로 제공된다. 하우징(110) 내에 제공된 공정가스는 배플의 관통홀들을 통과하여 배기홀(102)로 배기된다. 배플의 형상 및 관통홀들의 형상에 따라 공정가스의 흐름이 제어될 수 있다.The baffle unit 500 is positioned between the inner wall of the housing 110 and the substrate support unit 200. The baffle unit 500 includes a baffle in which a through hole is formed. The baffle is provided in an annular ring shape. The process gas provided in the housing 110 is exhausted to the exhaust hole 102 through the through holes of the baffle. The flow of the process gas can be controlled according to the shape of the baffle and the shape of the through holes.

도 3은 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛을 나타내는 도면이다.3 is a view showing a plasma generating unit according to an embodiment of the present invention.

일 예로, 플라즈마 발생 유닛(400)은 내부 안테나(411), 외부 안테나(413) 및 부가 안테나(460)로 구성될 수 있다. 내부 안테나(411) 및 외부 안테나(413)는 외부의 고주파 전원으로부터 전류가 인가되며, 내부 안테나(411) 및 외부 안테나(413)에 공급되는 전류를 제어하여, 기판 상의 영역별 플라즈마 밀도를 균일하게 제어한다. 내부 안테나(411) 및 외부 안테나(413)에서만 플라즈마가 생성되는 경우, 기판의 에지 영역에 플라즈마가 약하게 공급되어 기판 전체적으로 플라즈마가 균일하게 형성되지 않는 문제가 있으나, 본 발명의 플라즈마 발생 유닛(400)은 외부 안테나(413)의 외측에 부가 안테나(460)가 제공되므로, 부가 안테나(460)에서 생성되는 플라즈마에 의하여 기판의 에지 영역에도 플라즈마가 균일하게 공급될 수 있다. 이 경우, 부가 안테나(460)는 고주파 전원과 연결되지 않으며, 외부 안테나(413)로부터 커플링 전류를 인가받아 플라즈마를 생성할 수 있다. 또한, 외부 안테나(413)는 커패시터를 포함하며, 커패시터에 의해 임피던스 값을 조절하여, 기판의 에지 영역에 공급되는 플라즈마의 양을 제어할 수 있다. 이에 따라, 도 4와 같이, 기판의 모든 영역에 플라즈마가 균일하게 공급될 수 있다. 일 예로, 도 4에서와 같이, 부가 안테나(460)가 4개의 부가 코일로 제공되는 경우, 12시, 3시, 6시 및 9시 각각에 제공되는 부가 코일 및 부가 커패시터를 이용하여 기판의 12시, 3시, 6시 및 9시의 에지 영역에 공급되는 플라즈마를 조절할 수 있다.For example, the plasma generating unit 400 may include an internal antenna 411, an external antenna 413, and an additional antenna 460. The internal antenna 411 and the external antenna 413 are controlled by a current supplied from an external high frequency power source and supplied to the internal antenna 411 and the external antenna 413 to uniformize the plasma density . In the case where plasma is generated only in the internal antenna 411 and the external antenna 413, there is a problem that the plasma is not uniformly formed on the entire substrate due to the weak plasma supply to the edge region of the substrate. However, in the plasma generating unit 400 of the present invention, Since the additional antenna 460 is provided outside the external antenna 413, the plasma can be uniformly supplied to the edge region of the substrate by the plasma generated by the additional antenna 460. In this case, the additional antenna 460 is not connected to the high frequency power source, and a coupling current is applied from the external antenna 413 to generate plasma. Further, the external antenna 413 includes a capacitor, and it is possible to control the amount of plasma supplied to the edge region of the substrate by adjusting the impedance value by the capacitor. Thus, as shown in Fig. 4, the plasma can be uniformly supplied to all the regions of the substrate. 4, when the additional antenna 460 is provided as four additional coils, the additional coils and additional capacitors provided at 12 o'clock, 3 o'clock, 6 o'clock, and 9 o'clock, respectively, The plasma supplied to the edge regions at the hour, 3, 6, and 9 o'clock can be controlled.

또한, 도 3의 고주파 안테나(410)과 다르게, 한국 특허 등록번호 10-1125624 의 도 1 내지 4에 도시된 것과 같은 형태의 안테나에도 본 발명의 부가 안테나가 제공될 수 있다. 즉, 한국 특허 등록번호 10-1125624 에 나타나는 형태의 안테나의 외측에 본 발명에 따른 부가 안테나가 제공되어, 기판의 에지 영역에 공급되는 플라즈마 밀도를 제어할 수 있다. 즉, 본 발명에 따른 부가 안테나는 고주파 전원과 연결되는 다양한 형태의 고주파 안테나와 이격되어 제공될 수 있으며, 이에 따라 기판 상에 공급되는 플라즈마의 밀도를 균일하게 제어할 수 있다.In addition, unlike the high frequency antenna 410 of FIG. 3, the additional antenna of the present invention can also be provided in an antenna of the type shown in FIGS. 1 to 4 of Korean Patent Registration No. 10-1125624. That is, an additional antenna according to the present invention is provided outside the antenna of the type shown in Korean Patent Registration No. 10-1125624, so that the plasma density supplied to the edge region of the substrate can be controlled. That is, the additional antenna according to the present invention may be provided apart from various types of high-frequency antennas connected to the high-frequency power source, so that the density of the plasma supplied on the substrate can be uniformly controlled.

도 5는 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛을 나타내는 회로도이다.5 is a circuit diagram showing a plasma generating unit according to an embodiment of the present invention.

도 5를 참조하면, 본 발명의 일 실시 예에 따른 플라즈마 발생 유닛(400)은 고주파 전원(420), 내부 안테나(411), 외부 안테나(413), 부가 안테나(460), 임피던스 매칭기(470) 및 스플리터(480)를 포함한다.5, a plasma generating unit 400 according to an embodiment of the present invention includes a high frequency power source 420, an internal antenna 411, an external antenna 413, an additional antenna 460, an impedance matching unit 470 And a splitter 480.

외부 안테나(413)는 복수 개의 외부 코일(4131-1, 4131-2, 4131-3, 4131-4) 및 복수 개의 외부 커패시터(4132-1, 4132-2, 4132-3, 4132-4)를 포함할 수 있으며, 부가 안테나(460)는 복수 개의 부가 코일(461-1, 461-2, 461-3, 461-4) 및 복수 개의 부가 커패시터(463-1, 463-2, 463-3, 463-4)를 포함할 수 있다. 복수 개의 부가 코일(461-1, 461-2, 461-3, 461-4)은 외부 안테나(413)의 길이 방향을 따라 배치될 수 있다. 또한, 복수 개의 부가 코일들(461-1, 461-2, 461-3, 461-4) 중 하나는 복수 개의 외부 코일들(4131-1, 4131-2, 4131-3, 4131-4) 중 하나와 커플링될 수 있다. 즉, 제1 부가 코일(461-1)은 제1 외부 코일(4131-1)과 커플링되고, 제2 부가 코일(461-2)은 제2 외부 코일(4131-2)과 커플링되고, 제3 부가 코일(461-3)은 제3 외부 코일(4131-3)과 커플링되고, 제4 부가 코일(461-4)은 제4 외부 코일(4131-4)과 커플링될 수 있다. 이에 따라, 부가 안테나(460)는 고주파 전원(420)에 연결되지 않더라도 외부 안테나(413)에 의하여 커플링 전력을 공급받을 수 있다. 다만, 도 5에서 외부 안테나(413) 및 부가 안테나(460)가 각각 4개로 구성되는 것으로 도시되어 있으나, 이에 한정되는 것은 아니며, 도 6과 같이, 하나의 고주파 안테나(410)와 하나의 부가 안테나(460)로 구성될 수 있으며, 2개 또는 4개 이상의 고주파 안테나(410) 및 부가 안테나(460)로 구성될 수도 있다.The external antenna 413 includes a plurality of external coils 4131-1, 4131-2, 4131-3 and 4131-4 and a plurality of external capacitors 4132-1, 4132-2, 4132-3 and 4132-4 And the additional antenna 460 may include a plurality of additional coils 461-1, 461-2, 461-3, and 461-4 and a plurality of additional capacitors 463-1, 463-2, 463-3, 463-4). The plurality of additional coils 461-1, 461-2, 461-3, and 461-4 may be disposed along the longitudinal direction of the external antenna 413. [ Also, one of the plurality of additional coils 461-1, 461-2, 461-3, and 461-4 is one of the plurality of outer coils 4131-1, 4131-2, 4131-3, and 4131-4 Can be coupled to one. That is, the first additional coil 461-1 is coupled to the first outer coil 4131-1, the second additional coil 461-2 is coupled to the second outer coil 4131-2, The third additional coil 461-3 may be coupled to the third outer coil 4131-3 and the fourth additional coil 461-4 may be coupled to the fourth outer coil 4131-4. Accordingly, the additional antenna 460 can receive the coupling power by the external antenna 413 even if it is not connected to the high frequency power source 420. However, the present invention is not limited thereto. As shown in FIG. 6, one high frequency antenna 410 and one supplementary antenna 460 may be used, (460), and may be composed of two or more high frequency antennas (410) and an additional antenna (460).

또한, 복수 개의 부가 코일(461-1, 461-2, 461-3, 461-4)은 각각 복수 개의 부가 커패시터(463-1, 463-2, 463-3, 463-4)와 연결되며, 부가 커패시터(463-1, 463-2, 463-3, 463-4)는 가변 커패시터일 수 있다. 이 경우, 제어기(미도시)는 복수 개의 부가 커패시터(463-1, 463-2, 463-3, 463-4)의 용량을 개별적으로 조절하여, 복수 개의 부가 코일(461-1, 461-2, 461-3, 461-4)에 각각 대향되는 영역의 플라즈마 밀도를 제어할 수 있다. 또한, 제어기(미도시)는 지지 유닛(200)에 포함되는 센서에 의하여 검출된 기판 상의 영역별 플라즈마 밀도에 기초하여 복수 개의 부가 커패시터(463-1, 463-2, 463-3, 463-4)의 용량을 조절할 수 있다. 즉, 제어기(미도시)는 기판 상에서 플라즈마 밀도가 강한 영역에 대향되는 부가 코일(461)에 공급되는 전류가 커지도록 부가 커패시터(463)의 용량을 조절하거나, 기판 상에서 플라즈마 밀도가 약한 영역에 대향되는 부가 코일(461)에 공급되는 전류가 작아지도록 부가 커패시터(463)의 용량을 조절할 수 있다. 이에 따라, 기판 상의 에지 영역의 플라즈마 밀도를 제어할 수 있으므로, 기판 상의 모든 영역에서 플라즈마가 균일하게 공급되도록 할 수 있다. 다만, 부가 커패시터(463-1, 463-2, 463-3, 463-4)는 가변 커패시터로 제공되는 것으로 한정되지 않고, 도 7과 같이, 부가 커패시터(463-1, 463-2, 463-3, 463-4)는 고정 커패시터로 구성될 수도 있다. 이 경우, 부가 커패시터들(463-1, 463-2, 463-3, 463-4) 중 일부는 서로 다른 용량으로 제공되어, 복수 개의 부가 코일들(461-1, 461-2, 461-3, 461-4)에 대향되는 영역의 플라즈마 밀도를 다르게 조절할 수 있다. 임피던스 매칭기(470)는 고주파 전원(420)과 고주파 안테나(410) 사이에 위치하여, 임피던스 매칭을 수행할 수 있으며, 스플리터(480)는 고주파 전원(420)으로부터 공급되는 전류를 분배할 수 있다. 또한, 상기 실시 예에서 부가 안테나(460)가 고주파 안테나(410)의 외측에 배치되는 것으로 설명하였으나, 부가 안테나(460)는 도 8과 같이, 고주파 안테나(410)의 내측에 배치될 수도 있다.The plurality of additional coils 461-1, 461-2, 461-3, and 461-4 are connected to a plurality of additional capacitors 463-1, 463-2, 463-3, and 463-4, respectively, The additional capacitors 463-1, 463-2, 463-3, and 463-4 may be variable capacitors. In this case, the controller (not shown) individually adjusts the capacitances of the plurality of additional capacitors 463-1, 463-2, 463-3, and 463-4 so that the plurality of additional coils 461-1 and 461-2 , 461-3, and 461-4 can be controlled. The controller (not shown) further includes a plurality of additional capacitors 463-1, 463-2, 463-3, 463-4, and 463-4 based on the plasma density per area on the substrate detected by the sensor included in the support unit 200 ) Can be adjusted. That is, the controller (not shown) adjusts the capacity of the additional capacitor 463 so that the current supplied to the additional coil 461 opposing the region having a high plasma density on the substrate is increased, The capacity of the additional capacitor 463 can be adjusted so that the current supplied to the additional coil 461 becomes smaller. Thus, the plasma density of the edge region on the substrate can be controlled, so that the plasma can be uniformly supplied to all the regions on the substrate. However, the additional capacitors 463-1, 463-2, 463-3, and 463-4 are not limited to being provided as variable capacitors, and the additional capacitors 463-1, 463-2, and 463- 3 and 463-4 may be constituted by a fixed capacitor. In this case, some of the additional capacitors 463-1, 463-2, 463-3, and 463-4 are provided at different capacities, and a plurality of additional coils 461-1, 461-2, 461-3 , 461-4 can be adjusted to a different level. The impedance matching unit 470 is located between the high frequency power source 420 and the high frequency antenna 410 to perform impedance matching and the splitter 480 can distribute the current supplied from the high frequency power source 420 . In the above embodiment, the additional antenna 460 is disposed outside the high-frequency antenna 410. However, the additional antenna 460 may be disposed inside the high-frequency antenna 410 as shown in FIG.

도 9는 본 발명의 일 실시 예에 따른 기판 처리 방법을 나타내는 흐름도이다.9 is a flowchart showing a substrate processing method according to an embodiment of the present invention.

도 9를 참조하면, 우선, 기판 상의 영역별 플라즈마 밀도를 검출한다(S610). 이 경우, 지지 유닛에 위치하는 센서에 의하여 기판 상의 영역별 플라즈마 밀도를 검출할 수 있다.Referring to FIG. 9, first, the plasma density for each region on the substrate is detected (S610). In this case, the plasma density per area on the substrate can be detected by the sensor located in the supporting unit.

이어서, 검출된 영역별 플라즈마 밀도에 기초하여 부가 커패시터의 용량을 조절한다(S620). 여기서, 부가 커패시터는 가변 커패시터로 제공된다.Subsequently, the capacitance of the additional capacitor is adjusted based on the detected plasma density of each region (S620). Here, the additional capacitor is provided as a variable capacitor.

이어서, 복수의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어한다(S630). 이에 따라, 기판의 에지 영역에 공급되는 플라즈마 밀도를 조절할 수 있으므로, 기판 상의 모든 영역에 플라즈마가 균일하게 제공되도록 할 수 있다.Next, the plasma density of the region facing each of the plurality of additional coils is controlled (S630). Thus, the plasma density supplied to the edge region of the substrate can be adjusted, so that the plasma can be uniformly provided to all the regions on the substrate.

도 10 및 도 11은 본 발명의 다른 실시 예에 따른 기판 처리 장치의 예시적인 도면이다.10 and 11 are exemplary views of a substrate processing apparatus according to another embodiment of the present invention.

도 10을 참조하면, 부가 안테나(460)는 고주파 안테나(410)가 배치되는 방향의 수직방향으로 배치될 수 있다. 구체적으로, 고주파 안테나(410)는 공정 챔버(100)의 중앙에서 외측 방향으로 배치되며, 부가 안테나(460)는 고주파 안테나(410)의 외측에서 공정 챔버(100)의 상하 방향으로 배치될 수 있다. 다만, 이에 한정되는 것은 아니며, 부가 안테나(460)는 고주파 안테나(410)와 수평방향으로 배치되거나 일정한 각도만큼 기울어진 형태로 배치될 수도 있다. 즉, 부가 안테나(460)는 고주파 안테나(410)와 수직방향 또는 일정한 각도로 기울어져 배치되어 기판의 에지 영역에 공급되는 플라즈마 밀도를 조절할 수 있다.10, the additional antenna 460 may be disposed in a direction perpendicular to the direction in which the high-frequency antenna 410 is disposed. In detail, the high frequency antenna 410 is arranged in the outward direction from the center of the process chamber 100, and the additional antenna 460 can be arranged in the vertical direction of the process chamber 100 outside the high frequency antenna 410 . However, the present invention is not limited to this, and the additional antenna 460 may be disposed horizontally or at an angle with the high-frequency antenna 410 at an angle. That is, the additional antenna 460 can be disposed at an angle to the high-frequency antenna 410 in a vertical direction or at a constant angle to control the plasma density supplied to the edge region of the substrate.

도 11을 참조하면, 부가 안테나(460)는 고주파 안테나(410)가 배치되는 평면보다 높은 평면에 배치될 수 있다. 즉, 부가 안테나(460)는 고주파 안테나(410)와 수평방향으로 배치되되, 고주파 안테나(410)보다 높은 위치에 배치될 수 있다. 다만, 이에 한정되는 것은 아니며, 부가 안테나(460)는 고주파 안테나(410)보다 낮은 위치에 배치될 수도 있다. 예를 들어, 기판의 에지 영역에 더 많은 플라즈마를 공급하기 위한 경우, 부가 안테나(460)를 고주파 안테나(410)보다 낮은 위치에 배치할 수 있으며, 기판의 에지 영역에 적은 플라즈마를 공급하는 경우, 부가 안테나(460)를 고주파 안테나(410)보다 높은 위치에 배치할 수 있다. 따라서, 본 발명의 다양한 실시 예에 따르면 커플링 전류가 인가되는 부가 안테나의 배치 형태 또는 배치 위치를 변경하여 기판의 에지 영역에 공급되는 플라즈마 밀도를 다양하게 제어할 수 있다.Referring to FIG. 11, the additional antenna 460 may be disposed in a plane higher than the plane where the high-frequency antenna 410 is disposed. That is, the additional antenna 460 may be disposed at a higher position than the high-frequency antenna 410, and may be disposed at a higher position than the high-frequency antenna 410. However, the present invention is not limited thereto, and the additional antenna 460 may be disposed at a lower position than the high-frequency antenna 410. For example, in order to supply more plasma to the edge region of the substrate, the additional antenna 460 may be disposed at a lower position than the high-frequency antenna 410, and when a small plasma is supplied to the edge region of the substrate, The additional antenna 460 can be disposed at a higher position than the high-frequency antenna 410. Therefore, according to various embodiments of the present invention, it is possible to variously control the plasma density supplied to the edge region of the substrate by changing the arrangement form or the arrangement position of the additional antenna to which the coupling current is applied.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The foregoing description is merely illustrative of the technical idea of the present invention, and various changes and modifications may be made by those skilled in the art without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are intended to illustrate rather than limit the scope of the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. The scope of protection of the present invention should be construed according to the following claims, and all technical ideas within the scope of equivalents should be construed as falling within the scope of the present invention.

10: 기판 처리 장치 100: 공정 챔버
200: 지지 유닛 300: 가스 공급 유닛
400: 플라즈마 발생 유닛 410: 고주파 안테나
420: 고주파 전원 460: 부가 안테나
10: substrate processing apparatus 100: process chamber
200: support unit 300: gas supply unit
400: plasma generating unit 410: high frequency antenna
420: high frequency power source 460: additional antenna

Claims (24)

기판을 처리하는 장치에 있어서,
내부에 처리 공간을 가지는 공정 챔버;
상기 처리 공간 내에서 기판을 지지하는 지지 유닛;
상기 처리 공간 내로 가스를 공급하는 가스 공급 유닛; 및
상기 처리 공간 내에서 상기 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하되,
상기 플라즈마 발생 유닛은,
고주파 전원;
상기 고주파 전원으로부터 전류가 인가되는 고주파 안테나; 및
상기 고주파 안테나와 이격되게 제공되며, 상기 고주파 안테나로부터 커플링 전류가 인가되는 부가 안테나;를 포함하는 기판 처리 장치.
An apparatus for processing a substrate,
A process chamber having a processing space therein;
A support unit for supporting the substrate in the processing space;
A gas supply unit for supplying gas into the process space; And
And a plasma generating unit for generating plasma from the gas in the processing space,
The plasma generating unit includes:
High frequency power source;
A high frequency antenna to which a current is applied from the high frequency power source; And
And an additional antenna provided to be spaced apart from the high-frequency antenna and to which a coupling current is applied from the high-frequency antenna.
제1항에 있어서,
상기 부가 안테나는, 상기 고주파 전원과 독립적으로 제공되는 기판 처리 장치.
The method according to claim 1,
Wherein the additional antenna is provided independently of the high frequency power supply.
제1항에 있어서,
상기 부가 안테나는, 폐쇄 회로로 제공되는 기판 처리 장치.
The method according to claim 1,
Wherein the additional antenna is provided as a closed circuit.
제1항에 있어서,
상기 부가 안테나는, 상부에서 바라볼 때 상기 부가 안테나가 제공된 영역이 상기 처리 공간 내부 중 가장자리 영역과 중첩되도록 제공되는 기판 처리 장치.
The method according to claim 1,
Wherein the additional antenna is provided so that an area provided with the additional antenna when viewed from above is overlapped with an edge area of the inside of the processing space.
제1항에 있어서,
상기 부가 안테나는, 복수 개의 부가 코일을 포함하고,
상기 복수 개의 부가 코일은 상기 고주파 안테나의 길이 방향을 따라 배치되는 기판 처리 장치.
The method according to claim 1,
Wherein the additional antenna includes a plurality of additional coils,
And the plurality of additional coils are disposed along the longitudinal direction of the high frequency antenna.
제5항에 있어서,
상기 부가 코일에는 부가 커패시터가 연결되는 기판 처리 장치.
6. The method of claim 5,
And an additional capacitor is connected to the additional coil.
제6항에 있어서,
상기 부가 코일에 연결된 부가 커패시터들 중 일부는 서로 다른 용량으로 제공되는 기판 처리 장치.
The method according to claim 6,
Wherein some of the additional capacitors connected to the additional coil are provided at different capacities.
제6항에 있어서,
상기 부가 커패시터는, 가변 커패시터인 기판 처리 장치.
The method according to claim 6,
Wherein the additional capacitor is a variable capacitor.
제5항에 있어서,
상기 복수 개의 부가 코일은 상기 고주파 안테나의 외측에 제공되는 기판 처리 장치.
6. The method of claim 5,
And the plurality of additional coils are provided outside the high-frequency antenna.
제5항에 있어서,
상기 고주파 안테나는 외부 안테나를 포함하되,
상기 외부 안테나는 복수 개의 외부 코일을 포함하고,
상기 부가 코일들 중 하나는 상기 외부 코일들 중 하나와 커플링되며, 상기 부가 코일들은 서로 다른 상기 외부 코일에 커플링되는 기판 처리 장치.
6. The method of claim 5,
Wherein the high-frequency antenna includes an external antenna,
Wherein the external antenna includes a plurality of external coils,
Wherein one of the additional coils is coupled to one of the outer coils and the additional coils are coupled to different outer coils.
제10항에 있어서,
상기 고주파 안테나는 상기 외부 안테나의 내측에 배치되는 내부 안테나를 더 포함하는 기판 처리 장치.
11. The method of claim 10,
Wherein the high frequency antenna further comprises an internal antenna disposed inside the external antenna.
제8항에 있어서,
상기 플라즈마 발생 유닛은,
상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수 개의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어하는 제어기;를 더 포함하는 기판 처리 장치.
9. The method of claim 8,
The plasma generating unit includes:
And a controller that individually adjusts the capacitances of the additional capacitors to control the plasma density of the regions facing each of the plurality of additional coils.
제12항에 있어서,
상기 지지 유닛은, 상기 기판 상의 영역별 플라즈마 밀도를 검출하는 센서;를 포함하고,
상기 제어기는,
상기 센서에서 검출된 기판 상의 영역별 플라즈마 밀도에 기초하여 상기 부가 커패시터의 용량을 조절하는 기판 처리 장치.
13. The method of claim 12,
Wherein the support unit comprises: a sensor for detecting a plasma density by region on the substrate,
The controller comprising:
Wherein the capacitance of the additional capacitor is adjusted based on the plasma density of each region on the substrate detected by the sensor.
고주파 전원;
상기 고주파 전원으로부터 전류가 인가되는 고주파 안테나; 및
상기 고주파 안테나와 이격되게 제공되며, 상기 고주파 안테나와 커플링되어 커플링 전류가 인가되는 부가 안테나;를 포함하는 플라즈마 생성 장치.
High frequency power source;
A high frequency antenna to which a current is applied from the high frequency power source; And
And an additional antenna provided to be spaced apart from the high-frequency antenna and coupled to the high-frequency antenna to receive a coupling current.
제14항에 있어서,
상기 고주파 안테나는 외부 안테나를 포함하되,
상기 외부 안테나는, 일단이 상기 고주파 안테나에 연결되고 타단이 접지되는 외부 코일을 포함하고,
상기 부가 안테나는, 상기 고주파 전원과 독립적으로 제공되는 복수 개의 부가 코일을 포함하며,
상기 부가 코일은 상기 외부 코일과 커플링되는 플라즈마 생성 장치.
15. The method of claim 14,
Wherein the high-frequency antenna includes an external antenna,
Wherein the external antenna includes an external coil having one end connected to the high frequency antenna and the other end grounded,
Wherein the additional antenna includes a plurality of additional coils provided independently from the high frequency power source,
And the additional coil is coupled to the outer coil.
제15항에 있어서,
상기 부가 코일에는 부가 커패시터가 연결되는 플라즈마 생성 장치.
16. The method of claim 15,
And an additional capacitor is connected to the additional coil.
제16항에 있어서,
상기 부가 코일에 연결된 부가 커패시터들 중 일부는 서로 다른 용량으로 제공되는 플라즈마 생성 장치.
17. The method of claim 16,
Wherein some of the additional capacitors connected to the additional coil are provided at different capacities.
제16항에 있어서,
상기 부가 커패시터는, 가변 커패시터인 플라즈마 생성 장치.
17. The method of claim 16,
Wherein the additional capacitor is a variable capacitor.
제18항에 있어서,
상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수 개의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어하는 제어기;를 더 포함하는 플라즈마 생성 장치.
19. The method of claim 18,
And a controller for individually controlling the capacities of the additional capacitors to control the plasma density of the regions facing each of the plurality of additional coils.
내부에 처리 공간을 가지는 공정 챔버, 상기 처리 공간 내에서 플라즈마를 발생시키는 고주파 안테나 및 상기 고주파 안테나로부터 커플링 전류가 인가되는 부가 안테나를 포함하는 기판 처리 장치의 기판 처리 방법에 있어서,
상기 부가 안테나를 제어하여 상기 처리 공간의 내부에서 가장자리 영역의 플라즈마 밀도를 제어하는 단계;를 포함하는 기판 처리 방법.
A substrate processing method of a substrate processing apparatus including a processing chamber having a processing space therein, a high frequency antenna for generating a plasma in the processing space, and an additional antenna to which a coupling current is applied from the high frequency antenna,
And controlling the additional antenna to control the plasma density of the edge region within the processing space.
제20항에 있어서,
상기 부가 안테나는, 복수 개의 부가 코일 및 부가 코일에 연결되는 부가 커패시터를 포함하는 기판 처리 방법.
21. The method of claim 20,
Wherein the additional antenna includes a plurality of additional coils and an additional capacitor connected to the additional coils.
제21항에 있어서,
상기 부가 커패시터들 중 일부는 서로 다른 용량으로 제공되는 기판 처리 방법.
22. The method of claim 21,
Wherein some of the additional capacitors are provided at different capacities.
제21항에 있어서,
상기 부가 커패시터는, 가변 커패시터이며,
상기 플라즈마 밀도를 제어하는 단계는,
상기 부가 커패시터의 용량을 개별적으로 조절하여, 상기 복수의 부가 코일에 각각 대향되는 영역의 플라즈마 밀도를 제어하는 기판 처리 방법.
22. The method of claim 21,
The additional capacitor is a variable capacitor,
Wherein controlling the plasma density comprises:
And the capacitances of the additional capacitors are individually adjusted to control the plasma density in the regions facing the plurality of additional coils.
제23항에 있어서,
상기 기판 상의 영역별 플라즈마 밀도를 검출하는 단계;를 더 포함하고,
상기 플라즈마 밀도를 제어하는 단계는,
상기 기판 상의 영역별 플라즈마 밀도에 기초하여 상기 부가 커패시터의 용량을 조절하는 기판 처리 방법.

24. The method of claim 23,
Detecting a plasma density per region on the substrate,
Wherein controlling the plasma density comprises:
Wherein the capacitance of the additional capacitor is adjusted based on the plasma density for each region on the substrate.

KR1020170154769A 2017-09-21 2017-11-20 Apparatus and method for treating substrate KR101979597B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/120,498 US20190088449A1 (en) 2017-09-21 2018-09-04 Substrate treating apparatus and substrate treating method
CN201811105255.9A CN109545641B (en) 2017-09-21 2018-09-21 Substrate processing apparatus and substrate processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20170121706 2017-09-21
KR1020170121706 2017-09-21

Publications (2)

Publication Number Publication Date
KR20190033401A true KR20190033401A (en) 2019-03-29
KR101979597B1 KR101979597B1 (en) 2019-05-20

Family

ID=65898780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170154769A KR101979597B1 (en) 2017-09-21 2017-11-20 Apparatus and method for treating substrate

Country Status (1)

Country Link
KR (1) KR101979597B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151346A (en) * 2019-06-27 2020-12-29 细美事有限公司 Apparatus and method for processing substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080009566A (en) * 2006-07-24 2008-01-29 삼성전자주식회사 Apparatus for treating substrate
KR20140139621A (en) * 2012-04-03 2014-12-05 램 리써치 코포레이션 Methods and apparatuses for controlling plasma in a plasma processing chamber
JP2015095628A (en) * 2013-11-14 2015-05-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR20160066872A (en) * 2014-12-03 2016-06-13 인베니아 주식회사 The antenna assembly for plasma process apparatus and the plasma process apparatus having same
KR20170017046A (en) * 2015-08-04 2017-02-15 주식회사 윈텔 Plasma Processing Apparatus
KR20170076158A (en) * 2015-12-24 2017-07-04 인베니아 주식회사 Antenna for generating inductively coupled plasma and generator for inductively coupled plasma using the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080009566A (en) * 2006-07-24 2008-01-29 삼성전자주식회사 Apparatus for treating substrate
KR20140139621A (en) * 2012-04-03 2014-12-05 램 리써치 코포레이션 Methods and apparatuses for controlling plasma in a plasma processing chamber
JP2015095628A (en) * 2013-11-14 2015-05-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR20160066872A (en) * 2014-12-03 2016-06-13 인베니아 주식회사 The antenna assembly for plasma process apparatus and the plasma process apparatus having same
KR20170017046A (en) * 2015-08-04 2017-02-15 주식회사 윈텔 Plasma Processing Apparatus
KR20170076158A (en) * 2015-12-24 2017-07-04 인베니아 주식회사 Antenna for generating inductively coupled plasma and generator for inductively coupled plasma using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151346A (en) * 2019-06-27 2020-12-29 细美事有限公司 Apparatus and method for processing substrate

Also Published As

Publication number Publication date
KR101979597B1 (en) 2019-05-20

Similar Documents

Publication Publication Date Title
CN109545641B (en) Substrate processing apparatus and substrate processing method
KR101570171B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101522891B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101543696B1 (en) Apparatus for treating substrate
KR101778972B1 (en) Apparatus for supplying power, and apparatus for treating substrate employing the same
KR101979597B1 (en) Apparatus and method for treating substrate
KR102323320B1 (en) Apparatus and method for treating substrate comprising the same
KR101776022B1 (en) Apparatus for supplying power, apparatus for treating substrate employing the same, and method for controlling the same
CN110828275A (en) Plasma generating apparatus, substrate processing apparatus including the same, and method of controlling plasma generating apparatus
KR101522892B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101939661B1 (en) Apparatus and method for treating substrate
KR101853365B1 (en) Apparatus for treating substrate
US11587770B2 (en) Apparatus and method for treating substrate
KR102225954B1 (en) Apparatus for generating plasma, apparatus for treating substrate including the same, and method for controlling the same
KR101927937B1 (en) Support unit and apparatus for treating substrate comprising the same
KR101960944B1 (en) Apparatus and method for treating substrate
KR101632605B1 (en) Method and apparatus for treating substrate
KR20160002191A (en) Apparatus and method for treating substrate
KR101543686B1 (en) Method and apparatus for treating substrate
KR101502853B1 (en) Supporting unit and apparatus for treating substrate
KR102667081B1 (en) Device for multi-level pulsing, substrate processing apparatus including the same
KR20150077534A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR20150092070A (en) Unit for generating plasma and apparatus and method for treating substrate including the same
KR20150077532A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR102175081B1 (en) Plasma generating device and apparatus for treating substrate comprising the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant