KR101522892B1 - Plasma generating device and apparatus for treating substrate comprising the same - Google Patents

Plasma generating device and apparatus for treating substrate comprising the same Download PDF

Info

Publication number
KR101522892B1
KR101522892B1 KR1020140029049A KR20140029049A KR101522892B1 KR 101522892 B1 KR101522892 B1 KR 101522892B1 KR 1020140029049 A KR1020140029049 A KR 1020140029049A KR 20140029049 A KR20140029049 A KR 20140029049A KR 101522892 B1 KR101522892 B1 KR 101522892B1
Authority
KR
South Korea
Prior art keywords
antenna
line
power source
high frequency
substrate
Prior art date
Application number
KR1020140029049A
Other languages
Korean (ko)
Inventor
박승진
구일교
임두호
김영빈
성효성
이수진
멜리키안
다니엘얀 엠마
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020140029049A priority Critical patent/KR101522892B1/en
Application granted granted Critical
Publication of KR101522892B1 publication Critical patent/KR101522892B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

The present invention relates to an apparatus for treating a substrate. According to the present invention, the apparatus for treating the substrate comprises: a process chamber; a support unit supporting the substrate in the process chamber; a gas supply unit supplying process gas in the process chamber; and a plasma generating unit generating plasma from process gas provided in the process chamber, wherein the plasma generating unit comprises: a high frequency power supply; a first antenna connected with the high frequency power supply through a first line; a second antenna connected with the high frequency power supply through a second line diverging from the first line in a branch point, and provided in parallel with the first antenna; and a variable capacitor installed in series with the first antenna in the first line between the branch point and the first antenna.

Description

플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치{PLASMA GENERATING DEVICE AND APPARATUS FOR TREATING SUBSTRATE COMPRISING THE SAME}TECHNICAL FIELD [0001] The present invention relates to a plasma generating unit and a substrate processing apparatus including the plasma generating unit.

본 발명은 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치에 관한 것이다.The present invention relates to a plasma generating unit and a substrate processing apparatus including the plasma generating unit.

반도체 제조 공정은 플라즈마를 이용하여 기판을 처리하는 공정을 포함할 수 있다. 예를 들어, 반도체 제조 공정 중 에칭 공정은 플라즈마를 이용하여 기판 상의 박막을 제거할 수 있다.The semiconductor manufacturing process may include processing the substrate using plasma. For example, an etching process during a semiconductor manufacturing process can remove a thin film on a substrate using a plasma.

기판 처리 공정에 플라즈마를 이용하기 위해, 공정 챔버에 플라즈마를 발생시킬 수 있는 플라즈마 발생 유닛이 장착된다. 이 플라즈마 발생 유닛은 플라즈마 발생 방식에 따라 크게 CCP(Capacitively Coupled Plasma) 타입과 ICP(Inductively Coupled Plasma) 타입으로 나뉜다.In order to use the plasma in the substrate processing process, a plasma generating unit capable of generating plasma in the process chamber is mounted. The plasma generating unit is classified into a capacitively coupled plasma (CCP) type and an inductively coupled plasma (ICP) type according to a plasma generation method.

CCP 타입의 소스는 챔버 내에 두 전극이 서로 마주보도록 배치되고, 두 전극 중 어느 하나 또는 둘 모두에 RF 신호를 인가하여 챔버 내에 전기장을 형성함으로써 플라즈마를 생성한다. 반면, ICP 타입의 소스는 챔버에 하나 또는 그 이상의 코일이 설치되고, 코일에 RF 신호를 인가하여 챔버 내에 전자장을 유도함으로써 플라즈마를 생성한다.The source of the CCP type is arranged so that two electrodes are facing each other in the chamber, and an RF signal is applied to either or both electrodes to generate an electric field in the chamber to generate plasma. On the other hand, an ICP-type source generates plasma by introducing one or more coils into a chamber and applying an RF signal to the coils to induce an electromagnetic field in the chamber.

챔버에 둘 이상의 코일이 설치되고, 둘 이상의 코일이 하나의 RF 전원으로부터 전력을 공급받는 경우, RF 전원과 코일들 사이에는 전력 분배기가 구비될 수 있다. 이 전력 분배기는 RF 전원으로부터 공급되는 전력을 소정의 비율로 분배하여 각각의 코일에 공급한다. 하지만, 종래의 전력 분배기는 제어 가능한 전력 분배 범위가 매우 제한적인 문제가 있었다.When two or more coils are installed in the chamber and two or more coils are powered from one RF power source, a power divider may be provided between the RF power source and the coils. The power distributor distributes power supplied from the RF power source at a predetermined ratio and supplies the divided power to each coil. However, the conventional power divider has a problem that the controllable power distribution range is very limited.

본 발명의 실시예는 각각의 코일에 공급되는 전력량을 넓은 범위에 걸쳐 제어할 수 있는 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.It is an object of the present invention to provide a plasma generating unit and a substrate processing apparatus including the same that can control the amount of power supplied to each coil over a wide range.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and the problems not mentioned can be clearly understood by those skilled in the art from the description and the accompanying drawings will be.

본 발명은 기판 처리 장치를 제공한다. The present invention provides a substrate processing apparatus.

본 발명의 일 실시예에 따른 기판 처리 장치는, 공정 챔버, 상기 공정 챔버 내에서 기판을 지지하는 지지 유닛, 상기 공정 챔버 내에서 공정 가스를 공급하는 가스 공급 유닛, 그리고 상기 공정 챔버 내로 공급된 공정 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하되, 상기 플라즈마 발생 유닛은, 고주파 전원, 상기 고주파 전원과 제 1 라인을 통해 연결된 제 1 안테나, 분기점에서 상기 제 1 라인으로부터 분기된 제 2 라인을 통해 상기 고주파 전원과 연결되며, 상기 제 1 안테나와 병렬로 제공된 제 2 안테나, 상기 분기점과 상기 제 1 안테나 사이의 상기 제 1 라인에 상기 제 1 안테나와 직렬로 설치된 가변 커패시터를 포함할 수 있다.A substrate processing apparatus according to an embodiment of the present invention includes a process chamber, a support unit for supporting the substrate in the process chamber, a gas supply unit for supplying the process gas in the process chamber, And a plasma generating unit for generating a plasma from the gas, wherein the plasma generating unit comprises: a high frequency power source; a first antenna connected to the high frequency power source through a first line; A second antenna connected to the high frequency power source and provided in parallel with the first antenna, and a variable capacitor provided in series with the first antenna in the first line between the branch point and the first antenna.

상기 플라즈마 발생 유닛은, 상기 분기점과 상기 제 2 안테나 사이의 상기 제 2 라인에 상기 제 2 안테나와 직렬로 연결된 고정 커패시터를 더 포함할 수 있다.The plasma generating unit may further include a fixed capacitor connected in series with the second antenna to the second line between the branch point and the second antenna.

상기 플라즈마 발생 유닛은, 상기 제 1 안테나에 연결된 접지 라인 상에 설치된 제 1 커패시터를 더 포함할 수 있다.The plasma generating unit may further include a first capacitor provided on a ground line connected to the first antenna.

상기 플라즈마 발생 유닛은, 상기 제 2 안테나에 연결된 접지 라인 상에 설치된 제 2 커패시터를 더 포함할 수 있다.The plasma generating unit may further include a second capacitor provided on a ground line connected to the second antenna.

상기 제 1 안테나 및 상기 제 2 안테나는 링 형상으로 제공되고, 상기 제 1 안테나의 반경은 상기 제 2 안테나의 반경보다 작게 제공될 수 있다.The first antenna and the second antenna may be provided in a ring shape, and the radius of the first antenna may be smaller than the radius of the second antenna.

상기 제 1 안테나 및 상기 제 2 안테나는 상기 공정 챔버의 상부에 배치될 수 있다.The first antenna and the second antenna may be disposed on top of the process chamber.

상기 제 1 안테나 및 상기 제 2 안테나는 상기 공정 챔버의 측부에 배치될 수 있다.The first antenna and the second antenna may be disposed on the side of the process chamber.

상기 제 1 안테나 및 상기 제 2 안테나 중 어느 하나는 상기 공정 챔버의 상부에 배치되고, 나머지 하나는 상기 공정 챔버의 측부에 배치될 수 있다. Either the first antenna or the second antenna may be disposed on the upper portion of the process chamber, and the other may be disposed on the side of the process chamber.

또한, 본 발명은 플라즈마 발생 장치를 제공한다.Further, the present invention provides a plasma generating apparatus.

본 발명의 다른 실시예에 따른 플라즈마 발생 장치는, 고주파 신호를 생성하는 고주파 전원, 상기 고주파 전원과 제 1 라인을 통해 연결된 제 1 안테나, 분기점에서 상기 제 1 라인으로부터 분기된 제 2 라인을 통해 상기 고주파 전원과 연결되며, 상기 제 1 안테나와 병렬로 제공된 제 2 안테나, 상기 분기점과 상기 제 1 안테나 사이의 상기 제 1 라인에 상기 제 1 안테나와 직렬로 설치된 가변 커패시터를 포함할 수 있다. A plasma generator according to another embodiment of the present invention includes a high frequency power source for generating a high frequency signal, a first antenna connected to the high frequency power source through a first line, and a second antenna connected to the high frequency power source via a second line, A second antenna connected to the high frequency power source and provided in parallel with the first antenna, and a variable capacitor provided in series with the first antenna in the first line between the branch point and the first antenna.

상기 플라즈마 발생 장치는, 상기 분기점과 상기 제 2 안테나 사이의 상기 제 2 라인에 상기 제 2 안테나와 직렬로 연결된 고정 커패시터를 더 포함할 수 있다.The plasma generator may further include a fixed capacitor connected in series with the second antenna to the second line between the branch point and the second antenna.

상기 플라즈마 발생 장치는, 상기 제 1 안테나에 연결된 접지 라인 상에 설치된 제 1 커패시터를 더 포함할 수 있다.The plasma generator may further include a first capacitor disposed on a ground line connected to the first antenna.

상기 플라즈마 발생 장치는, 상기 제 2 안테나에 연결된 접지 라인 상에 설치된 제 2 커패시터를 더 포함할 수 있다.The plasma generator may further include a second capacitor provided on a ground line connected to the second antenna.

본 발명의 실시예에 따르면, 각 코일에 공급되는 전력량 및 그 비율을 넓은 범위에 걸쳐 제어할 수 있다.According to the embodiment of the present invention, the amount of electric power supplied to each coil and the ratio thereof can be controlled over a wide range.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-mentioned effects, and the effects not mentioned can be clearly understood by those skilled in the art from the present specification and attached drawings.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 예시적으로 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 발생 유닛을 예시적으로 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따른 전력 분배기의 구성을 예시적으로 나타내는 도면이다.
도 4는 본 발명의 다른 실시예에 따른 전력 분배기의 구성을 예시적으로 나타내는 도면이다.
도 5는 본 발명의 또 다른 실시예에 따른 전력 분배기의 구성을 예시적으로 나타내는 도면이다.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a diagram illustrating a substrate processing apparatus according to an embodiment of the present invention. FIG.
2 is a diagram illustrating an exemplary plasma generating unit according to an embodiment of the present invention.
3 is a diagram illustrating a configuration of a power divider according to an embodiment of the present invention.
4 is a diagram illustrating a configuration of a power divider according to another embodiment of the present invention.
5 is a diagram illustrating a configuration of a power divider according to another embodiment of the present invention.

본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.The embodiments of the present invention can be modified into various forms and the scope of the present invention should not be interpreted as being limited by the embodiments described below. The present embodiments are provided to enable those skilled in the art to more fully understand the present invention. Accordingly, the shapes of the components and the like in the drawings are exaggerated in order to emphasize a clearer description.

본 발명의 실시예에서는 플라즈마를 이용하여 기판을 식각하는 기판 처리 장치 에 대해 설명한다. 그러나 본 발명은 이에 한정되지 않고, 그 상부에 놓여진 기판을 가열하는 다양한 종류의 장치에 적용 가능하다. In an embodiment of the present invention, a substrate processing apparatus for etching a substrate using plasma will be described. However, the present invention is not limited thereto, but is applicable to various kinds of apparatuses for heating a substrate placed thereon.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치(10)를 예시적으로 나타내는 도면이다.FIG. 1 is a view exemplarily showing a substrate processing apparatus 10 according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(10)는 플라즈마를 이용하여 기판(W)을 처리한다. 예를 들어, 기판 처리 장치(10)는 기판(W)에 대하여 식각 공정을 수행할 수 있다. 기판 처리 장치(10)는 공정 챔버(100), 지지 유닛(200), 가스 공급 유닛(300), 플라즈마 발생 유닛(400) 및 배플 유닛(500)을 포함할 수 있다.Referring to Fig. 1, a substrate processing apparatus 10 processes a substrate W using a plasma. For example, the substrate processing apparatus 10 may perform an etching process on the substrate W. [ The substrate processing apparatus 10 may include a process chamber 100, a support unit 200, a gas supply unit 300, a plasma generation unit 400, and a baffle unit 500.

공정 챔버(100)는 기판 처리 공정이 수행되는 공간을 제공한다. 공정 챔버(100)는 하우징(110), 밀폐 커버(120) 및 라이너(130)를 포함한다. The process chamber 100 provides a space in which the substrate processing process is performed. The process chamber 100 includes a housing 110, a seal cover 120, and a liner 130.

하우징(110)은 내부에 상면이 개방된 공간을 갖는다. 하우징(110)의 내부 공간은 기판 처리 공정이 수행되는 처리 공간으로 제공된다. 하우징(110)은 금속 재질로 제공된다. 하우징(110)은 알루미늄 재질로 제공될 수 있다. 하우징(110)은 접지될 수 있다. 하우징(110)의 바닥면에는 배기홀(102)이 형성된다. 배기홀(102)은 배기 라인(151)과 연결된다. 공정 과정에서 발생한 반응 부산물 및 하우징의 내부 공간에 머무르는 가스는 배기 라인(151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 하우징(110) 내부는 소정의 압력으로 감압된다.The housing 110 has a space whose top surface is open inside. The inner space of the housing 110 is provided to the processing space where the substrate processing process is performed. The housing 110 is made of a metal material. The housing 110 may be made of aluminum. The housing 110 may be grounded. An exhaust hole 102 is formed in the bottom surface of the housing 110. The exhaust hole 102 is connected to the exhaust line 151. The reaction by-products generated in the process and the gas staying in the inner space of the housing can be discharged to the outside through the exhaust line 151. The inside of the housing 110 is reduced in pressure to a predetermined pressure by the exhaust process.

밀폐 커버(120)는 하우징(110)의 개방된 상면을 덮는다. 밀폐 커버(120)는 판 형상으로 제공되며, 하우징(110)의 내부 공간을 밀폐시킨다. 밀폐 커버(120)는 유전체(dielectric substance) 창을 포함할 수 있다.The sealing cover 120 covers the open upper surface of the housing 110. The sealing cover 120 is provided in a plate shape to seal the inner space of the housing 110. The sealing cover 120 may include a dielectric substance window.

라이너(130)는 하우징(110) 내부에 제공된다. 라이너(130)는 상면 및 하면이 개방된 공간의 내부에 형성된다. 라이너(130)는 원통 형상으로 제공될 수 있다. 라이너(130)는 하우징(110)의 내측면에 상응하는 반경을 가질 수 있다. 라이너(130)는 하우징(110)의 내측면을 따라 제공된다. 라이너(130)의 상단에는 지지 링(131)이 형성된다. 지지 링(131)은 링 형상의 판으로 제공되며, 라이너(130)의 둘레를 따라 라이너(130)의 외측으로 돌출된다. 지지 링(131)은 하우징(110)의 상단에 놓이며, 라이너(130)를 지지한다. 라이너(130)는 하우징(110)과 동일한 재질로 제공될 수 있다. 즉, 라이너(130)는 알루미늄 재질로 제공될 수 있다. 라이너(130)는 하우징(110) 내측면을 보호한다. 공정 가스가 여기되는 과정에서 챔버(100) 내부에는 아크(Arc) 방전이 발생될 수 있다. 아크 방전은 주변 장치들을 손상시킨다. 라이너(130)는 하우징(110)의 내측면을 보호하여 하우징(110)의 내측면이 아크 방전으로 손상되는 것을 방지한다. 또한, 기판 처리 공정 중에 발생한 불순물이 하우징(110)의 내측벽에 증착되는 것을 방지한다. 라이너(130)는 하우징(110)에 비하여 비용이 저렴하고, 교체가 용이하다. 따라서, 아크 방전으로 라이너(130)가 손상될 경우, 작업자는 새로운 라이너(130)로 교체할 수 있다.The liner 130 is provided inside the housing 110. The liner 130 is formed inside the space where the upper surface and the lower surface are opened. The liner 130 may be provided in a cylindrical shape. The liner 130 may have a radius corresponding to the inner surface of the housing 110. The liner 130 is provided along the inner surface of the housing 110. At the upper end of the liner 130, a support ring 131 is formed. The support ring 131 is provided in the form of a ring and projects outwardly of the liner 130 along the periphery of the liner 130. The support ring 131 rests on the top of the housing 110 and supports the liner 130. The liner 130 may be provided in the same material as the housing 110. That is, the liner 130 may be made of aluminum. The liner 130 protects the inside surface of the housing 110. An arc discharge may be generated in the chamber 100 during the process gas excitation. Arc discharge damages peripheral devices. The liner 130 protects the inner surface of the housing 110 to prevent the inner surface of the housing 110 from being damaged by the arc discharge. Also, impurities generated during the substrate processing process are prevented from being deposited on the inner wall of the housing 110. The liner 130 is less expensive than the housing 110 and is easier to replace. Thus, if the liner 130 is damaged by an arc discharge, the operator can replace the new liner 130.

하우징(110)의 내부에는 기판 지지 유닛(200)이 위치한다. 기판 지지 유닛(200)은 기판(W)을 지지한다. 기판 지지 유닛(200)은 정전기력을 이용하여 기판(W)을 흡착하는 정전 척(210)을 포함할 수 있다. 이와 달리, 기판 지지 유닛(200)은 기계적 클램핑과 같은 다양한 방식으로 기판(W)을 지지할 수도 있다. 이하에서는 정전 척(210)을 포함하는 지지 유닛(200)에 대하여 설명한다.The substrate supporting unit 200 is located inside the housing 110. The substrate supporting unit 200 supports the substrate W. The substrate supporting unit 200 may include an electrostatic chuck 210 for attracting the substrate W using an electrostatic force. Alternatively, the substrate support unit 200 may support the substrate W in a variety of ways, such as mechanical clamping. Hereinafter, the supporting unit 200 including the electrostatic chuck 210 will be described.

지지 유닛(200)은 정전 척(210), 절연 플레이트(250) 및 하부 커버(270)를 포함한다. 지지 유닛(200)은 챔버(100) 내부에서 하우징(110)의 바닥면으로부터 상부로 이격되어 위치될 수 있다.The supporting unit 200 includes an electrostatic chuck 210, an insulating plate 250 and a lower cover 270. The support unit 200 may be positioned within the chamber 100 and spaced upwardly from the bottom surface of the housing 110.

정전 척(210)은 유전판(220), 전극(223), 히터(225), 지지판(230) 및 포커스 링(240)을 포함한다.The electrostatic chuck 210 includes a dielectric plate 220, electrodes 223, a heater 225, a support plate 230, and a focus ring 240.

유전판(220)은 정전 척(210)의 상단부에 위치한다. 유전판(220)은 원판 형상의 유전체(dielectric substance)로 제공된다. 유전판(220)의 상면에는 기판(W)이 놓인다. 유전판(220)의 상면은 기판(W)보다 작은 반경을 갖는다. 때문에, 기판(W) 가장자리 영역은 유전판(220)의 외측에 위치한다. 유전판(220)에는 제 1 공급 유로(221)가 형성된다. 제 1 공급 유로(221)는 유전판(210)의 상면으로부터 저면으로 제공된다. 제 1 공급 유로(221)는 서로 이격하여 복수 개 형성되며, 기판(W)의 저면으로 열전달 매체가 공급되는 통로로 제공된다.The dielectric plate 220 is located at the upper end of the electrostatic chuck 210. The dielectric plate 220 is provided as a disk-shaped dielectric substance. A substrate W is placed on the upper surface of the dielectric plate 220. The upper surface of the dielectric plate 220 has a smaller radius than the substrate W. [ Therefore, the edge region of the substrate W is located outside the dielectric plate 220. A first supply passage 221 is formed in the dielectric plate 220. The first supply passage 221 is provided from the upper surface to the lower surface of the dielectric plate 210. A plurality of first supply passages 221 are spaced apart from each other and are provided as passages through which the heat transfer medium is supplied to the bottom surface of the substrate W.

유전판(220)의 내부에는 하부 전극(223)과 히터(225)가 매설된다. 하부 전극(223)은 히터(225)의 상부에 위치한다. 하부 전극(223)은 제 1 하부 전원(223a)과 전기적으로 연결된다. 제 1 하부 전원(223a)은 직류 전원을 포함한다. 하부 전극(223)과 제 1 하부 전원(223a) 사이에는 스위치(223b)가 설치된다. 하부 전극(223)은 스위치(223b)의 온/오프에 의해 제 1 하부 전원(223a)과 전기적으로 연결될 수 있다. 스위치(223b)가 온 되면, 하부 전극(223)에는 직류 전류가 인가된다. 하부 전극(223)에 인가된 전류에 의해 하부 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 유전판(220)에 흡착된다.A lower electrode 223 and a heater 225 are buried in the dielectric plate 220. The lower electrode 223 is located above the heater 225. The lower electrode 223 is electrically connected to the first lower power source 223a. The first lower power source 223a includes a DC power source. A switch 223b is provided between the lower electrode 223 and the first lower power source 223a. The lower electrode 223 may be electrically connected to the first lower power source 223a by turning on / off the switch 223b. When the switch 223b is turned on, a direct current is applied to the lower electrode 223. An electrostatic force is applied between the lower electrode 223 and the substrate W by the current applied to the lower electrode 223 and the substrate W is attracted to the dielectric plate 220 by the electrostatic force.

히터(225)는 제 2 하부 전원(225a)과 전기적으로 연결된다. 히터(225)는 제 2 하부 전원(225a)에서 인가된 전류에 저항함으로써 열을 발생시킨다. 발생된 열은 유전판(220)을 통해 기판(W)으로 전달된다. 히터(225)에서 발생된 열에 의해 기판(W)은 소정 온도로 유지된다. 히터(225)는 나선 형상의 코일을 포함한다.The heater 225 is electrically connected to the second lower power source 225a. The heater 225 generates heat by resisting the current applied from the second lower power supply 225a. The generated heat is transferred to the substrate W through the dielectric plate 220. The substrate W is maintained at a predetermined temperature by the heat generated in the heater 225. The heater 225 includes a helical coil.

유전판(220)의 하부에는 지지판(230)이 위치한다. 유전판(220)의 저면과 지지판(230)의 상면은 접착제(236)에 의해 접착될 수 있다. 지지판(230)은 알루미늄 재질로 제공될 수 있다. 지지판(230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 단차질 수 있다. 지지판(230)의 상면 중심 영역은 유전판(220)의 저면에 상응하는 면적을 가지며, 유전판(220)의 저면과 접착된다. 지지판(230)에는 제 1 순환 유로(231), 제 2 순환 유로(232) 및 제 2 공급 유로(233)가 형성된다.A support plate 230 is positioned below the dielectric plate 220. The bottom surface of the dielectric plate 220 and the top surface of the support plate 230 may be adhered by an adhesive 236. [ The support plate 230 may be made of aluminum. The upper surface of the support plate 230 may be stepped so that the central region is positioned higher than the edge region. The upper surface central region of the support plate 230 has an area corresponding to the bottom surface of the dielectric plate 220 and is bonded to the bottom surface of the dielectric plate 220. A first circulation channel 231, a second circulation channel 232, and a second supply channel 233 are formed in the support plate 230.

제 1 순환 유로(231)는 열전달 매체가 순환하는 통로로 제공된다. 제 1 순환 유로(231)는 지지판(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제 1 순환 유로(231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제 1 순환 유로(231)는 서로 연통될 수 있다. 제 1 순환 유로(231)는 동일한 높이에 형성된다.The first circulation channel 231 is provided as a passage through which the heat transfer medium circulates. The first circulation flow path 231 may be formed in a spiral shape inside the support plate 230. Alternatively, the first circulation flow path 231 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the first circulation flow paths 231 can communicate with each other. The first circulation flow path 231 is formed at the same height.

제 2 순환 유로(232)는 냉각 유체가 순환하는 통로로 제공된다. 제 2 순환 유로(232)는 지지판(230) 내부에 나선 형상으로 형성될 수 있다. 또한, 제 2 순환 유로(232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제 2 순환 유로(232)는 서로 연통될 수 있다. 제 2 순환 유로(232)는 제 1 순환 유로(231)보다 큰 단면적을 가질 수 있다. 제 2 순환 유로(232)는 동일한 높이에 형성된다. 제 2 순환 유로(232)는 제 1 순환 유로(231)의 하부에 위치될 수 있다.The second circulation flow passage 232 is provided as a passage through which the cooling fluid circulates. The second circulation channel 232 may be formed in a spiral shape inside the support plate 230. Further, the second circulation flow path 232 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the second circulation flow paths 232 can communicate with each other. The second circulation channel 232 may have a larger cross-sectional area than the first circulation channel 231. The second circulation flow path 232 is formed at the same height. The second circulation flow passage 232 may be positioned below the first circulation flow passage 231.

제 2 공급 유로(233)는 제 1 순환 유로(231)부터 상부로 연장되며, 지지판(230)의 상면으로 제공된다. 제 2 공급 유로(243)는 제 1 공급 유로(221)에 대응하는 개수로 제공되며, 제 1 순환 유로(231)와 제 1 공급 유로(221)를 연결한다.The second supply passage 233 extends upward from the first circulation passage 231 and is provided on the upper surface of the support plate 230. The second supply passage 243 is provided in a number corresponding to the first supply passage 221 and connects the first circulation passage 231 to the first supply passage 221.

제 1 순환 유로(231)는 열전달 매체 공급라인(231b)을 통해 열전달 매체 저장부(231a)와 연결된다. 열전달 매체 저장부(231a)에는 열전달 매체가 저장된다. 열전달 매체는 불활성 가스를 포함한다. 실시예에 의하면, 열전달 매체는 헬륨(He) 가스를 포함한다. 헬륨 가스는 공급 라인(231b)을 통해 제 1 순환 유로(231)에 공급되며, 제 2 공급 유로(233)와 제1 공급 유로(221)를 순차적으로 거쳐 기판(W) 저면으로 공급된다. 헬륨 가스는 플라즈마에서 기판(W)으로 전달된 열이 정전 척(210)으로 전달되는 매개체 역할을 한다.The first circulation channel 231 is connected to the heat transfer medium storage unit 231a through the heat transfer medium supply line 231b. The heat transfer medium is stored in the heat transfer medium storage unit 231a. The heat transfer medium includes an inert gas. According to an embodiment, the heat transfer medium comprises helium (He) gas. The helium gas is supplied to the first circulation channel 231 through the supply line 231b and is supplied to the bottom surface of the substrate W through the second supply channel 233 and the first supply channel 221 in sequence. The helium gas serves as a medium through which the heat transferred from the plasma to the substrate W is transferred to the electrostatic chuck 210.

제 2 순환 유로(232)는 냉각 유체 공급 라인(232c)을 통해 냉각 유체 저장부(232a)와 연결된다. 냉각 유체 저장부(232a)에는 냉각 유체가 저장된다. 냉각 유체 저장부(232a) 내에는 냉각기(232b)가 제공될 수 있다. 냉각기(232b)는 냉각 유체를 소정 온도로 냉각시킨다. 이와 달리, 냉각기(232b)는 냉각 유체 공급 라인(232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(232c)을 통해 제 2 순환 유로(232)에 공급된 냉각 유체는 제 2 순환 유로(232)를 따라 순환하며 지지판(230)을 냉각한다. 지지판(230)은 냉각되면서 유전판(220)과 기판(W)을 함께 냉각시켜 기판(W)을 소정 온도로 유지시킨다.The second circulation channel 232 is connected to the cooling fluid storage 232a through the cooling fluid supply line 232c. The cooling fluid is stored in the cooling fluid storage part 232a. A cooler 232b may be provided in the cooling fluid storage portion 232a. The cooler 232b cools the cooling fluid to a predetermined temperature. Alternatively, the cooler 232b may be installed on the cooling fluid supply line 232c. The cooling fluid supplied to the second circulation channel 232 through the cooling fluid supply line 232c circulates along the second circulation channel 232 to cool the support plate 230. The support plate 230 cools the dielectric plate 220 and the substrate W together while keeping the substrate W at a predetermined temperature.

포커스 링(240)은 정전 척(210)의 가장자리 영역에 배치된다. 포커스 링(240)은 링 형상을 가지며, 유전판(220)의 둘레를 따라 배치된다. 포커스 링(240)의 상면은 외측부(240a)가 내측부(240b)보다 높도록 단차질 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 상면과 동일 높이에 위치된다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 외측에 위치된 기판(W)의 가장자리 영역을 지지한다. 포커스 링(240)의 외측부(240a)는 기판(W)의 가장자리 영역을 둘러싸도록 제공된다. 포커스 링(240)은 챔버(100) 내에서 플라즈마가 기판(W)과 마주하는 영역으로 집중되도록 한다.The focus ring 240 is disposed in the edge region of the electrostatic chuck 210. The focus ring 240 has a ring shape and is disposed along the periphery of the dielectric plate 220. The upper surface of the focus ring 240 may be stepped so that the outer portion 240a is higher than the inner portion 240b. The upper surface inner side portion 240b of the focus ring 240 is positioned at the same height as the upper surface of the dielectric plate 220. [ The upper surface inner side portion 240b of the focus ring 240 supports an edge region of the substrate W positioned outside the dielectric plate 220. [ The outer side portion 240a of the focus ring 240 is provided so as to surround the edge region of the substrate W. [ The focus ring 240 allows the plasma to be concentrated within the chamber 100 in a region facing the substrate W. [

지지판(230)의 하부에는 절연 플레이트(250)가 위치한다. 절연 플레이트(250)는 지지판(230)에 상응하는 단면적으로 제공된다. 절연 플레이트(250)는 지지판(230)과 하부 커버(270) 사이에 위치한다. 절연 플레이트(250)는 절연 재질로 제공되며, 지지판(230)과 하부 커버(270)를 전기적으로 절연시킨다.An insulating plate 250 is disposed under the support plate 230. The insulating plate 250 is provided in a cross-sectional area corresponding to the support plate 230. [ The insulating plate 250 is positioned between the support plate 230 and the lower cover 270. The insulating plate 250 is made of an insulating material and electrically insulates the supporting plate 230 and the lower cover 270.

하부 커버(270)는 기판 지지 유닛(200)의 하단부에 위치한다. 하부 커버(270)는 하우징(110)의 바닥면에서 상부로 이격되어 위치한다. 하부 커버(270)는 상면이 개방된 공간이 내부에 형성된다. 하부 커버(270)의 상면은 절연 플레이트(250)에 의해 덮어진다. 따라서, 하부 커버(270)의 단면의 외부 반경은 절연 플레이트(250)의 외부 반경과 동일한 길이로 제공될 수 있다. 하부 커버(270)의 내부 공간에는 반송되는 기판(W)을 외부의 반송 부재로부터 정전 척(210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다.The lower cover 270 is located at the lower end of the substrate supporting unit 200. The lower cover 270 is spaced upwardly from the bottom surface of the housing 110. The lower cover 270 has a space in which an upper surface is opened. The upper surface of the lower cover 270 is covered with an insulating plate 250. Thus, the outer radius of the cross section of the lower cover 270 can be provided with a length equal to the outer radius of the insulating plate 250. A lift pin module (not shown) for moving the substrate W to be transferred from an external carrying member to the electrostatic chuck 210 may be positioned in the inner space of the lower cover 270.

하부 커버(270)는 연결 부재(273)를 갖는다. 연결 부재(273)는 하부 커버(270)의 외측면과 하우징(110)의 내측벽을 연결한다. 연결 부재(273)는 하부 커버(270)의 외측면에 일정한 간격으로 복수 개 제공될 수 있다. 연결 부재(273)는 기판 지지 유닛(200)을 챔버(100) 내부에서 지지한다. 또한, 연결 부재(273)는 하우징(110)의 내측벽과 연결됨으로써 하부 커버(270)가 전기적으로 접지되도록 한다. 제 1 하부 전원(223a)과 연결되는 제 1 전원 라인(223c), 제 2 하부 전원(225a)과 연결되는 제 2 전원라인(225c), 열전달 매체 저장부(231a)와 연결된 열전달 매체 공급라인(231b), 및 냉각 유체 저장부(232a)와 연결된 냉각 유체 공급 라인(232c) 등은 연결 부재(273)의 내부 공간을 통해 하부 커버(270) 내부로 연장된다.The lower cover 270 has a connecting member 273. The connecting member 273 connects the outer side surface of the lower cover 270 and the inner side wall of the housing 110. A plurality of connecting members 273 may be provided on the outer surface of the lower cover 270 at regular intervals. The connecting member 273 supports the substrate supporting unit 200 inside the chamber 100. The connecting member 273 is connected to the inner wall of the housing 110 so that the lower cover 270 is electrically grounded. A first power supply line 223c connected to the first lower power supply 223a, a second power supply line 225c connected to the second lower power supply 225a, a heat transfer medium supply line 233b connected to the heat transfer medium storage 231a And the cooling fluid supply line 232c connected to the cooling fluid reservoir 232a extend into the lower cover 270 through the inner space of the connection member 273. [

가스 공급 유닛(300)은 챔버(100) 내부에 공정 가스를 공급한다. 가스 공급 유닛(300)은 가스 공급 노즐(310), 가스 공급 라인(320) 및 가스 저장부(330)를 포함한다. 가스 공급 노즐(310)은 밀폐 커버(120)의 중앙부에 설치된다. 가스 공급 노즐(310)의 저면에는 분사구가 형성된다. 분사구는 밀폐 커버(120)의 하부에 위치하며, 챔버(100) 내부의 처리공간으로 공정 가스를 공급한다. 가스 공급 라인(320)은 가스 공급 노즐(310)과 가스 저장부(330)를 연결한다. 가스 공급 라인(320)은 가스 저장부(330)에 저장된 공정 가스를 가스 공급 노즐(310)에 공급한다. 가스 공급 라인(320)에는 밸브(321)가 설치된다. 밸브(321)는 가스 공급 라인(320)을 개폐하며, 가스 공급 라인(320)을 통해 공급되는 공정 가스의 유량을 조절한다.The gas supply unit 300 supplies the process gas into the chamber 100. The gas supply unit 300 includes a gas supply nozzle 310, a gas supply line 320, and a gas storage unit 330. The gas supply nozzle 310 is installed at the center of the sealing cover 120. A jetting port is formed on the bottom surface of the gas supply nozzle 310. The injection port is located at the bottom of the closed cover 120 and supplies the process gas to the processing space inside the chamber 100. The gas supply line 320 connects the gas supply nozzle 310 and the gas storage unit 330. The gas supply line 320 supplies the process gas stored in the gas storage unit 330 to the gas supply nozzle 310. A valve 321 is installed in the gas supply line 320. The valve 321 opens and closes the gas supply line 320 and regulates the flow rate of the process gas supplied through the gas supply line 320.

플라즈마 발생 유닛(400)은 챔버(100) 내 공정 가스를 플라즈마 상태로 여기시킨다. 본 발명의 일 실시예에 따르면, 플라즈마 발생 유닛(400)은 ICP 타입으로 구성될 수 있다. The plasma generating unit 400 excites the process gas in the chamber 100 into a plasma state. According to one embodiment of the present invention, the plasma generating unit 400 may be configured as an ICP type.

플라즈마 발생 유닛(400)은 고주파 전원(420), 제 1 안테나(411), 제 2 안테나(413), 그리고 전력 분배기(430)를 포함할 수 있다. 고주파 전원(420)은 고주파 신호를 공급한다. 일 예로, 고주파 전원(420)은 RF 전원(420)일 수 있다. RF 전원(420)은 RF 전력을 공급한다. 이하, 고주파 전원(420)이 RF 전원(420)으로 제공되는 경우를 설명한다. 제 1 안테나(411)는 고주파 전원(420)과 제 1 라인(L1)을 통해 연결된다. 제 2 안테나(413)는 고주파 전원(420)과 제 2 라인(L2)을 통해 연결된다. 제 2 라인(L2)은 제 1 라인(L1)의 분기점(P)에서 분기된다. 제 1 안테나(411) 및 제 2 안테나(413)는 각각 복수 회로 감긴 코일로 제공될 수 있다. 제 1 안테나(411) 및 제 2 안테나(413)는 RF 전원(420)에 전기적으로 연결되어 RF 전력을 인가받는다. 전력 분배기(430)는 RF 전원(420)으로부터 공급되는 전력을 각각의 안테나로 분배한다.The plasma generating unit 400 may include a high frequency power source 420, a first antenna 411, a second antenna 413, and a power divider 430. The high frequency power source 420 supplies a high frequency signal. As an example, the high frequency power source 420 may be an RF power source 420. The RF power source 420 supplies RF power. Hereinafter, a case where the high frequency power source 420 is provided as the RF power source 420 will be described. The first antenna 411 is connected to the high frequency power source 420 through the first line L1. The second antenna 413 is connected to the high frequency power source 420 through the second line L2. The second line (L2) branches at the branch point (P) of the first line (L1). The first antenna 411 and the second antenna 413 may be provided as a plurality of circuit winding coils, respectively. The first antenna 411 and the second antenna 413 are electrically connected to the RF power source 420 and receive RF power. The power distributor 430 distributes the power supplied from the RF power source 420 to each antenna.

제 1 안테나(411) 및 제 2 안테나(413)는 기판(W)에 대향하는 위치에 배치될 수 있다. 예를 들어, 제 1 안테나(411) 및 제 2 안테나(413)는 공정 챔버(100)의 상부에 설치될 수 있다. 제 1 안테나(411) 및 제 2 안테나(413)는 링 형상으로 제공될 수 있다. 이 때, 제 1 안테나(411)의 반경은 제 2 안테나(413)의 반경보다 작게 제공될 수 있다. 이 때, 제 1 안테나(411)는 공정 챔버(100)의 상부 안쪽에 위치하고, 제 2 안테나(413)은 공정 챔버(100)의 상부 바깥쪽에 위치할 수 있다.The first antenna 411 and the second antenna 413 may be disposed at positions opposite to the substrate W. [ For example, the first antenna 411 and the second antenna 413 may be installed on top of the process chamber 100. The first antenna 411 and the second antenna 413 may be provided in a ring shape. At this time, the radius of the first antenna 411 may be smaller than the radius of the second antenna 413. In this case, the first antenna 411 may be located in the upper portion of the process chamber 100, and the second antenna 413 may be located outside the upper portion of the process chamber 100.

실시예에 따라, 상기 제 1 및 제 2 안테나(411, 413)은 공정 챔버(100)의 측부에 배치될 수도 있다. 실시예에 따라, 상기 제 1 및 제 2 안테나(411, 413) 중 어느 하나는 공정 챔버(100)의 상부에 배치되고, 다른 하나는 공정 챔버(100)의 측부에 배치될 수도 있다. 복수의 안테나가 공정 챔버(100) 내에서 플라즈마를 생성하는 한, 코일의 위치는 제한되지 않는다.According to an embodiment, the first and second antennas 411 and 413 may be disposed on the side of the process chamber 100. Either one of the first and second antennas 411 and 413 may be disposed on top of the process chamber 100 and the other may be disposed on the side of the process chamber 100. [ The position of the coils is not limited as long as a plurality of antennas generate plasma in the process chamber 100.

제 1 안테나(411) 및 제 2 안테나(413)은 RF 전원(420)으로부터 RF 전력을 인가받아 챔버에 시변 전자장을 유도할 수 있으며, 그에 따라 공정 챔버(100)에 공급된 공정 가스는 플라즈마로 여기될 수 있다.The first antenna 411 and the second antenna 413 may receive RF power from the RF power source 420 to induce a time-varying electromagnetic field in the chamber, and thus the process gas supplied to the process chamber 100 may be plasma- It can be here.

배플 유닛(500)은 하우징(110)의 내측벽과 기판 지지 유닛(200) 사이에 위치된다. 배플 유닛(500)은 관통홀이 형성된 배플을 포함한다. 배플은 환형의 링 형상으로 제공된다. 하우징(110) 내에 제공된 공정가스는 배플의 관통홀들을 통과하여 배기홀(102)로 배기된다. 배플의 형상 및 관통홀들의 형상에 따라 공정가스의 흐름이 제어될 수 있다.The baffle unit 500 is positioned between the inner wall of the housing 110 and the substrate support unit 200. The baffle unit 500 includes a baffle in which a through hole is formed. The baffle is provided in an annular ring shape. The process gas provided in the housing 110 is exhausted to the exhaust hole 102 through the through holes of the baffle. The flow of the process gas can be controlled according to the shape of the baffle and the shape of the through holes.

도 2는 본 발명의 일 실시예에 따른 플라즈마 발생 유닛(400)을 예시적으로 나타내는 도면이다.FIG. 2 is a view exemplarily showing a plasma generating unit 400 according to an embodiment of the present invention.

도 2 와 같이, 플라즈마 발생 유닛(400)은 RF 전원(420), 제 1 안테나(411), 제 2 안테나(413) 및 전력 분배기(430)를 포함할 수 있다.2, the plasma generating unit 400 may include an RF power source 420, a first antenna 411, a second antenna 413, and a power divider 430.

RF 전원(420)은 RF 신호를 생성할 수 있다. 일 실시예에 따르면, RF 전원(420)은 기 설정된 주파수를 갖는 정현파를 생성할 수 있다. 그러나, RF 전원(420)이 생성하는 신호의 파형은 이에 제한되지 않고 톱니파, 삼각파 등 다양한 파형을 가질 수 있다.The RF power source 420 may generate an RF signal. According to one embodiment, the RF power source 420 may generate sinusoids having a predetermined frequency. However, the waveform of the signal generated by the RF power source 420 is not limited to this, and may have various waveforms such as a sawtooth wave and a triangle wave.

제 1 안테나(411) 및 제 2 안테나(413)는 RF 전원(420)으로부터 RF 신호를 인가받아 전자장을 유도하여 플라즈마를 발생시킨다. 도 2에 도시된 플라즈마 발생 유닛(400)은 총 두 개의 안테나(411, 413)를 구비하나, 안테나의 개수는 이에 제한되지 않고 실시예에 따라 셋 또는 그 이상일 수도 있다.The first antenna 411 and the second antenna 413 receive an RF signal from the RF power source 420 and induce an electromagnetic field to generate plasma. The plasma generating unit 400 shown in FIG. 2 includes a total of two antennas 411 and 413, but the number of the antennas is not limited thereto and may be three or more according to the embodiment.

전력 분배기(430)는 RF 전원(420)과 다수의 안테나(411, 413) 사이에 설치되어 RF 전원(420)으로부터 공급되는 전력을 각각의 안테나로 분배한다.The power divider 430 is installed between the RF power source 420 and the plurality of antennas 411 and 413 to distribute the power supplied from the RF power source 420 to each antenna.

플라즈마 발생 유닛(400)은 임피던스 정합기(440)를 더 포함할 수 있다. 임피던스 정합기(440)는 상기 RF 전원(420)의 출력단에 연결되어 전원 측의 출력 임피던스와 부하 측의 입력 임피던스를 정합시킬 수 있다. The plasma generating unit 400 may further include an impedance matcher 440. The impedance matcher 440 is connected to the output terminal of the RF power source 420 to match the output impedance of the power source with the input impedance of the load.

도 3은 본 발명의 일 실시예에 따른 전력 분배기(430)의 구성을 예시적으로 나타내는 도면이다.3 is a diagram illustrating a configuration of a power divider 430 according to an embodiment of the present invention.

본 발명의 일 실시예에 따른 전력 분배기(430)는 제 1 안테나(411)와 제 2 안테나(413) 중 어느 하나에 직렬로 연결되는 가변 커패시터(431)를 포함할 수 있다. 일 예로, 가변 커패시터(431)는 제 1 안테나(411)와 직렬로 연결될 수 있다. 가변 커패시터(431)는 제 1 라인(L1)상에 설치된다. 일 예로, 가변 커패시터(431)는 도 3과 같이, 분기점(P)과 제 1 안테나(411) 사이의 제 1 라인(L1) 상에 설치될 수 있다. 이 때, 하나의 가변 커패시터(431)를 이용하여 각 안테나(411, 413)의 전류를 가변시킬 수 있고, 공진점을 줄여 플라즈마 소스가 안정될 수 있다. 이와 달리, 가변 커패시터(431)는 제 2 안테나(413)와 직렬로 연결될 수 있다. The power divider 430 according to an embodiment of the present invention may include a variable capacitor 431 connected in series to any one of the first antenna 411 and the second antenna 413. [ For example, the variable capacitor 431 may be connected in series with the first antenna 411. The variable capacitor 431 is provided on the first line L1. For example, the variable capacitor 431 may be provided on the first line L1 between the branch point P and the first antenna 411, as shown in FIG. At this time, the currents of the respective antennas 411 and 413 can be varied by using the single variable capacitor 431, and the plasma source can be stabilized by reducing the resonance point. Alternatively, the variable capacitor 431 may be connected in series with the second antenna 413.

이 실시예에 따르면, 제 1 안테나(411)에 가변 커패시터(431)가 직렬로 연결됨으로써, 가변 커패시터(431)의 입력단에서 바라본 임피던스 Z1의 크기가 가변 커패시터(431) 값에 따라 달라지게 된다. 이에 따라, Z1의 값에 따라 제 2 안테나(413)의 입력단에서 바라본 임피던스 Z2와의 크기가 변하게 된다.According to this embodiment, since the variable capacitor 431 is connected in series to the first antenna 411, the magnitude of the impedance Z1 viewed from the input terminal of the variable capacitor 431 varies depending on the value of the variable capacitor 431. [ Accordingly, the magnitude of the impedance Z2 viewed from the input terminal of the second antenna 413 changes according to the value of Z1.

이와 같이, 다수의 안테나 중 어느 한쪽의 임피던스는 커지는 반면, 다른 한쪽의 임피던스는 작아지도록 설계되는 경우, 각 안테나에 공급되는 전력량 및 그 비율을 보다 용이하게 제어할 수 있게 된다.In this way, when the impedance of one of the plurality of antennas is designed to be large while the impedance of the other antenna is designed to be small, the amount of power supplied to each antenna and the ratio thereof can be more easily controlled.

도 4는 본 발명의 다른 실시예에 따른 전력 분배기(430)의 구성을 예시적으로 나타내는 도면이다.4 is a diagram illustrating a configuration of a power divider 430 according to another embodiment of the present invention.

본 발명의 다른 실시예에 따른 전력 분배기(430)는 제 1 안테나(411)에 직렬로 연결되는 가변 커패시터(431) 및 제 2 안테나(413)에 직렬로 연결되는 고정 커패시터(433)를 포함할 수 있다. 도 4를 참조하면, 고정 커패시터()는 분기점(P)과 제 2 안테나(413) 사이의 제 2 라인(L2) 상에 설치될 수 있다. 제 1 안테나(411) 및 제 2 안테나(413) 각각에 커패시터가 연결되고, 그 중 하나를 가변 커패시터(431)로 제공할 경우, 각각의 안테나(411, 413)는 개별 공진이 가능하여 임피던스 영역을 넓힐 수 있다. 따라서, 각 안테나(411, 413)로의 전류 제어가 가능하다. 이와 달리, 제 1 안테나(411)에 고정 커패시터(433)가 직렬 연결되고, 제 2 안테나(413)에 가변 커패시터(431)가 직렬 연결되도록 제공될 수 있다. The power divider 430 according to another embodiment of the present invention includes a variable capacitor 431 connected in series to the first antenna 411 and a fixed capacitor 433 connected in series to the second antenna 413 . 4, a fixed capacitor C may be provided on the second line L2 between the branch point P and the second antenna 413. When a capacitor is connected to each of the first antenna 411 and the second antenna 413 and one of them is provided to the variable capacitor 431, the respective antennas 411 and 413 can be individually resonated, . Therefore, current control to each of the antennas 411 and 413 is possible. Alternatively, the fixed capacitor 433 may be connected in series with the first antenna 411, and the variable capacitor 431 may be connected in series with the second antenna 413.

도 5는 본 발명의 또 다른 실시예에 따른 전력 분배기(430)의 구성을 예시적으로 나타내는 도면이다.5 is a diagram illustrating an exemplary configuration of a power divider 430 according to another embodiment of the present invention.

본 발명의 다른 실시예에 따른 전력 분배기(430)는 가변 커패시터(431), 제 1 커패시터(433), 그리고 제 2 커패시터(435)를 포함할 수 있다. 가변 커패시터(431)는 제 1 안테나(411)에 직렬로 연결된다. 일 예로, 가변 커패시터(431)는 분기점(P)과 제 1 안테나(411) 사이의 제 1 라인(L1) 상에 설치될 수 있다. 제 1 커패시터(433)는 제 1 라인(L1) 상에 설치된다. 도 5를 참조하면, 제 1 커패시터(433)는 제 1 안테나(411)가 연결된 접지 라인 상에 설치된다. 제 2 커패시터(435)는 제 2 라인(L2) 상에 설치된다. 도 5를 참조하면, 제 2 커패시터(435)는 제 2 안테나(413)가 연결된 접지 라인 상에 설치된다. 이 때, 각 안테나(411, 413)와 접지 사이에 커패시터를 직렬 연결함으로써 각 안테나(411, 413)를 공진시킬 수 있다. 이로 인해 각 안테나(411, 413)를 넓은 범위로 공진시킨 후, 가변 커패시터(431)로 각 안테나(411, 413)에 진입하는 전류를 제어할 수 있다. The power divider 430 according to another embodiment of the present invention may include a variable capacitor 431, a first capacitor 433, and a second capacitor 435. The variable capacitor 431 is connected in series to the first antenna 411. For example, the variable capacitor 431 may be provided on the first line L1 between the branch point P and the first antenna 411. The first capacitor 433 is provided on the first line L1. Referring to FIG. 5, a first capacitor 433 is installed on a ground line to which the first antenna 411 is connected. And the second capacitor 435 is provided on the second line L2. Referring to FIG. 5, the second capacitor 435 is installed on the ground line to which the second antenna 413 is connected. At this time, each of the antennas 411 and 413 can resonate by connecting a capacitor in series between the antennas 411 and 413 and the ground. Therefore, after the antennas 411 and 413 are resonated to a wide range, the variable capacitor 431 can control the current entering each antenna 411 and 413.

전술한 가변 소자들은 제어기(미도시)로부터 제어 신호를 수신하여 그에 따라 소자값이 변경될 수 있다. 상기 제어기는 플라즈마를 이용하는 공정에 따라 소자값을 조절하여 해당 공정에 적합하도록 플라즈마의 특성을 제어할 수 있다.The above-described variable elements receive a control signal from a controller (not shown), and the element value can be changed accordingly. The controller can control the characteristics of the plasma to be suitable for the process by adjusting the device value according to the process using the plasma.

전술한 본 발명의 실시예에 따르면, RF 전원(420)에서 바라본 부하 임피던스, 즉 제 1 안테나(411) 측 임피던스 Z1과 제 2 안테나(413) 측 임피던스 Z2를 보다 넓은 범위에 걸쳐 구현할 수 있다.According to the embodiment of the present invention described above, the load impedance viewed from the RF power source 420, that is, the first antenna 411 side impedance Z1 and the second antenna 413 side impedance Z2 can be implemented over a wider range.

그 결과, 제 1 및 제 2 안테나(411, 413)의 설계가 보다 용이해지고, 나아가 각 코일에 공급되는 전력량 및 그 비율을 보다 용이하게 제어할 수 있게 된다.As a result, the design of the first and second antennas 411 and 413 becomes easier, and further, the amount of power supplied to each coil and the ratio thereof can be more easily controlled.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The foregoing description is merely illustrative of the technical idea of the present invention, and various changes and modifications may be made by those skilled in the art without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are intended to illustrate rather than limit the scope of the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. The scope of protection of the present invention should be construed according to the following claims, and all technical ideas within the scope of equivalents should be construed as falling within the scope of the present invention.

10: 기판 처리 장치
100: 챔버
200: 기판 지지 유닛
300: 가스 공급 유닛
400: 플라즈마 발생 유닛
411: 제 1 코일
413: 제 2 코일
420: RF 전원
430: 전력 분배기
431: 가변 인덕터
432: 커패시터
433, 434: 가변 커패시터
500: 배플 유닛
10: substrate processing apparatus
100: chamber
200: substrate holding unit
300: gas supply unit
400: Plasma generating unit
411: first coil
413: second coil
420: RF power source
430: Power distributor
431: variable inductor
432: Capacitor
433, 434: variable capacitor
500: Baffle unit

Claims (10)

기판 처리 장치에 있어서,
공정 챔버;
상기 공정 챔버 내에서 기판을 지지하는 지지 유닛;
상기 공정 챔버 내에서 공정 가스를 공급하는 가스 공급 유닛; 그리고
상기 공정 챔버 내로 공급된 공정 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하되,
상기 플라즈마 발생 유닛은,
고주파 전원;
상기 고주파 전원과 제 1 라인을 통해 연결된 제 1 안테나;
분기점에서 상기 제 1 라인으로부터 분기된 제 2 라인을 통해 상기 고주파 전원과 연결되며, 상기 제 1 안테나와 병렬로 제공된 제 2 안테나;
상기 분기점과 상기 제 1 안테나 사이의 상기 제 1 라인에 상기 제 1 안테나와 직렬로 설치된 가변 커패시터;
상기 분기점과 상기 제2안테나 사이의 상기 제2라인에 상기 제2안테나와 직렬로 연결된 고정 커패시터;
상기 제1 안테나에 연결된 접지 라인 상에 제1 안테나와 직렬로 설치된 제1커패시터; 및
상기 제2안테나에 연결된 접지 라인 상에 제2 안테나와 직렬로 설치된 제2커패시터;
를 포함하는 기판 처리 장치.
In the substrate processing apparatus,
A process chamber;
A support unit for supporting the substrate in the process chamber;
A gas supply unit for supplying a process gas in the process chamber; And
And a plasma generation unit for generating a plasma from the process gas supplied into the process chamber,
The plasma generating unit includes:
High frequency power source;
A first antenna connected to the high frequency power source through a first line;
A second antenna connected to the high frequency power source through a second line branched from the first line at a branch point and provided in parallel with the first antenna;
A variable capacitor provided in series with the first antenna in the first line between the branch point and the first antenna;
A fixed capacitor connected in series with the second antenna to the second line between the branch point and the second antenna;
A first capacitor disposed in series with a first antenna on a ground line connected to the first antenna; And
A second capacitor connected in series with a second antenna on a ground line connected to the second antenna;
And the substrate processing apparatus.
삭제delete 삭제delete 삭제delete 제 1 항에 있어서,
상기 제 1 안테나 및 상기 제 2 안테나는 링 형상으로 제공되고, 상기 제 1 안테나의 반경은 상기 제 2 안테나의 반경보다 작게 제공되는 기판 처리 장치.
The method according to claim 1,
Wherein the first antenna and the second antenna are provided in a ring shape, and the radius of the first antenna is smaller than the radius of the second antenna.
제 1 항에 있어서,
상기 제 1 안테나 및 상기 제 2 안테나는 상기 공정 챔버의 상부에 배치되는 기판 처리 장치.
The method according to claim 1,
Wherein the first antenna and the second antenna are disposed on top of the process chamber.
고주파 신호를 생성하는 고주파 전원;
상기 고주파 전원과 제 1 라인을 통해 연결된 제 1 안테나;
분기점에서 상기 제 1 라인으로부터 분기된 제 2 라인을 통해 상기 고주파 전원과 연결되며, 상기 제 1 안테나와 병렬로 제공된 제 2 안테나;
상기 분기점과 상기 제 1 안테나 사이의 상기 제 1 라인에 상기 제 1 안테나와 직렬로 설치된 가변 커패시터;
상기 분기점과 상기 제2안테나 사이의 상기 제2라인에 상기 제2안테나와 직렬로 연결된 고정 커패시터;
상기 제1 안테나에 연결된 접지 라인 상에 제1 안테나와 직렬로 설치된 제1커패시터; 및
상기 제2안테나에 연결된 접지 라인 상에 제2 안테나와 직렬로 설치된 제2커패시터;
를 포함하는 기판 처리 장치.
A high frequency power source for generating a high frequency signal;
A first antenna connected to the high frequency power source through a first line;
A second antenna connected to the high frequency power source through a second line branched from the first line at a branch point and provided in parallel with the first antenna;
A variable capacitor provided in series with the first antenna in the first line between the branch point and the first antenna;
A fixed capacitor connected in series with the second antenna to the second line between the branch point and the second antenna;
A first capacitor disposed in series with a first antenna on a ground line connected to the first antenna; And
A second capacitor connected in series with a second antenna on a ground line connected to the second antenna;
And the substrate processing apparatus.
삭제delete 삭제delete 삭제delete
KR1020140029049A 2014-03-12 2014-03-12 Plasma generating device and apparatus for treating substrate comprising the same KR101522892B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140029049A KR101522892B1 (en) 2014-03-12 2014-03-12 Plasma generating device and apparatus for treating substrate comprising the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140029049A KR101522892B1 (en) 2014-03-12 2014-03-12 Plasma generating device and apparatus for treating substrate comprising the same

Publications (1)

Publication Number Publication Date
KR101522892B1 true KR101522892B1 (en) 2015-05-27

Family

ID=53395474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140029049A KR101522892B1 (en) 2014-03-12 2014-03-12 Plasma generating device and apparatus for treating substrate comprising the same

Country Status (1)

Country Link
KR (1) KR101522892B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110828275A (en) * 2018-08-07 2020-02-21 细美事有限公司 Plasma generating apparatus, substrate processing apparatus including the same, and method of controlling plasma generating apparatus
KR20210065755A (en) 2019-11-27 2021-06-04 세메스 주식회사 Substrate processing apparatus and substrate processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110022952A (en) * 2009-08-28 2011-03-08 세메스 주식회사 Apparatus for processing a substrate
KR20110046256A (en) * 2009-10-26 2011-05-04 어플라이드 머티어리얼스, 인코포레이티드 Dual Mode Inductively Coupled Plasma Reactor With Adjustable Phase Coil Assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110022952A (en) * 2009-08-28 2011-03-08 세메스 주식회사 Apparatus for processing a substrate
KR20110046256A (en) * 2009-10-26 2011-05-04 어플라이드 머티어리얼스, 인코포레이티드 Dual Mode Inductively Coupled Plasma Reactor With Adjustable Phase Coil Assembly

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110828275A (en) * 2018-08-07 2020-02-21 细美事有限公司 Plasma generating apparatus, substrate processing apparatus including the same, and method of controlling plasma generating apparatus
US10600618B2 (en) 2018-08-07 2020-03-24 Semes Co., Ltd. Plasma generation apparatus, substrate treating apparatus including the same, and control method for the plasma generation apparatus
KR20210065755A (en) 2019-11-27 2021-06-04 세메스 주식회사 Substrate processing apparatus and substrate processing method

Similar Documents

Publication Publication Date Title
KR101570171B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101909479B1 (en) Substrate support unit, substrate treating apparauts including the same, and method for controlling the same
KR101522891B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101570177B1 (en) Apparatus for treating substrate
KR101817210B1 (en) Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same
KR101778972B1 (en) Apparatus for supplying power, and apparatus for treating substrate employing the same
KR101471549B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
KR101522892B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR20140042624A (en) Apparatus for treating substrate
KR101939661B1 (en) Apparatus and method for treating substrate
KR101979597B1 (en) Apparatus and method for treating substrate
KR101927937B1 (en) Support unit and apparatus for treating substrate comprising the same
KR101965573B1 (en) Apparatus for supplying power, and apparatus for treating substrate comprising the same
KR20160110904A (en) Apparatus for treating substrate
KR20150077532A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR20150077534A (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101502853B1 (en) Supporting unit and apparatus for treating substrate
KR20200016779A (en) Apparatus for generating plasma, apparatus for treating substrate including the same, and method for controlling the same
KR101632605B1 (en) Method and apparatus for treating substrate
KR101543686B1 (en) Method and apparatus for treating substrate
KR102175081B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101754561B1 (en) Unit for generating plasma and apparatus and method for treating substrate including the same
KR102201881B1 (en) Rf signal generator and apparatus for treating substrate comprising the same
KR101842122B1 (en) Apparatus for generating electric field, and apparatus for treating substrate comprising the same
KR20160039041A (en) Window unit, apparatus for treating substrate comprising the same, and manufacturing method of the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180516

Year of fee payment: 4