KR101817210B1 - Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same - Google Patents

Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same Download PDF

Info

Publication number
KR101817210B1
KR101817210B1 KR1020160097949A KR20160097949A KR101817210B1 KR 101817210 B1 KR101817210 B1 KR 101817210B1 KR 1020160097949 A KR1020160097949 A KR 1020160097949A KR 20160097949 A KR20160097949 A KR 20160097949A KR 101817210 B1 KR101817210 B1 KR 101817210B1
Authority
KR
South Korea
Prior art keywords
plasma
frequency power
chamber
high frequency
source
Prior art date
Application number
KR1020160097949A
Other languages
Korean (ko)
Inventor
미쉬라
이승배
하창승
염근영
양경채
박성우
신태호
Original Assignee
세메스 주식회사
성균관대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사, 성균관대학교 산학협력단 filed Critical 세메스 주식회사
Priority to KR1020160097949A priority Critical patent/KR101817210B1/en
Application granted granted Critical
Publication of KR101817210B1 publication Critical patent/KR101817210B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

The present invention provides a plasma generating apparatus for uniformly generating and controlling plasma, a substrate processing apparatus including the same, and a control method thereof. According to an embodiment of the present invention, the plasma generating apparatus for generating plasma for performing a plasma process on a substrate provided in a chamber, includes a high frequency power source for supplying high frequency power; and a plasma source which receives high frequency power from the high frequency power source and excites gas supplied into the chamber into a plasma state. The plasma source includes a plurality of antennas. At least one variable element may be connected between the antennas.

Description

플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 그 제어 방법{APPARATUS FOR GENERATING PLASMA, APPARATUS FOR TREATING SUBSTRATE COMPRISING THE SAME, AND METHOD FOR CONTROLLING THE SAME}TECHNICAL FIELD [0001] The present invention relates to a plasma generating apparatus, a substrate processing apparatus including the same, and a control method therefor. [0002]

플라즈마 발생 장치, 그를 포함하는 기판 처리 장치, 및 그 제어 방법에 관한 것이다.A plasma processing apparatus, a substrate processing apparatus including the same, and a control method thereof.

반도체 제조 공정은 플라즈마를 이용하여 기판을 처리하는 공정을 포함할 수 있다. 예를 들어, 반도체 제조 공정 중 에칭 또는 애싱 공정에 플라즈마를 생성하는 챔버가 사용될 수 있으며, 기판은 상기 플라즈마를 이용하여 에칭 또는 애싱 처리될 수 있다.The semiconductor manufacturing process may include processing the substrate using plasma. For example, a chamber that produces a plasma in an etching or ashing process during a semiconductor manufacturing process may be used, and the substrate may be etched or ashed using the plasma.

최근에는 이와 같이 플라즈마를 이용하여 처리되는 기판의 사이즈가 커짐에 따라, 대면적의 기판 처리를 위한 플라즈마 처리 장치들이 사용되고 있으나 이러한 플라즈마 처리 장치는 플라즈마의 균일성이 약화되었다.In recent years, plasma processing apparatuses for processing a large area substrate have been used as the size of the substrate processed using the plasma increases, but the uniformity of the plasma has been reduced in such a plasma processing apparatus.

본 발명은 기판 처리 장치에 있어서 플라즈마를 균일하게 생성하고 제어하기 위한 것이다.The present invention is for uniformly generating and controlling plasma in a substrate processing apparatus.

본 발명이 해결하고자 하는 과제가 상술한 과제로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The objects to be solved by the present invention are not limited to the above-mentioned problems, and the matters not mentioned above can be clearly understood by those skilled in the art from the present specification and the accompanying drawings .

본 발명의 일 실시 예에 따른 플라즈마 발생 장치는, 챔버 내부에 제공되는 기판 상에 플라즈마 공정을 수행하기 위해 플라즈마를 발생시키는 플라즈마 발생 장치로, 고주파 전력을 제공하는 고주파 전원; 및 상기 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 플라즈마 소스를 포함하며, 상기 플라즈마 소스는 복수의 안테나를 포함하며, 상기 안테나들 사이에는 적어도 하나의 가변 소자가 연결될 수 있다.According to an embodiment of the present invention, there is provided a plasma generating apparatus for generating a plasma to perform a plasma process on a substrate provided in a chamber, the plasma generating apparatus comprising: a high frequency power source for providing high frequency power; And a plasma source which receives high-frequency power from the high-frequency power source and excites gas supplied into the chamber into a plasma state, the plasma source including a plurality of antennas, and at least one variable element Lt; / RTI >

상기 고주파 전원은: 제1 주파수의 고주파 전력을 제공하는 제1 고주파 전원; 및 상기 제1 주파수보다 낮은 제2 주파수의 고주파 전력을 제공하는 제2 고주파 전원을 포함할 수 있다.The high frequency power supply includes: a first high frequency power supply for providing a high frequency power of a first frequency; And a second high frequency power source for providing a high frequency power of a second frequency lower than the first frequency.

상기 플라즈마 소스는: 상기 제1 고주파 전원으로부터 고주파 전력을 공급받아 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제1 플라즈마 소스; 및 상기 제2 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제2 플라즈마 소스를 포함할 수 있다.Wherein the plasma source comprises: a first plasma source that receives a high frequency power from the first high frequency power source and excites a gas supplied into the chamber into a plasma state; And a second plasma source that receives high-frequency power from the second RF power source and excites gas supplied into the chamber into a plasma state.

상기 챔버 내부의 영역은 중앙에 가까운 내측 영역 및 중앙에서 먼 외측 영역으로 구분되고, 상기 제1 플라즈마 소스는 상기 챔버의 내측 영역에 플라즈마를 발생시키도록 제공되며, 상기 제2 플라즈마 소스는 상기 챔버의 외측 영역에 플라즈마를 발생시키도록 제공될 수 있다.Wherein the first plasma source is provided to generate a plasma in an inner region of the chamber, and the second plasma source is disposed in a region of the chamber And may be provided to generate a plasma in the outer region.

상기 플라즈마 발생 장치는, 상기 가변 소자의 소자값을 제어하여 상기 복수의 안테나에 흐르는 전류를 조절하는 제어부를 더 포함할 수 있다.The plasma generator may further include a controller for controlling the current flowing through the plurality of antennas by controlling an element value of the variable element.

상기 가변 소자는 가변 커패시터를 포함할 수 있다.The variable element may include a variable capacitor.

본 발명의 일 실시 예에 따른 기판 처리 장치는, 내부에 기판을 처리하는 공간을 갖는 챔버; 상기 챔버 내에 위치하며, 상기 기판을 지지하는 기판 지지 어셈블리; 상기 챔버 내부로 가스를 공급하는 가스 공급 유닛; 및 상기 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하며, 상기 플라즈마 발생 유닛은: 고주파 전력을 제공하는 고주파 전원; 및 상기 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 플라즈마 소스를 포함하며, 상기 플라즈마 소스는 복수의 안테나를 포함하며, 상기 안테나들 사이에는 적어도 하나의 가변 소자가 연결될 수 있다.A substrate processing apparatus according to an embodiment of the present invention includes: a chamber having a space for processing a substrate therein; A substrate support assembly located within the chamber and supporting the substrate; A gas supply unit for supplying gas into the chamber; And a plasma generation unit for generating plasma from the gas, wherein the plasma generation unit comprises: a high frequency power supply for providing a high frequency power; And a plasma source which receives high-frequency power from the high-frequency power source and excites gas supplied into the chamber into a plasma state, the plasma source including a plurality of antennas, and at least one variable element Lt; / RTI >

상기 고주파 전원은: 제1 주파수의 고주파 전력을 제공하는 제1 고주파 전원; 및 상기 제1 주파수보다 낮은 제2 주파수의 고주파 전력을 제공하는 제2 고주파 전원을 포함할 수 있다.The high frequency power supply includes: a first high frequency power supply for providing a high frequency power of a first frequency; And a second high frequency power source for providing a high frequency power of a second frequency lower than the first frequency.

상기 플라즈마 소스는: 상기 제1 고주파 전원으로부터 고주파 고주파 전력을 공급받아 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제1 플라즈마 소스; 및 상기 제2 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제2 플라즈마 소스를 포함할 수 있다.The plasma source includes: a first plasma source that receives high-frequency high-frequency power from the first high-frequency power source and excites a gas supplied into the chamber into a plasma state; And a second plasma source that receives high-frequency power from the second RF power source and excites gas supplied into the chamber into a plasma state.

상기 챔버 내부의 영역은 중앙에 가까운 내측 영역 및 중앙에서 먼 외측 영역으로 구분되고, 상기 제1 플라즈마 소스는 상기 챔버의 내측 영역에 플라즈마를 발생시키도록 제공되며, 상기 제2 플라즈마 소스는 상기 챔버의 외측 영역에 플라즈마를 발생시키도록 제공될 수 있다.Wherein the first plasma source is provided to generate a plasma in an inner region of the chamber, and the second plasma source is disposed in a region of the chamber And may be provided to generate a plasma in the outer region.

상기 플라즈마 발생 유닛은, 상기 가변 소자의 소자값을 제어하여 상기 복수의 안테나에 흐르는 전류를 조절하는 제어부를 더 포함할 수 있다.The plasma generating unit may further include a control unit for controlling current values flowing through the plurality of antennas by controlling element values of the variable elements.

상기 가변 소자는 가변 커패시터를 포함할 수 있다.The variable element may include a variable capacitor.

본 발명의 일 실시 예에 따른 기판 처리 장치를 제어하는 방법은, 상기 챔버 내에 발생된 플라즈마의 영역별 밀도를 측정하는 단계; 및 상기 영역별 밀도에 기반하여, 상기 제1 고주파 전원 및 상기 제2 고주파 전원이 공급하는 고주파 전력의 값을 제어하는 단계를 포함할 수 있다.A method of controlling a substrate processing apparatus according to an exemplary embodiment of the present invention includes: measuring a density of a plasma generated in the chamber; And controlling a value of the high frequency power supplied by the first high frequency power source and the second high frequency power based on the density for each area.

상기 영역별 밀도에 기반하여, 상기 가변 소자의 소자값을 제어하는 단계를 더 포함할 수 있다.And controlling the element value of the variable element based on the density for each area.

본 발명의 일 실시 예에 따르면, 플라즈마를 균일하게 생성하고 제어할 수 있는 기판 처리 장치를 제공할 수 있다.According to an embodiment of the present invention, a substrate processing apparatus capable of uniformly generating and controlling plasma can be provided.

본 발명의 효과가 상술한 효과로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-described effects, and the effects not mentioned can be clearly understood by those skilled in the art from the present specification and the accompanying drawings.

도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 나타내는 예시적인 도면이다.
도 2는 일 실시 예에 따른 안테나를 개략적으로 나타내는 상면도이다.
도 3은 도 2에 도시된 안테나에 의해 발생하는 플라즈마의 밀도 분포를 나타내는 그래프이다.
도 4는 본 발명의 일 실시 예에 따라 제공되는 플라즈마 소스의 개략도이다.
도 5 내지 7은 각각 본 발명의 또 다른 실시 예들에 따라 제공되는 플라즈마 소스의 개략도이다.
1 is an exemplary diagram showing a substrate processing apparatus according to an embodiment of the present invention.
2 is a top view schematically showing an antenna according to an embodiment.
3 is a graph showing the density distribution of plasma generated by the antenna shown in FIG.
4 is a schematic diagram of a plasma source provided in accordance with an embodiment of the present invention.
5-7 are schematic diagrams of a plasma source provided in accordance with yet another embodiment of the present invention.

본 발명의 다른 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술 되는 실시 예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.Other advantages and features of the present invention and methods of achieving them will become apparent with reference to the embodiments described below in detail with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. Is provided to fully convey the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims.

만일 정의되지 않더라도, 여기서 사용되는 모든 용어들(기술 혹은 과학 용어들을 포함)은 이 발명이 속한 종래 기술에서 보편적 기술에 의해 일반적으로 수용되는 것과 동일한 의미를 가진다. 일반적인 사전들에 의해 정의된 용어들은 관련된 기술 그리고/혹은 본 출원의 본문에 의미하는 것과 동일한 의미를 갖는 것으로 해석될 수 있고, 그리고 여기서 명확하게 정의된 표현이 아니더라도 개념화되거나 혹은 과도하게 형식적으로 해석되지 않을 것이다.Unless defined otherwise, all terms (including technical or scientific terms) used herein have the same meaning as commonly accepted by the generic art in the prior art to which this invention belongs. Terms defined by generic dictionaries may be interpreted to have the same meaning as in the related art and / or in the text of this application, and may be conceptualized or overly formalized, even if not expressly defined herein I will not.

본 명세서에서 사용된 용어는 실시 예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다' 및/또는 이 동사의 다양한 활용형들 예를 들어, '포함', '포함하는', '포함하고', '포함하며' 등은 언급된 조성, 성분, 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 조성, 성분, 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 본 명세서에서 '및/또는' 이라는 용어는 나열된 구성들 각각 또는 이들의 다양한 조합을 가리킨다.The terminology used herein is for the purpose of illustrating embodiments and is not intended to be limiting of the present invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. As used herein, the terms' comprise 'and / or various forms of use of the verb include, for example,' including, '' including, '' including, '' including, Steps, operations, and / or elements do not preclude the presence or addition of one or more other compositions, components, components, steps, operations, and / or components. The term 'and / or' as used herein refers to each of the listed configurations or various combinations thereof.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 나타내는 예시적인 도면이다.1 is an exemplary diagram showing a substrate processing apparatus according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(10)는 플라즈마를 이용하여 기판(W)을 처리한다. 예를 들어, 기판 처리 장치(10)는 기판(W)에 대하여 식각 공정을 수행할 수 있다. 기판 처리 장치(10)는 챔버(620), 기판 지지 어셈블리(200), 샤워 헤드(300), 가스 공급 유닛(400), 배플 유닛(500) 그리고 전력 공급 유닛(600)을 포함할 수 있다.Referring to Fig. 1, a substrate processing apparatus 10 processes a substrate W using a plasma. For example, the substrate processing apparatus 10 may perform an etching process on the substrate W. [ The substrate processing apparatus 10 may include a chamber 620, a substrate support assembly 200, a showerhead 300, a gas supply unit 400, a baffle unit 500 and a power supply unit 600.

챔버(620)는 내부에 기판 처리 공정이 수행되는 처리 공간을 제공할 수 있다. 챔버(620)는 내부에 처리 공간을 가지고, 밀폐된 형상으로 제공될 수 있다. 챔버(620)는 금속 재질로 제공될 수 있다. 챔버(620)는 알루미늄 재질로 제공될 수 있다. 챔버(620)는 접지될 수 있다. 챔버(620)의 바닥면에는 배기홀(102)이 형성될 수 있다. 배기홀(102)은 배기 라인(151)과 연결될 수 있다. 공정 과정에서 발생한 반응 부산물 및 챔버의 내부 공간에 머무르는 가스는 배기 라인(151)을 통해 외부로 배출될 수 있다. 배기 과정에 의해 챔버(620)의 내부는 소정 압력으로 감압될 수 있다.The chamber 620 may provide a processing space in which a substrate processing process is performed. The chamber 620 may have a processing space therein and may be provided in a closed configuration. The chamber 620 may be made of a metal material. The chamber 620 may be made of aluminum. The chamber 620 may be grounded. An exhaust hole 102 may be formed in the bottom surface of the chamber 620. The exhaust hole 102 may be connected to the exhaust line 151. The reaction byproducts generated in the process and the gas staying in the inner space of the chamber can be discharged to the outside through the exhaust line 151. By the evacuation process, the inside of the chamber 620 can be depressurized to a predetermined pressure.

일 예에 의하면, 챔버(620) 내부에는 라이너(130)가 제공될 수 있다. 라이너(130)는 상면 및 하면이 개방된 원통 형상을 가질 수 있다. 라이너(130)는 챔버(620)의 내측면과 접촉하도록 제공될 수 있다. 라이너(130)는 챔버(620)의 내측벽을 보호하여 챔버(620)의 내측벽이 아크 방전으로 손상되는 것을 방지할 수 있다. 또한, 기판 처리 공정 중에 발생한 불순물이 챔버(620)의 내측벽에 증착되는 것을 방지할 수 있다. 선택적으로, 라이너(130)는 제공되지 않을 수도 있다.According to one example, a liner 130 may be provided within the chamber 620. The liner 130 may have a cylindrical shape with open top and bottom surfaces. The liner 130 may be provided to contact the inner surface of the chamber 620. The liner 130 protects the inner wall of the chamber 620 to prevent the inner wall of the chamber 620 from being damaged by the arc discharge. It is also possible to prevent the impurities generated during the substrate processing step from being deposited on the inner wall of the chamber 620. Optionally, the liner 130 may not be provided.

챔버(620)의 내부에는 기판 지지 어셈블리(200)가 위치할 수 있다. 기판 지지 어셈블리(200)는 기판(W)을 지지할 수 있다. 기판 지지 어셈블리(200)는 정전기력을 이용하여 기판(W)을 흡착하는 정전 척(210)을 포함할 수 있다. 이와 달리, 기판 지지 어셈블리(200)는 기계적 클램핑과 같은 다양한 방식으로 기판(W)을 지지할 수도 있다. 이하에서는 정전 척(210)을 포함하는 기판 지지 어셈블리(200)에 대하여 설명한다.The substrate support assembly 200 may be located within the chamber 620. The substrate support assembly 200 can support the substrate W. [ The substrate support assembly 200 may include an electrostatic chuck 210 for attracting a substrate W using an electrostatic force. Alternatively, the substrate support assembly 200 may support the substrate W in a variety of ways, such as mechanical clamping. Hereinafter, the substrate support assembly 200 including the electrostatic chuck 210 will be described.

기판 지지 어셈블리(200)는 정전 척(210), 하부 커버(250) 그리고 플레이트(270)를 포함할 수 있다. 기판 지지 어셈블리(200)는 챔버(620) 내부에서 챔버(620)의 바닥면에서 상부로 이격되어 위치할 수 있다.The substrate support assembly 200 may include an electrostatic chuck 210, a bottom cover 250 and a plate 270. The substrate support assembly 200 may be spaced upwardly from the bottom surface of the chamber 620 within the chamber 620.

정전 척(210)은 유전판(220), 몸체(230) 그리고 포커스 링(240)을 포함할 수 있다. 정전 척(210)은 기판(W)을 지지할 수 있다. 유전판(220)은 정전 척(210)의 상단에 위치할 수 있다. 유전판(220)은 원판 형상의 유전체(dielectric substance)로 제공될 수 있다. 유전판(220)의 상면에는 기판(W)이 놓일 수 있다. 유전판(220)의 상면은 기판(W)보다 작은 반경을 가질 수 있다. 때문에, 기판(W)의 가장자리 영역은 유전판(220)의 외측에 위치할 수 있다.The electrostatic chuck 210 may include a dielectric plate 220, a body 230, and a focus ring 240. The electrostatic chuck 210 can support the substrate W. [ The dielectric plate 220 may be positioned at the top of the electrostatic chuck 210. The dielectric plate 220 may be provided as a disk-shaped dielectric substance. The substrate W may be placed on the upper surface of the dielectric plate 220. The upper surface of the dielectric plate 220 may have a smaller radius than the substrate W. [ Therefore, the edge region of the substrate W may be located outside the dielectric plate 220.

유전판(220)은 내부에 제1 전극(223), 히터(225) 그리고 제1 공급 유로(221)를 포함할 수 있다. 제1 공급 유로(221)는 유전판(210)의 상면으로부터 저면으로 제공될 수 있다. 제1 공급 유로(221)는 서로 이격되어 복수 개 형성되며, 기판(W)의 저면으로 열전달 매체가 공급되는 통로로 제공될 수 있다.The dielectric plate 220 may include a first electrode 223, a heater 225, and a first supply path 221 therein. The first supply passage 221 may be provided from the upper surface to the lower surface of the dielectric plate 210. A plurality of first supply passages 221 may be spaced apart from each other and may be provided as a passage through which the heat transfer medium is supplied to the bottom surface of the substrate W.

제1 전극(223)은 제1 전원(223a)과 전기적으로 연결될 수 있다. 제1 전원(223a)은 직류 전원을 포함할 수 있다. 제1 전극(223)과 제1 전원(223a) 사이에는 스위치(223b)가 설치될 수 있다. 제1 전극(223)은 스위치(223b)의 온/오프(ON/OFF)에 의해 제1 전원(223a)과 전기적으로 연결될 수 있다. 스위치(223b)가 온(ON)되면, 제1 전극(223)에는 직류 전류가 인가될 수 있다. 제1 전극(223)에 인가된 전류에 의해 제1 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 유전판(220)에 흡착될 수 있다.The first electrode 223 may be electrically connected to the first power source 223a. The first power source 223a may include a DC power source. A switch 223b may be provided between the first electrode 223 and the first power source 223a. The first electrode 223 may be electrically connected to the first power source 223a by turning on / off the switch 223b. When the switch 223b is turned on, a direct current can be applied to the first electrode 223. An electrostatic force acts between the first electrode 223 and the substrate W by the current applied to the first electrode 223 and the substrate W can be attracted to the dielectric plate 220 by the electrostatic force.

히터(225)는 제1 전극(223)의 하부에 위치할 수 있다. 히터(225)는 제2 전원(225a)과 전기적으로 연결될 수 있다. 히터(225)는 제2 전원(225a)에서 인가된 전류에 저항함으로써 열을 발생시킬 수 있다. 발생한 열은 유전판(220)을 통해 기판(W)으로 전달될 수 있다. 히터(225)에서 발생한 열에 의해 기판(W)은 소정 온도로 유지될 수 있다. 히터(225)는 나선 형상의 코일을 포함할 수 있다.The heater 225 may be positioned below the first electrode 223. The heater 225 may be electrically connected to the second power source 225a. The heater 225 can generate heat by resisting the current applied from the second power source 225a. The generated heat can be transferred to the substrate W through the dielectric plate 220. The substrate W can be maintained at a predetermined temperature by the heat generated in the heater 225. The heater 225 may include a helical coil.

유전판(220)의 하부에는 몸체(230)가 위치할 수 있다. 유전판(220)의 저면과 몸체(230)의 상면은 접착제(236)에 의해 접착될 수 있다. 몸체(230)는 알루미늄 재질로 제공될 수 있다. 몸체(230)의 상면은 중심 영역이 가장자리 영역보다 높게 위치되도록 위치할 수 있다. 몸체(230)의 상면 중심 영역은 유전판(220)의 저면에 상응하는 면적을 가지며, 유전판(220)의 저면과 접착될 수 있다. 몸체(230)는 내부에 제1 순환 유로(231), 제2 순환 유로(232) 그리고 제2 공급 유로(233)가 형성될 수 있다.The body 230 may be positioned below the dielectric plate 220. The bottom surface of the dielectric plate 220 and the top surface of the body 230 may be adhered by an adhesive 236. The body 230 may be made of aluminum. The upper surface of the body 230 may be positioned such that the central region is located higher than the edge region. The top center region of the body 230 has an area corresponding to the bottom surface of the dielectric plate 220 and can be adhered to the bottom surface of the dielectric plate 220. The body 230 may have a first circulation channel 231, a second circulation channel 232, and a second supply channel 233 formed therein.

제1 순환 유로(231)는 열전달 매체가 순환하는 통로로 제공될 수 있다. 제1 순환 유로(231)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제1 순환 유로(231)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제1 순환 유로(231)들은 서로 연통될 수 있다. 제1 순환 유로(231)들은 동일한 높이에 형성될 수 있다.The first circulation channel 231 may be provided as a passage through which the heat transfer medium circulates. The first circulation flow path 231 may be formed in a spiral shape inside the body 230. Alternatively, the first circulation flow path 231 may be arranged so that the ring-shaped flow paths having different radii have the same center. Each of the first circulation flow paths 231 can communicate with each other. The first circulation flow paths 231 may be formed at the same height.

제2 순환 유로(232)는 냉각 유체가 순환하는 통로로 제공될 수 있다. 제2 순환 유로(232)는 몸체(230) 내부에 나선 형상으로 형성될 수 있다. 또는, 제2 순환 유로(232)는 서로 상이한 반경을 갖는 링 형상의 유로들이 동일한 중심을 갖도록 배치될 수 있다. 각각의 제2 순환 유로(232)들은 서로 연통될 수 있다. 제2 순환 유로(232)는 제1 순환 유로(231)보다 큰 단면적을 가질 수 있다. 제2 순환 유로(232)들은 동일한 높이에 형성될 수 있다. 제2 순환 유로(232)는 제1 순환 유로(231)의 하부에 위치될 수 있다.The second circulation flow passage 232 may be provided as a passage through which the cooling fluid circulates. The second circulation flow path 232 may be formed in a spiral shape inside the body 230. Alternatively, the second circulation flow path 232 may be arranged so that the ring-shaped flow paths having different radii have the same center. And each of the second circulation flow paths 232 can communicate with each other. The second circulation channel 232 may have a larger cross-sectional area than the first circulation channel 231. The second circulation flow paths 232 may be formed at the same height. The second circulation flow passage 232 may be positioned below the first circulation flow passage 231.

제2 공급 유로(233)는 제1 순환 유로(231)부터 상부로 연장되며, 몸체(230)의 상면으로 제공될 수 있다. 제2 공급 유로(243)는 제1 공급 유로(221)에 대응하는 개수로 제공되며, 제1 순환 유로(231)와 제1 공급 유로(221)를 연결할 수 있다.The second supply passage 233 extends upward from the first circulation passage 231 and may be provided on the upper surface of the body 230. The second supply passage 243 is provided in a number corresponding to the first supply passage 221 and can connect the first circulation passage 231 and the first supply passage 221.

제1 순환 유로(231)는 열전달 매체 공급라인(231b)을 통해 열전달 매체 저장부(231a)와 연결될 수 있다. 열전달 매체 저장부(231a)에는 열전달 매체가 저장될 수 있다. 열전달 매체는 불활성 가스를 포함할 수 있다. 일 실시 예에 의하면, 열전달 매체는 헬륨(He) 가스를 포함할 수 있다. 헬륨 가스는 공급 라인(231b)을 통해 제1 순환 유로(231)에 공급되며, 제2 공급 유로(233)와 제1 공급 유로(221)를 순차적으로 거쳐 기판(W) 저면으로 공급될 수 있다. 헬륨 가스는 플라즈마에서 기판(W)으로 전달된 열이 정전 척(210)으로 전달되는 매개체 역할을 할 수 있다.The first circulation channel 231 may be connected to the heat transfer medium storage unit 231a through the heat transfer medium supply line 231b. The heat transfer medium storage unit 231a may store the heat transfer medium. The heat transfer medium may include an inert gas. According to one embodiment, the heat transfer medium may comprise helium (He) gas. The helium gas may be supplied to the first circulation channel 231 through the supply line 231b and may be supplied to the bottom surface of the substrate W sequentially through the second supply channel 233 and the first supply channel 221 . The helium gas may act as a medium through which heat transferred from the plasma to the substrate W is transferred to the electrostatic chuck 210.

제2 순환 유로(232)는 냉각 유체 공급 라인(232c)을 통해 냉각 유체 저장부(232a)와 연결될 수 있다. 냉각 유체 저장부(232a)에는 냉각 유체가 저장될 수 있다. 냉각 유체 저장부(232a) 내에는 냉각기(232b)가 제공될 수 있다. 냉각기(232b)는 냉각 유체를 소정 온도로 냉각시킬 수 있다. 이와 달리, 냉각기(232b)는 냉각 유체 공급 라인(232c) 상에 설치될 수 있다. 냉각 유체 공급 라인(232c)을 통해 제2 순환 유로(232)에 공급된 냉각 유체는 제2 순환 유로(232)를 따라 순환하며 몸체(230)를 냉각할 수 있다. 몸체(230)는 냉각되면서 유전판(220)과 기판(W)을 함께 냉각시켜 기판(W)을 소정 온도로 유지시킬 수 있다.The second circulation channel 232 may be connected to the cooling fluid storage 232a through the cooling fluid supply line 232c. The cooling fluid may be stored in the cooling fluid storage portion 232a. A cooler 232b may be provided in the cooling fluid storage portion 232a. The cooler 232b may cool the cooling fluid to a predetermined temperature. Alternatively, the cooler 232b may be installed on the cooling fluid supply line 232c. The cooling fluid supplied to the second circulation channel 232 through the cooling fluid supply line 232c circulates along the second circulation channel 232 and can cool the body 230. [ The body 230 is cooled and the dielectric plate 220 and the substrate W are cooled together to maintain the substrate W at a predetermined temperature.

몸체(230)는 금속판을 포함할 수 있다. 일 예에 의하면, 몸체(230) 전체가 금속판으로 제공될 수 있다.The body 230 may include a metal plate. According to one example, the entire body 230 may be provided as a metal plate.

포커스 링(240)은 정전 척(210)의 가장자리 영역에 배치될 수 있다. 포커스 링(240)은 링 형상을 가지며, 유전판(220)의 둘레를 따라 배치될 수 있다. 포커스 링(240)의 상면은 외측부(240a)가 내측부(240b)보다 높도록 위치할 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 상면과 동일 높이에 위치될 수 있다. 포커스 링(240)의 상면 내측부(240b)는 유전판(220)의 외측에 위치된 기판(W)의 가장자리 영역을 지지할 수 있다. 포커스 링(240)의 외측부(240a)는 기판(W)의 가장자리 영역을 둘러싸도록 제공될 수 있다. 포커스 링(240)은 기판(W)의 전체 영역에서 플라즈마의 밀도가 균일하게 분포하도록 전자기장을 제어할 수 있다. 이에 의해, 기판(W)의 전체 영역에 걸쳐 플라즈마가 균일하게 형성되어 기판(W)의 각 영역이 균일하게 식각될 수 있다.The focus ring 240 may be disposed at the edge region of the electrostatic chuck 210. The focus ring 240 has a ring shape and may be disposed along the periphery of the dielectric plate 220. The upper surface of the focus ring 240 may be positioned such that the outer portion 240a is higher than the inner portion 240b. The upper surface inner side portion 240b of the focus ring 240 may be positioned at the same height as the upper surface of the dielectric plate 220. [ The upper surface inner side portion 240b of the focus ring 240 can support the edge region of the substrate W positioned outside the dielectric plate 220. [ The outer side portion 240a of the focus ring 240 may be provided so as to surround the edge region of the substrate W. [ The focus ring 240 can control the electromagnetic field so that the density of the plasma is evenly distributed over the entire area of the substrate W. [ Thereby, plasma is uniformly formed over the entire region of the substrate W, so that each region of the substrate W can be uniformly etched.

하부 커버(250)는 기판 지지 어셈블리(200)의 하단부에 위치할 수 있다. 하부 커버(250)는 챔버(620)의 바닥면에서 상부로 이격하여 위치할 수 있다. 하부 커버(250)는 상면이 개방된 공간(255)이 내부에 형성될 수 있다. 하부 커버(250)의 외부 반경은 몸체(230)의 외부 반경과 동일한 길이로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)에는 반송되는 기판(W)을 외부의 반송 부재로부터 정전 척(210)으로 이동시키는 리프트 핀 모듈(미도시) 등이 위치할 수 있다. 리프트 핀 모듈(미도시)은 하부 커버(250)로부터 일정 간격 이격하여 위치할 수 있다. 하부 커버(250)의 저면은 금속 재질로 제공될 수 있다. 하부 커버(250)의 내부 공간(255)은 공기가 제공될 수 있다. 공기는 절연체보다 유전율이 낮으므로 기판 지지 어셈블리(200) 내부의 전자기장을 감소시키는 역할을 할 수 있다.The lower cover 250 may be located at the lower end of the substrate support assembly 200. The lower cover 250 may be spaced upwardly from the bottom surface of the chamber 620. The lower cover 250 may have a space 255 in which the upper surface thereof is opened. The outer radius of the lower cover 250 may be provided with a length equal to the outer radius of the body 230. A lift pin module (not shown) for moving the substrate W to be transferred from an external carrying member to the electrostatic chuck 210 may be positioned in the inner space 255 of the lower cover 250. The lift pin module (not shown) may be spaced apart from the lower cover 250 by a predetermined distance. The bottom surface of the lower cover 250 may be made of a metal material. The inner space 255 of the lower cover 250 may be provided with air. Air may have a lower dielectric constant than the insulator and may serve to reduce the electromagnetic field inside the substrate support assembly 200.

하부 커버(250)는 연결 부재(253)를 가질 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면과 챔버(620)의 내측벽을 연결할 수 있다. 연결 부재(253)는 하부 커버(250)의 외측면에 일정한 간격으로 복수 개 제공될 수 있다. 연결 부재(253)는 기판 지지 어셈블리(200)를 챔버(620) 내부에서 지지할 수 있다. 또한, 연결 부재(253)는 챔버(620)의 내측벽과 연결됨으로써 하부 커버(250)가 전기적으로 접지되도록 할 수 있다. 제1 전원(223a)과 연결되는 제1 전원라인(223c), 제2 전원(225a)과 연결되는 제2 전원라인(225c), 열전달 매체 저장부(231a)와 연결된 열전달 매체 공급라인(231b) 그리고 냉각 유체 저장부(232a)와 연결된 냉각 유체 공급 라인(232c) 등은 연결 부재(253)의 내부 공간(255)을 통해 하부 커버(250) 내부로 연장될 수 있다.The lower cover 250 may have a connecting member 253. The connecting member 253 can connect the outer surface of the lower cover 250 and the inner wall of the chamber 620. [ A plurality of connecting members 253 may be provided on the outer surface of the lower cover 250 at regular intervals. The connection member 253 can support the substrate support assembly 200 inside the chamber 620. [ Further, the connection member 253 may be connected to the inner wall of the chamber 620 so that the lower cover 250 is electrically grounded. A first power supply line 223c connected to the first power supply 223a, a second power supply line 225c connected to the second power supply 225a, a heat transfer medium supply line 231b connected to the heat transfer medium storage 231a, And the cooling fluid supply line 232c connected to the cooling fluid reservoir 232a may extend into the lower cover 250 through the inner space 255 of the connection member 253. [

정전 척(210)과 하부 커버(250)의 사이에는 플레이트(270)가 위치할 수 있다. 플레이트(270)는 하부 커버(250)의 상면을 덮을 수 있다. 플레이트(270)는 몸체(230)에 상응하는 단면적으로 제공될 수 있다. 플레이트(270)는 절연체를 포함할 수 있다. 일 예에 의하면, 플레이트(270)는 하나 또는 복수 개가 제공될 수 있다. 플레이트(270)는 몸체(230)와 하부 커버(250)의 전기적 거리를 증가시키는 역할을 할 수 있다.A plate 270 may be positioned between the electrostatic chuck 210 and the lower cover 250. The plate 270 may cover the upper surface of the lower cover 250. The plate 270 may be provided with a cross-sectional area corresponding to the body 230. The plate 270 may comprise an insulator. According to one example, one or a plurality of plates 270 may be provided. The plate 270 may serve to increase the electrical distance between the body 230 and the lower cover 250.

샤워 헤드(300)는 챔버(620) 내부에서 기판 지지 어셈블리(200)의 상부에 위치할 수 있다. 샤워 헤드(300)는 기판 지지 어셈블리(200)와 대향하게 위치할 수 있다.The showerhead 300 may be located above the substrate support assembly 200 within the chamber 620. The showerhead 300 may be positioned opposite the substrate support assembly 200.

샤워 헤드(300)는 가스 분산판(310)과 지지부(330)를 포함할 수 있다. 가스 분산판(310)은 챔버(620)의 상면에서 하부로 일정거리 이격되어 위치할 수 있다. 가스 분산판(310)과 챔버(620)의 상면은 그 사이에 일정한 공간이 형성될 수 있다. 가스 분산판(310)은 두께가 일정한 판 형상으로 제공될 수 있다. 가스 분산판(310)의 저면은 플라즈마에 의한 아크 발생을 방지하기 위하여 그 표면이 양극화 처리될 수 있다. 가스 분산판(310)의 단면은 기판 지지 어셈블리(200)와 동일한 형상과 단면적을 가지도록 제공될 수 있다. 가스 분산판(310)은 복수 개의 분사홀(311)을 포함할 수 있다. 분사홀(311)은 가스 분산판(310)의 상면과 하면을 수직 방향으로 관통할 수 있다. 가스 분산판(310)은 금속 재질을 포함할 수 있다.The showerhead 300 may include a gas distributor 310 and a support 330. The gas distribution plate 310 may be spaced apart from the upper surface of the chamber 620 by a predetermined distance. A predetermined space may be formed between the upper surface of the gas distribution plate 310 and the chamber 620. The gas distribution plate 310 may be provided in a plate shape having a constant thickness. The bottom surface of the gas distribution plate 310 may be polarized on its surface to prevent arcing by plasma. The cross-section of the gas distribution plate 310 may be provided to have the same shape and cross-sectional area as the substrate support assembly 200. The gas distribution plate 310 may include a plurality of ejection holes 311. The injection hole 311 can penetrate the upper and lower surfaces of the gas distribution plate 310 in the vertical direction. The gas distribution plate 310 may include a metal material.

지지부(330)는 가스 분산판(310)의 측부를 지지할 수 있다. 지지부(330)는 상단이 챔버(620)의 상면과 연결되고, 하단이 가스 분산판(310)의 측부와 연결될 수 있다. 지지부(330)는 비금속 재질을 포함할 수 있다.The support portion 330 can support the side of the gas distributor plate 310. The upper end of the support portion 330 may be connected to the upper surface of the chamber 620 and the lower end of the support portion 330 may be connected to the side of the gas distribution plate 310. The support portion 330 may include a non-metallic material.

가스 공급 유닛(400)은 챔버(620) 내부에 공정 가스를 공급할 수 있다. 가스 공급 유닛(400)은 가스 공급 노즐(410), 가스 공급 라인(420), 그리고 가스 저장부(430)를 포함할 수 있다. 가스 공급 노즐(410)은 챔버(620)의 상면 중앙부에 설치될 수 있다. 가스 공급 노즐(410)의 저면에는 분사구가 형성될 수 있다. 분사구는 챔버(620) 내부로 공정 가스를 공급할 수 있다. 가스 공급 라인(420)은 가스 공급 노즐(410)과 가스 저장부(430)를 연결할 수 있다. 가스 공급 라인(420)은 가스 저장부(430)에 저장된 공정 가스를 가스 공급 노즐(410)에 공급할 수 있다. 가스 공급 라인(420)에는 밸브(421)가 설치될 수 있다. 밸브(421)는 가스 공급 라인(420)을 개폐하며, 가스 공급 라인(420)을 통해 공급되는 공정 가스의 유량을 조절할 수 있다.The gas supply unit 400 can supply the process gas into the chamber 620. The gas supply unit 400 may include a gas supply nozzle 410, a gas supply line 420, and a gas storage unit 430. The gas supply nozzle 410 may be installed at the center of the upper surface of the chamber 620. A jetting port may be formed on the bottom surface of the gas supply nozzle 410. The injection orifice can supply the process gas into the chamber 620. The gas supply line 420 may connect the gas supply nozzle 410 and the gas storage unit 430. The gas supply line 420 may supply the process gas stored in the gas storage unit 430 to the gas supply nozzle 410. A valve 421 may be installed in the gas supply line 420. The valve 421 opens and closes the gas supply line 420 and can control the flow rate of the process gas supplied through the gas supply line 420.

배플 유닛(500)은 챔버(620)의 내측벽과 기판 지지 어셈블리(200)의 사이에 위치될 수 있다. 배플(510)은 환형의 링 형상으로 제공될 수 있다. 배플(510)에는 복수의 관통홀(511)들이 형성될 수 있다. 챔버(620) 내에 제공된 공정 가스는 배플(510)의 관통홀(511)들을 통과하여 배기홀(102)로 배기될 수 있다. 배플(510)의 형상 및 관통홀(511)들의 형상에 따라 공정 가스의 흐름이 제어될 수 있다.The baffle unit 500 may be positioned between the inner wall of the chamber 620 and the substrate support assembly 200. The baffle 510 may be provided in an annular ring shape. A plurality of through holes 511 may be formed in the baffle 510. The process gas provided in the chamber 620 may be exhausted to the exhaust hole 102 through the through holes 511 of the baffle 510. [ The flow of the process gas can be controlled according to the shape of the baffle 510 and the shape of the through holes 511. [

전력 공급 유닛(600)은 챔버(620) 내 공정 가스를 플라즈마 상태로 여기시킬 수 있도록 고주파 전력을 공급할 수 있다. 본 발명의 일 실시 예에 따르면, 전력 공급 유닛(600)은 유도 결합형 플라즈마(ICP: inductively coupled plasma) 타입으로 구성될 수 있다. 이 경우, 도 1에 도시된 바와 같이, 전력 공급 유닛(600)은 고주파 전력을 공급하는 고주파 전원(611, 612), 고주파 전원에 전기적으로 연결되어 고주파 전력을 인가받는 플라즈마 소스(621, 622)를 포함할 수 있다. 상기 플라즈마 소스는 제1 코일(621) 및 제2 코일(622)을 포함할 수 있다.The power supply unit 600 may supply high frequency power to excite the process gas in the chamber 620 into a plasma state. According to an embodiment of the present invention, the power supply unit 600 may be configured as an inductively coupled plasma (ICP) type. 1, the power supply unit 600 includes high-frequency power supplies 611 and 612 that supply high-frequency power, plasma sources 621 and 622 that are electrically connected to the high-frequency power source and receive high-frequency power, . ≪ / RTI > The plasma source may include a first coil 621 and a second coil 622.

제1 코일(621) 및 제2 코일(622)은 기판(W)에 대향하는 위치에 배치될 수 있다. 예를 들어, 제1 코일(621) 및 제2 코일(622)은 챔버(620)의 상부에 설치될 수 있다. 제1 코일(621)의 직경은 제2 코일(622)의 직경보다 작아 챔버(620) 상부의 안쪽에 위치하고, 제2 코일(622)은 챔버(620) 상부의 바깥쪽에 위치할 수 있다. 제1 코일(621) 및 제2 코일(622)은 고주파 전원(611, 612)으로부터 고주파 전력을 인가받아 챔버에 시변 자기장을 유도할 수 있으며, 그에 따라 챔버(620)에 공급된 공정 가스는 플라즈마로 여기될 수 있다.The first coil 621 and the second coil 622 may be disposed at positions opposite to the substrate W. [ For example, the first coil 621 and the second coil 622 may be installed on the upper portion of the chamber 620. The diameter of the first coil 621 may be smaller than the diameter of the second coil 622 and the second coil 622 may be located inside the upper portion of the chamber 620 and the second coil 622 may be located outside the upper portion of the chamber 620. The first coil 621 and the second coil 622 can receive a high frequency power from the high frequency power sources 611 and 612 to induce a time varying magnetic field in the chamber, . ≪ / RTI >

이하, 상술한 기판 처리 장치를 이용하여 기판을 처리하는 과정을 설명하도록 한다.Hereinafter, a process of processing a substrate using the above-described substrate processing apparatus will be described.

기판 지지 어셈블리(200)에 기판(W)이 놓이면, 제1 전원(223a)으로부터 제1 전극(223)에 직류 전류가 인가될 수 있다. 제1 전극(223)에 인가된 직류 전류에 의해 제1 전극(223)과 기판(W) 사이에는 정전기력이 작용하며, 정전기력에 의해 기판(W)은 정전 척(210)에 흡착될 수 있다.When the substrate W is placed on the substrate support assembly 200, a direct current may be applied from the first power source 223a to the first electrode 223. An electrostatic force is applied between the first electrode 223 and the substrate W by the DC current applied to the first electrode 223 and the substrate W can be attracted to the electrostatic chuck 210 by the electrostatic force.

기판(W)이 정전 척(210)에 흡착되면, 가스 공급 노즐(410)을 통하여 챔버(620) 내부에 공정 가스가 공급될 수 있다. 공정 가스는 샤워 헤드(300)의 분사홀(311)을 통하여 챔버(620)의 내부 영역으로 균일하게 분사될 수 있다. 고주파 전원에서 생성된 고주파 전력은 플라즈마 소스에 인가될 수 있으며, 그로 인해 챔버(620) 내에 전자기력이 발생할 수 있다. 전자기력은 기판 지지 어셈블리(200)와 샤워 헤드(300) 사이의 공정 가스를 플라즈마로 여기시킬 수 있다. 플라즈마는 기판(W)으로 제공되어 기판(W)을 처리할 수 있다. 플라즈마는 식각 공정을 수행할 수 있다.When the substrate W is attracted to the electrostatic chuck 210, the process gas can be supplied into the chamber 620 through the gas supply nozzle 410. The process gas can be uniformly injected into the interior region of the chamber 620 through the injection hole 311 of the showerhead 300. [ The high frequency power generated from the high frequency power source can be applied to the plasma source, thereby generating an electromagnetic force in the chamber 620. The electromagnetic force may excite the plasma of the process gas between the substrate support assembly 200 and the showerhead 300. The plasma may be provided to the substrate W to process the substrate W. [ The plasma may be subjected to an etching process.

도 1을 참조하면, 본 발명의 일 실시 예에 따른 기판 처리 장치는 복수 개의 고주파 전원(611, 612)를 포함할 수 있다.Referring to FIG. 1, the substrate processing apparatus according to an embodiment of the present invention may include a plurality of high frequency power sources 611 and 612.

본 발명의 일 실시 예에 따른 플라즈마 발생 장치는, 고주파 전원을 제공하는 고주파 전원(611, 612), 및 상기 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 플라즈마 소스를 포함하며, 상기 플라즈마 소스는 복수의 안테나(621, 622)를 포함할 수 있다.The plasma generating apparatus according to an embodiment of the present invention includes a high frequency power source 611 and 612 for providing a high frequency power source and a plasma generating unit 610 for generating a plasma that receives high frequency power from the high frequency power source and excites gas supplied into the chamber into a plasma state Source, and the plasma source may include a plurality of antennas 621, 622.

본 발명의 일 실시 예에 따르면, 상기 안테나들 사이에는 적어도 하나의 가변 소자가 연결될 수 있다. 일 실시 예에 따라, 상기 가변 소자는 가변 캐패시터를 포함할 수 있다.According to an embodiment of the present invention, at least one variable element may be connected between the antennas. According to one embodiment, the variable element may comprise a variable capacitor.

도 2는 일 실시 예에 따른 하나의 안테나를 개략적으로 나타내는 상면도이다.2 is a top view schematically showing one antenna according to one embodiment.

도 2에 도시된 바와 같이, 안테나는 링 형상으로 제공될 수 있으며, 일단에 고주파 전원이 연결되어 고주파 전력이 공급되며, 타단은 접지될 수 있다. 상술한 바와 같이, 안테나는 고주파 전력을 공급받아 챔버에 시변 자기장을 유도하여 챔버에 공급되는 가스를 플라즈마로 여기시킨다.As shown in FIG. 2, the antenna may be provided in a ring shape, and a high frequency power source may be connected to one end to supply high frequency power, and the other end may be grounded. As described above, the antenna receives high-frequency power and induces a time-varying magnetic field in the chamber to excite the gas supplied to the chamber with plasma.

도 3은 도 2에 도시된 안테나에 의해 발생하는 플라즈마의 밀도 분포를 나타내는 그래프이다.3 is a graph showing the density distribution of plasma generated by the antenna shown in FIG.

도 3은 도 2에 도시된 안테나에 의해 챔버 내에 표시된 점선 부분을 따라 플라즈마 밀도의 그래프이다. 도 3의 그래프를 참조하면, 아래쪽에 표시된 그래프는 낮은 고주파 전력을 인가했을 경우이며, 위쪽에 표시된 그래프일수록 높은 고주파 전력을 인가했을 경우를 나타낸다. 도 3을 참조하면, 인가하는 고주파 전력이 높아질 수록 챔버 내에 발생하는 플라즈마의 균일도가 감소함을 확인할 수 있다.3 is a graph of plasma density along the dotted line portion indicated in the chamber by the antenna shown in Fig. Referring to the graph of FIG. 3, the lower graph shows a case where a low-frequency power is applied and a case where a higher frequency power is applied as the graph shown on the upper side shows. Referring to FIG. 3, it can be seen that as the applied RF power increases, the uniformity of the plasma generated in the chamber decreases.

도 4는 본 발명의 일 실시 예에 따라 제공되는 플라즈마 소스의 개략도이다.4 is a schematic diagram of a plasma source provided in accordance with an embodiment of the present invention.

챔버 내에 발생하는 플라즈마의 균일도를 높이기 위해, 본 발명의 일 실시 예에 따른 플라즈마 발생 장치는 복수 개의 플라즈마 소스를 포함할 수 있다.In order to increase the uniformity of the plasma generated in the chamber, the plasma generating apparatus according to an embodiment of the present invention may include a plurality of plasma sources.

챔버 내부의 영역을 중앙에 가까운 내측 영역 및 중앙에서 먼 외측 영역으로 구분할 때, 본 발명의 일 실시 예에 따른 플라즈마 발생 장치는 상기 내측 영역에 플라즈마를 발생시키도록 제공되는 제1 플라즈마 소스, 및 상기 외측 영역에 플라즈마를 발생시키도록 제공되는 제2 플라즈마 소스를 포함할 수 있다.The plasma generating apparatus according to an embodiment of the present invention includes a first plasma source provided to generate a plasma in the inner region, and a second plasma source provided in the inner region, And a second plasma source provided to generate a plasma in the outer region.

도 4에 도시된 바와 같이, 제1 및 제2 플라즈마 소스는 링 형상의 안테나로 제공될 수 있으며, 제1 플라즈마 소스의 반경이 제2 플라즈마 소스의 반경보다 작을 수 있다.As shown in FIG. 4, the first and second plasma sources may be provided with a ring-shaped antenna, and the radius of the first plasma source may be smaller than the radius of the second plasma source.

일 실시 예에 따라, 제1 및 제2 플라즈마 소스 사이에는 가변 소자가 연결될 수 있다. 본 발명의 일 실시 예에 따른 플라즈마 발생 장치는, 상기 가변 소자를 제어하여 제1 플라즈마 소스 및 제2 플라즈마 소스 각각에 흐르는 전류를 제어하는 제어부를 더 포함할 수 있다.According to one embodiment, a variable device may be connected between the first and second plasma sources. The apparatus for generating plasma according to an embodiment of the present invention may further include a controller for controlling the current flowing through the first plasma source and the second plasma source by controlling the variable element.

일 실시 예에 따라, 상기 제어부는 가변 소자의 소자값을 조절하여 챔버 내에 발생하는 플라즈마의 균일도를 높일 수 있다.According to one embodiment, the controller may adjust the element value of the variable element to increase the uniformity of the plasma generated in the chamber.

도 5 내지 7은 각각 본 발명의 또 다른 실시 예들에 따라 제공되는 플라즈마 소스의 개략도이다.5-7 are schematic diagrams of a plasma source provided in accordance with yet another embodiment of the present invention.

도 5에 도시된 바와 같이, 제1 플라즈마 소스와 제2 플라즈마 소스 각각은 복수 개의 안테나를 포함할 수 있다. 상술한 바와 같이, 안테나들은 링 형상으로 제공될 수 있으며 일단에는 고주파 전원이 연결되고 타단은 접지될 수 있다. 제1 플라즈마 소스에 포함되는 복수의 안테나 사이에 가변 소자가 연결될 수 있다. 또한, 제2 플라즈마 소스에 포함되는 복수의 안테나 사이에도 가변 소자가 연결될 수 있다.As shown in FIG. 5, each of the first plasma source and the second plasma source may include a plurality of antennas. As described above, the antennas may be provided in a ring shape, and one end may be connected to a high frequency power source and the other end may be grounded. A variable element may be connected between a plurality of antennas included in the first plasma source. Further, a variable element may be connected between a plurality of antennas included in the second plasma source.

일 실시 예에 따라, 제어부는 상기 가변 소자의 소자값을 조절하여 복수의 안테나에 분배되는 전류를 조절할 수 있다.According to an embodiment, the controller may adjust the value of the variable element to adjust the current to be distributed to the plurality of antennas.

일 실시 예에 따라, 제1 플라즈마 소스는 제1 고주파 전원으로부터 고주파 전력을 공급받을 수 있으며, 제2 플라즈마 소스는 제2 고주파 전원으로부터 고주파 전력을 공급받을 수 있다. 일 실시 예에 따라, 제1 고주파 전원은 제1 주파수의 고주파 전력을 제공하며, 제2 고주파 전원은 제2 주파수의 고주파 전력을 제공할 수 있다. 상기 제1 주파수는 제2 주파수보다 높을 수 있다.According to one embodiment, the first plasma source may receive high-frequency power from the first high-frequency power source, and the second plasma source may receive high-frequency power from the second high-frequency power source. According to one embodiment, the first high frequency power supply may provide a high frequency power of a first frequency and the second high frequency power supply may provide a high frequency power of a second frequency. The first frequency may be higher than the second frequency.

도 6은 본 발명의 또 다른 실시 예에 따라 제공되는 플라즈마 소스 및 고주파 전력을 제공하는 고주파 전원을 나타낸다.6 illustrates a plasma source provided in accordance with another embodiment of the present invention and a high frequency power source for providing high frequency power.

도 6에 도시된 바와 같이, 제1 플라즈마 소스에 포함되는 안테나 및 제2 플라즈마 소스에 포함되는 안테나는, 챔버의 중앙부부터 시작해서 번갈아 가면서 제공될 수 있다.As shown in FIG. 6, the antenna included in the first plasma source and the antenna included in the second plasma source may be alternately provided starting from the center of the chamber.

도 7은 본 발명의 또 다른 실시 예에 따라 제공되는 플라즈마 소스 및 고주파 전원을 나타낸다.7 shows a plasma source and a high frequency power source provided according to another embodiment of the present invention.

본 발명의 일 실시 예에 따른 플라즈마 발생 장치는 복수 개의 고주파 전원을 포함할 수 있으나, 이에 제한되지 않으며 도 7에 도시된 바와 같이 하나의 고주파 전원을 포함할 수 있다. 일 실시 예에 따라, 하나의 고주파 전원은 가변 소자를 이용하여 제1 및 제2 플라즈마 소스에 서로 다른 크기의 전력을 제공할 수 있다.The apparatus for generating plasma according to an embodiment of the present invention may include a plurality of high frequency power sources, but it is not limited thereto and may include one high frequency power source as shown in FIG. According to one embodiment, one high frequency power source can provide power to the first and second plasma sources of different magnitudes using a variable element.

도 8은 본 발명의 또 다른 실시 예에 따라 제공되는 플라즈마 소스 및 고주파 전원을 나타낸다.8 shows a plasma source and a high frequency power source provided according to another embodiment of the present invention.

본 발명의 일 실시 예에 따라 제공되는 플라즈마 소스는 도 7에 도시된 바와 모든 안테나가 동일한 방향의 일단에 고주파 전력을 공급받을 수 있으나, 이에 제한되지 않으며, 도 8에 도시된 형태로 고주파 전력을 공급받을 수 있다. 이로써, 챔버 내 발생하는 플라즈마의 균일도를 더 상승시킬 수 있다.The plasma source provided according to an embodiment of the present invention may receive high frequency power at one end of the same direction as shown in FIG. 7, but not limited thereto, Can be supplied. This makes it possible to further increase the uniformity of the plasma generated in the chamber.

본 발명의 일 실시 예에 따른 기판 처리 장치 제어 방법은, 챔버 내에 발생된 플라즈마의 영역별 밀도를 측정하는 단계를 포함할 수 있다.A method of controlling a substrate processing apparatus according to an embodiment of the present invention may include measuring a density of a plasma generated in a chamber.

본 발명의 일 실시 예에 따른 기판 처리 장치 제어 방법은, 측정된 영역별 밀도에 기반하여, 챔버 내 발생한 플라즈마의 균일도를 높이도록 제1 고주파 전원 및 제2 고주파 전원을 제어하는 단계를 포함할 수 있다.The method of controlling a substrate processing apparatus according to an embodiment of the present invention may include controlling a first RF power source and a second RF power source so as to increase the uniformity of plasma generated in the chamber based on the density of each measured region have.

일 실시 예에 따라, 상기 기판 처리 장치 제어 방법은, 상기 영역별 밀도에 기반하여 상기 가변 소자의 소자값을 제어하는 단계를 더 포함할 수 있다.According to an embodiment, the method of controlling a substrate processing apparatus may further include controlling an element value of the variable element based on the density of each region.

이상의 실시 예들은 본 발명의 이해를 돕기 위하여 제시된 것으로, 본 발명의 범위를 제한하지 않으며, 이로부터 다양한 변형 가능한 실시 예들도 본 발명의 범위에 속할 수 있음을 이해하여야 한다. 예를 들어, 본 발명의 실시 예에 도시된 각 구성 요소는 분산되어 실시될 수도 있으며, 반대로 여러 개로 분산된 구성 요소들은 결합 되어 실시될 수 있다. 따라서, 본 발명의 기술적 보호범위는 특허청구범위의 기술적 사상에 의해 정해져야 할 것이며, 본 발명의 기술적 보호범위는 특허청구범위의 문언적 기재 그 자체로 한정되는 것이 아니라 실질적으로는 기술적 가치가 균등한 범주의 발명에 대하여까지 미치는 것임을 이해하여야 한다.It is to be understood that the above-described embodiments are provided to facilitate understanding of the present invention, and do not limit the scope of the present invention, and it is to be understood that various modified embodiments may be included within the scope of the present invention. For example, each component shown in the embodiment of the present invention may be distributed and implemented, and conversely, a plurality of distributed components may be combined. Therefore, the technical protection scope of the present invention should be determined by the technical idea of the claims, and the technical protection scope of the present invention is not limited to the literary description of the claims, The invention of a category.

10 : 기판 처리 장치.
611 : 제1 고주파 전원
612 : 제2 고주파 전원
621 : 제1 플라즈마 소스
622 : 제2 플라즈마 소스
10: substrate processing apparatus.
611: a first high frequency power source
612: a second high frequency power source
621: a first plasma source
622: a second plasma source

Claims (14)

챔버 내부에 제공되는 기판 상에 플라즈마 공정을 수행하기 위해 플라즈마를 발생시키는 플라즈마 발생 장치에 있어서,
제1 주파수의 고주파 전력을 제공하는 제1 고주파 전원;
상기 제1 주파수보다 낮은 제2 주파수의 고주파 전력을 제공하는 제2 고주파 전원;
상기 제1 고주파 전원으로부터 고주파 전력을 공급받아 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제1 플라즈마 소스; 및
상기 제2 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제2 플라즈마 소스;를 포함하며,
상기 제1 플라즈마 소스 및 상기 제2 플라즈마 소스는 각각 복수의 안테나를 포함하고, 상기 챔버의 중앙에서 외측 방향으로 상기 제1 플라즈마 소스의 안테나와 상기 제2 플라즈마 소스의 안테나가 교대로 배치되되,
상기 안테나들 사이에는 각각 하나의 가변 소자가 연결되는 플라즈마 발생 장치.
A plasma generating apparatus for generating a plasma to perform a plasma process on a substrate provided in a chamber,
A first high frequency power supply for providing a high frequency power of a first frequency;
A second high frequency power supply for providing a high frequency power having a second frequency lower than the first frequency;
A first plasma source which receives high frequency power from the first high frequency power source and excites a gas supplied into the chamber into a plasma state; And
And a second plasma source that receives high frequency power from the second high frequency power source and excites the gas supplied into the chamber into a plasma state,
Wherein the first plasma source and the second plasma source each include a plurality of antennas and the antenna of the first plasma source and the antenna of the second plasma source are alternately arranged in the outward direction from the center of the chamber,
And one variable element is connected between each of the antennas.
삭제delete 삭제delete 제1 항에 있어서,
상기 챔버 내부의 영역은 중앙에 가까운 내측 영역 및 중앙에서 먼 외측 영역으로 구분되고, 상기 제1 플라즈마 소스는 상기 챔버의 내측 영역에 플라즈마를 발생시키도록 제공되며, 상기 제2 플라즈마 소스는 상기 챔버의 외측 영역에 플라즈마를 발생시키도록 제공되는 플라즈마 발생 장치.
The method according to claim 1,
Wherein the first plasma source is provided to generate a plasma in an inner region of the chamber, and the second plasma source is disposed in a region of the chamber Wherein the plasma is generated to generate plasma in the outer region.
제1 항에 있어서,
상기 플라즈마 발생 장치는,
상기 가변 소자의 소자값을 제어하여 상기 복수의 안테나에 흐르는 전류를 조절하는 제어부를 더 포함하는 플라즈마 발생 장치.
The method according to claim 1,
The plasma generating apparatus includes:
And a controller for controlling the current flowing through the plurality of antennas by controlling an element value of the variable element.
제1 항에 있어서,
상기 가변 소자는 가변 커패시터를 포함하는 플라즈마 발생 장치.
The method according to claim 1,
Wherein the variable element includes a variable capacitor.
내부에 기판을 처리하는 공간을 갖는 챔버;
상기 챔버 내에 위치하며, 상기 기판을 지지하는 기판 지지 어셈블리;
상기 챔버 내부로 가스를 공급하는 가스 공급 유닛; 및
상기 가스로부터 플라즈마를 발생시키는 플라즈마 발생 유닛을 포함하며, 상기 플라즈마 발생 유닛은:
제1 주파수의 고주파 전력을 제공하는 제1 고주파 전원;
상기 제1 주파수보다 낮은 제2 주파수의 고주파 전력을 제공하는 제2 고주파 전원;
상기 제1 고주파 전원으로부터 고주파 전력을 공급받아 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제1 플라즈마 소스; 및
상기 제2 고주파 전원으로부터 고주파 전력을 공급받아 상기 챔버 내부에 공급되는 가스를 플라즈마 상태로 여기시키는 제2 플라즈마 소스;를 포함하며,
상기 제1 플라즈마 소스 및 상기 제2 플라즈마 소스는 각각 복수의 안테나를 포함하고, 상기 챔버의 중앙에서 외측 방향으로 상기 제1 플라즈마 소스의 안테나와 상기 제2 플라즈마 소스의 안테나가 교대로 배치되되,
상기 안테나들 사이에는 각각 하나의 가변 소자가 연결되는 기판 처리 장치.
A chamber having a space for processing the substrate therein;
A substrate support assembly located within the chamber and supporting the substrate;
A gas supply unit for supplying gas into the chamber; And
And a plasma generating unit for generating plasma from the gas, wherein the plasma generating unit comprises:
A first high frequency power supply for providing a high frequency power of a first frequency;
A second high frequency power supply for providing a high frequency power having a second frequency lower than the first frequency;
A first plasma source which receives high frequency power from the first high frequency power source and excites a gas supplied into the chamber into a plasma state; And
And a second plasma source that receives high frequency power from the second high frequency power source and excites the gas supplied into the chamber into a plasma state,
Wherein the first plasma source and the second plasma source each include a plurality of antennas and the antenna of the first plasma source and the antenna of the second plasma source are alternately arranged in the outward direction from the center of the chamber,
And one variable element is connected between each of the antennas.
삭제delete 삭제delete 제7 항에 있어서,
상기 챔버 내부의 영역은 중앙에 가까운 내측 영역 및 중앙에서 먼 외측 영역으로 구분되고, 상기 제1 플라즈마 소스는 상기 챔버의 내측 영역에 플라즈마를 발생시키도록 제공되며, 상기 제2 플라즈마 소스는 상기 챔버의 외측 영역에 플라즈마를 발생시키도록 제공되는 기판 처리 장치.
8. The method of claim 7,
Wherein the first plasma source is provided to generate a plasma in an inner region of the chamber, and the second plasma source is disposed in a region of the chamber And is provided to generate a plasma in an outer region.
제7 항에 있어서,
상기 플라즈마 발생 유닛은,
상기 가변 소자의 소자값을 제어하여 상기 복수의 안테나에 흐르는 전류를 조절하는 제어부를 더 포함하는 기판 처리 장치.
8. The method of claim 7,
The plasma generating unit includes:
And a controller for controlling the current flowing through the plurality of antennas by controlling element values of the variable elements.
제7 항에 있어서,
상기 가변 소자는 가변 커패시터를 포함하는 기판 처리 장치.
8. The method of claim 7,
Wherein the variable element comprises a variable capacitor.
삭제delete 삭제delete
KR1020160097949A 2016-08-01 2016-08-01 Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same KR101817210B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160097949A KR101817210B1 (en) 2016-08-01 2016-08-01 Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160097949A KR101817210B1 (en) 2016-08-01 2016-08-01 Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same

Publications (1)

Publication Number Publication Date
KR101817210B1 true KR101817210B1 (en) 2018-01-15

Family

ID=61001344

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160097949A KR101817210B1 (en) 2016-08-01 2016-08-01 Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same

Country Status (1)

Country Link
KR (1) KR101817210B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190085206A (en) * 2018-01-09 2019-07-18 삼성디스플레이 주식회사 Plasma processing device
KR102137913B1 (en) * 2019-10-29 2020-07-24 주식회사 기가레인 Plasma antenna module
KR20220075872A (en) * 2020-11-30 2022-06-08 세메스 주식회사 Substrate processing member
WO2023114143A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation Apparatus and method for splitting current from direct-drive radiofrequency signal generator between multiple coils

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100598A (en) * 1998-07-23 2000-04-07 Univ Nagoya Control method for plasma producting high-frequency power and plasma producing device
JP2015026464A (en) * 2013-07-25 2015-02-05 東京エレクトロン株式会社 Plasma processor, high frequency supply mechanism and high frequency supply method
KR101585891B1 (en) * 2009-05-06 2016-01-15 위순임 Compound plasma reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100598A (en) * 1998-07-23 2000-04-07 Univ Nagoya Control method for plasma producting high-frequency power and plasma producing device
KR101585891B1 (en) * 2009-05-06 2016-01-15 위순임 Compound plasma reactor
JP2015026464A (en) * 2013-07-25 2015-02-05 東京エレクトロン株式会社 Plasma processor, high frequency supply mechanism and high frequency supply method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190085206A (en) * 2018-01-09 2019-07-18 삼성디스플레이 주식회사 Plasma processing device
KR102458733B1 (en) * 2018-01-09 2022-10-27 삼성디스플레이 주식회사 Plasma processing device
KR102137913B1 (en) * 2019-10-29 2020-07-24 주식회사 기가레인 Plasma antenna module
KR20220075872A (en) * 2020-11-30 2022-06-08 세메스 주식회사 Substrate processing member
KR102653236B1 (en) 2020-11-30 2024-03-29 세메스 주식회사 Substrate processing member
WO2023114143A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation Apparatus and method for splitting current from direct-drive radiofrequency signal generator between multiple coils

Similar Documents

Publication Publication Date Title
KR101909479B1 (en) Substrate support unit, substrate treating apparauts including the same, and method for controlling the same
KR101980203B1 (en) Support unit and substrate treating apparatus including the same
KR101842127B1 (en) Apparatus and method for treating a substrate
KR101817210B1 (en) Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method for controlling the same
KR101522891B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101927697B1 (en) Apparatus for treating substrate
KR101778972B1 (en) Apparatus for supplying power, and apparatus for treating substrate employing the same
KR101471549B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
US11587770B2 (en) Apparatus and method for treating substrate
KR101965573B1 (en) Apparatus for supplying power, and apparatus for treating substrate comprising the same
KR101522892B1 (en) Plasma generating device and apparatus for treating substrate comprising the same
KR101949406B1 (en) Apparatus for processing substrate
KR102290910B1 (en) Apparatus and method for treating substrate
KR101791873B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
KR101502853B1 (en) Supporting unit and apparatus for treating substrate
KR102281888B1 (en) Apparatus and method for treating substrate
KR102335472B1 (en) Apparatus and method for treating substrate
KR101842122B1 (en) Apparatus for generating electric field, and apparatus for treating substrate comprising the same
KR101966793B1 (en) Substrate supporting unit and substrate processing apparatus comprising the same
KR101885564B1 (en) Plasma source, apparatus for processing substrate including the same, and method for controlling the same
KR101754565B1 (en) Apparatus and method for supplying power, and apparatus for treating substrate employing the same
KR20160026264A (en) Substrate treating apparatus
KR101464205B1 (en) Substrate supporting assembly and substrate treating apparatus
KR20230006250A (en) Substrate treating apparatus and substrate treating method
KR20170025544A (en) Apparatus for generating plasma, apparatus for treating substrate comprising the same, and method of controlling the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant