KR20180108864A - 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절 - Google Patents

선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절 Download PDF

Info

Publication number
KR20180108864A
KR20180108864A KR1020187027257A KR20187027257A KR20180108864A KR 20180108864 A KR20180108864 A KR 20180108864A KR 1020187027257 A KR1020187027257 A KR 1020187027257A KR 20187027257 A KR20187027257 A KR 20187027257A KR 20180108864 A KR20180108864 A KR 20180108864A
Authority
KR
South Korea
Prior art keywords
inorganic resist
pattern
layer
gas mixture
substrate
Prior art date
Application number
KR1020187027257A
Other languages
English (en)
Other versions
KR102419049B1 (ko
Inventor
빈 르옹
아키테루 고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180108864A publication Critical patent/KR20180108864A/ko
Application granted granted Critical
Publication of KR102419049B1 publication Critical patent/KR102419049B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적 방식으로 무기 레지스트를 트리밍하는 방법이 제공되며, 상기 방법은, 프로세스 챔버 내에 기판 - 상기 기판은 산화물 층, 실리콘 질화물 층, 및 베이스 층을 포함하는 하부 층 및 무기 레지스트 층을 갖고, 상기 무기 레지스트 층은 무기 구조체 패턴을 가짐 - 을 배치하는 단계; 상기 기판 상의 상기 무기 레지스트 구조체 패턴의 일부를 선택적으로 제거하기 위해 무기 레지스트 트리밍 프로세스- 상기 트리밍 프로세스는 제1 에칭 가스 혼합물을 사용하고 제1 패턴을 생성함 - 를 수행하는 단계; 및 타겟 집적 목표를 달성하기 위해 집적 방식의 선택된 2개 이상의 동작 변수를 제어하는 단계를 포함하고, 상기 제1 에칭 가스 혼합물은 불소 함유 가스 및 희석 가스를 포함하고, 상기 타겟 집적 목표는 타겟 임계 치수(CD), 타겟 LER(line edge roughness), 타겟 LWR(line width roughness), 및 타겟 기판 처리량을 포함한다.

Description

선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절
본 발명은 무기 레지스트를 트리밍(trimming)하는 방법에 관한 것으로, 특히 에칭 가스 혼합물의 향상된 에칭 선택도로 층을 에칭하여, 선택된 에칭 가스 혼합물 및 동작 변수의 조절을 사용함으로써 임계 치수 트림 레이트(critical dimension trim rate)를 개선하는 방법에 관한 것이다.
반도체 디바이스 생산에서 비용 및 성능면에서 경쟁력을 유지할 필요성으로 인해 집적 회로의 디바이스 밀도가 지속적으로 증가했다. 반도체 집적 회로의 고집적화 및 소형화를 달성하기 위해서는, 반도체 웨이퍼 상에 형성된 회로 패턴의 소형화가 또한 이루어져야 한다.
포토리소그래피는 마스크 상의 기하학적 형상 및 패턴을 반도체 웨이퍼의 표면으로 전사함으로써 반도체 집적 회로를 제조하는데 사용되는 표준 기술이다. 그러나, 현재의 최첨단 포토리소그래피 툴은 약 25 nm까지의 최소 피쳐 사이즈를 허용한다. 따라서, 더 작은 피쳐를 제공하기 위한 새로운 방법이 필요하다.
반도체 산업은 7nm 이하의 구조에 극 자외선(extreme ultra violet light; EUV light)을 채택하고 있다. 소스 전력 및 장비 신뢰성을 향상시키기 위해 EUV 장비에 대하여 일부 제조사에서 많은 노력을 기울였다. 그러나, 소재 분야의 샷 노이즈(shot noise)에 대한 근본적인 문제를 해결하기 위해 많은 노력이 기울여지지 않았다. 샷 노이즈는 서로 독립적인 발생으로 인해 영역으로 향하는 광자의 변동(fluctuation)을 나타낸다. 이 경우, 샷 노이즈는 구조 영역의 픽셀을 노출 부족으로 만드는 통계적 확률이다. 반도체 리소그래피 및 에칭의 관점에서, 충분한 광자가 EUV 프로세스에서 사용되는 현재의 레지스트로 전달되어 캡처되지는 않는다. 이러한 샷 노이즈의 영향은 더 높은 LWR(line width roughness) 및 LER(line edge roughness) 이슈이다. 현재의 유기 레지스트보다 많은 광자를 캡쳐할 수 있는 일부의 새로운 무기 레지스트는 최근 EUV 포토리소그래피와 함께 사용할 수 있게 되었다.
유용하게 되기 위해 고용량 제조를 위한 무기 레지스트를 시험하고 개발할 필요가 있다. 또한, 집적 방식의 다른 메트릭을 유지 또는 개선하면서 요구되는 에칭 감도를 제공하는 에칭 가스의 비율 및 상대 유량 또는 가스의 조합을 확인해야 할 필요가 있다. 전반적으로, 무기 레지스트를 사용하는 것이 가능한 더 작은 피쳐 패턴을 프로세싱할 때, 집적 목표를 달성할 수 있게 하는, 제어된 에칭 기술, 프로세스, 에칭 가스 조합, 및 허용되는 에칭 선택도, 임계 치수(critical dimension; CD), LER, 및 LWR 결과를 생성하는 에칭 가스의 비율에 대한 요구가 있다.
집적 방식으로 무기 레지스트를 트리밍하는 방법이 제공되며, 상기 방법은, 프로세스 챔버 내에 기판 - 상기 기판은 산화물 층, 실리콘 질화물 층, 및 베이스 층을 포함하는 하부 층 및 무기 레지스트 층을 갖고, 상기 무기 레지스트 층은 무기 구조체 패턴을 가짐 - 을 배치하는 단계; 상기 기판 상의 상기 무기 레지스트 구조체 패턴의 일부를 선택적으로 제거하기 위해 무기 레지스트 트리밍 프로세스- 상기 트리밍 프로세스는 제1 에칭 가스 혼합물을 사용하고 제1 패턴을 생성함 - 를 수행하는 단계; 및 타겟 집적 목표를 달성하기 위해 집적 방식의 선택된 2개 이상의 동작 변수를 제어하는 단계를 포함하고, 상기 제1 에칭 가스 혼합물은 불소 함유 가스 및 희석 가스를 포함하고, 상기 타겟 집적 목표는 타겟 임계 치수(CD), 타겟 LER(line edge roughness), 타겟 LWR(line width roughness), 및 타겟 기판 처리량을 포함한다.
또한, 집적 방식으로 무기 레지스트를 트리밍하는 방법이 제공되며, 상기 방법은, 프로세스 챔버 내에 기판 - 상기 기판은 무기 레지스트 층 및 하부 층을 갖고, 상기 하부 층은 탄소 층, 산화물 층, 실리콘 질화물 층, 및 베이스 층을 포함하고, 상기 무기 레지스트 층은 무기 레지스트 구조체 패턴을 가짐 - 을 배치하는 단계; 상기 기판 상의 상기 무기 레지스트 구조체 패턴의 일부를 선택적으로 제거하기 위해 무기 레지스트 트리밍 프로세스 - 상기 트리밍 프로세스는 제1 에칭 가스 혼합물을 사용하고 제1 패턴을 생성함 - 를 수행하는 단계; 제2 에칭 가스 혼합물을 사용하고 제2 패턴을 생성하는 산화물 에칭 프로세스를 수행하는 단계; 제3 에칭 가스 혼합물을 사용하고 제3 패턴을 생성하는 브레이크스루 에칭 프로세스(breakthrough etch process)를 수행하는 단계; 제4 에칭 가스 혼합물을 사용하고 마지막 패턴을 생성하는 티타늄 질화물 에칭 프로세스를 수행하는 단계; 및 타겟 집적 목표를 달성하기 위해 상기 집적 방식의 선택된 2개 이상의 동작 변수를 제어하는 단계를 포함하고, 상기 제1 에칭 가스 혼합물은 불소 함유 가스 및 희석 가스를 포함하고, 상기 타겟 집적 목표는 타겟 임계 치수(CD), 타겟 LER, 타겟 LWR, 및 타겟 기판 처리량을 포함하고, 상기 무기 레지스트 구조체 패턴은 라인 및 스페이스 패턴(line and space pattern) 또는 콘택트 홀 패턴(contact hole patter)이 될 수 있다.
첨부 도면에서,
도 1a는 무기 레지스트 입력 패턴을 가진 입력 기판의 개략도를 도시한다.
도 1b는 본 발명의 실시형태에서의 트리밍 프로세스 후의 기판의 개략도를 도시한다.
도 2a는 본 발명의 실시형태에서의 선택된 에칭 가스 혼합물에 대한 노출 시간의 다양한 시간 범위에서의 기판의 상면도를 도시한다.
도 2b는 본 발명의 실시형태에 따른, 에칭 시간의 길이의 함수로서 라인 임계 치수(CD)의 그래프를 도시한다.
도 3a는 입력 기판의 상면도(top-view image), 에칭 가스 혼합물에 대한 5초 노출 후의 경사도(slanted-view image), 및 에칭 가스 혼합물에 대한 5초 노출 후의 구조체의 단면도(cross-sectional view image)를 도시한다.
도 3b는 입력 기판의 상면도, 에칭 가스 혼합물에 대한 7초 노출 후의 경사도, 및 에칭 가스 혼합물에 대한 7초 노출 후의 구조체의 단면도를 도시한다.
도 3c는 입력 기판의 상면도, 에칭 가스 혼합물에 대한 10초 노출 후의 경사도, 및 에칭 가스 혼합물에 대한 10초 노출 후의 구조체의 단면도를 도시한다.
도 3d는 본 발명의 실시형태에 따른, 에칭 시간의 길이의 함수로서 라인 임계 치수(CD)의 그래프를 도시한다.
도 4a는 본 발명의 실시형태에 따른, 프로세싱 시간의 다양한 길이를 사용한 기판 내의 패턴의 상면도, 경사도, 및 단면도를 도시한다.
도 4b는 본 발명의 추가 실시형태에 따른, 에칭 시간의 길이의 함수로서 라인 CD의 그래프를 도시한다.
도 5a는 탄소 층, 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층과 함께 무기 레지스트 패턴을 가진 입력 기판의 개략도를 도시한다. 도 5b는 레지스트 패턴의 트리밍 프로세스 후의 기판의 개략도를 도시한다. 도 5c는 탄소 에칭 프로세스 후의 기판의 개략도를 도시한다. 도 5d는 본 발명의 실시형태에서의 산화물 및 티타늄 질화물 에칭 프로세스 후의 기판을 도시한다.
도 6a는 탄소 층, 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층과 함께 무기 레지스트 패턴을 가진, 트리밍이 수행되지 않은, 입력 기판의 개략도를 도시한다. 도 6b는 레지스트 패턴의 5초 동안의 트리밍 프로세스가 수행된 후의 기판의 개략도를 도시한다. 도 6c는 레지스트 패턴의 7초 동안의 트리밍 프로세스가 수행된 후의 패턴의 개략도를 도시한다. 도 6d는 본 발명의 다른 실시형태에서의 레지스트 패턴의 10초 동안의 트리밍 프로세스가 수행된 후의 패턴의 기판을 도시한다.
도 7a는 레지스트 패턴의 5초 동안의 트리밍 프로세스가 수행된 후의 단면도를 도시한다. 도 7b는 레지스트 패턴의 7초 동안의 트리밍 프로세스가 수행된 후의 단면도를 도시한다. 도 7c는 레지스트 패턴의 10초 동안의 트리밍 프로세스가 수행된 후의 단면도를 도시한다.
도 8은 본 발명의 실시형태에 따른, 에칭 시간의 길이의 함수로서 탑 다운(top down) 라인 임계 치수(CD)의 그래프를 도시한다.
도 9a는 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층과 함께 무기 레지스트 패턴을 가진 입력 기판의 개략도를 도시한다. 도 9b는 레지스트 패턴의 트리밍 프로세스 후의 기판의 개략도를 도시한다. 도 9c는 질화물 에칭 프로세스 후의 패턴의 개략도를 도시한다. 도 9d는 본 발명의 실시형태에서의 티타늄 질화물 에칭 프로세스 후의 기판을 도시한다.
도 10a는 기판 내의 입력 레지스트 패턴의 경사도를 도시한다. 도 10b는 스트립 에칭을 사용한 후의 기판의 경사도로서, 본 발명의 실시형태에서의 하부의 산화막에 손상을 주지 않는 것을 강조하고 있다.
도 11은 에칭 시스템을 사용하여 집적 방식으로 유기 레지스트 트림을 수행하는 방법에 대한 예시적 프로세스 플로우 차트이다.
도 12는 에칭 시스템을 사용하여 집적 방식으로 유기 레지스트 트림을 수행하는 방법에 대한 다른 예시적 프로세스 플로우 차트이다.
도 13은 본 발명의 다른 실시형태에서의 집적 방식을 수행하는 방법에 대한 또 다른 예시적 프로세스 플로우 차트이다.
도 14는 본 발명의 실시형태에서의 집적 시스템의 제어기를 포함하는 예시적 시스템 차트이다.
다음의 설명에서, 제한이 아닌 설명의 목적으로, 프로세싱 시스템의 특정 기하학적 구조, 여기에 사용되는 다양한 구성 요소 및 프로세스의 설명과 같은 특정 세부 사항이 설명된다. 그러나, 본 발명은 이러한 특정 세부 사항으로부터 벗어나는 다른 실시형태들로 실시될 수 있다는 것을 이해해야 한다.
마찬가지로, 설명을 목적으로, 특정 도면부호, 물질, 및 구성이 본 발명의 전체 이해를 제공하기 위해 명시된다. 그럼에도 불구하고, 본 발명은 특정 세부사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태들은 예시적인 표현이며, 반드시 축척대로 도시된 것은 아님을 이해해야 한다.
다양한 동작은 본 발명을 이해하는데 가장 도움이 되는 방식으로 차례로 다수의 이산 동작(discrete operation)으로 설명될 것이다. 그러나, 설명의 순서는 이들 동작이 필연적으로 순서 의존적이라는 것을 의미하는 것으로 이해되지 않아야 한다. 특히, 이러한 동작들은 제시된 순서대로 수행될 필요는 없다. 설명된 동작들은 설명된 실시형태와 상이한 순서로 수행될 수 있다. 다양한 추가적 동작이 수행될 수 있고, 그리고/도는 설명된 동작이 추가 실시형태에서 생략될 수 있다.
여기에서 사용된 용어 "방사선 감응 물질"은 포토레지스트와 같은 감광성 물질을 의미하고 포함한다.
여기서 사용된 "기판"은 일반적으로 본 발명에 따라 프로세싱되는 대상을 나타낸다. 기판은, 디바이스, 특정 반도체, 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조를 포함할 수 있고, 예컨대 반도체 웨이퍼, 또는 박막과 같은 베이스 기판 구조 상의 또는 베이스 기판 구조 위에 놓인 층과 같은 베이스 기판 구조가 될 수 있다. 기판은, 종래의 실리콘 기판 또는 반도체 물질의 층을 포함하는 다른 벌크 기판이 될 수 있다. 여기에서 사용된 용어 "벌크 기판"은, 실리콘 웨이퍼뿐만 아니라, SOS(silicon-on-sapphire) 기판과 SOG(silicon-on-glass) 기판 등의 SOI(silicon-on-insulator) 기판, 베이스 반도체 파운데이션(base semiconductor foundation) 상의 실리콘의 에피택셜 층, 및 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물 등의 다른 반도체 또는 광전자 물질을 의미하고 포함한다. 기판은 도핑되거나 도핑되지 않을 수 있다. 따라서, 기판은, 임의의 특정 베이스 구조, 하부 층, 또는 위에 놓인 층, 패터닝되거나 패터닝되지 않은 것에 한정되는 것으로 의도되지 않지만 임의의 이러한 층들 또는 베이스 구조, 및 층들 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 생각된다. 이하의 개시(description)는 특정 타입의 기판을 참조할 수 있지만, 이것은 한정이 아닌 예시만을 위한 것이다.
이제 도면을 참조하면, 유사한 도면 부호는 몇몇 도면에 걸쳐 동일하거나 대응하는 부분을 나타낸다.
도 1a는 무기 레지스트 입력 패턴(108)을 가진 입력 기판(104)의 개략도(100)를 도시한다. 하부 층은 산화물 층(116), 티타늄 질화물 층(120), 및 베이스 층(124)을 포함하고, 무기 레지스트 층(112)은 무기 레지스트 패턴(108)을 갖는다. 산화물 층(116)은 실리콘 산화물, 열적 산화물, 화학적 기상 증착(chemical vapor deposition; CVD) 산화물, TEOL(tetra-ethyl-ortho-silane) 등이 될 수 있다. 상기한 바와 같이, 무기 레지스트는 7 nm 이하 또는 12 nm 이하의 범위로 원하는 CD를 달성하기에 어려움이 있다.
도 1b는 본 발명의 실시형태에서의 트리밍 프로세스 후의 기판(154)의 개략도(150)를 도시한다. 상기한 바와 같이, 하부 층은 산화물 층(166), 티타늄 질화물 층(170), 및 베이스 층(174)을 포함하고, 무기 레지스트 층(162)은 무기 레지스트 패턴(158)을 갖는다. 도 1b에서의 무기 레지스트 패턴(158)은 상세히 후술될 트리밍 프로세스로 인해 도 1a에서의 무기 레지스트 패턴(158)에 비해 더 얇다.
도 2a는 본 발명의 실시형태에서의 선택된 에칭 가스 혼합물에 대한 노출 시간의 다양한 범위에서의 기판의 상면도(200)를 도시한다. 제1 상면도(210)는, CH3F 및 Ar을 포함하는 에칭 가스 혼합물을 사용하여 5초의 트리밍 프로세스 후의 기판의 무기 레지스트 패턴(214)을 강조한다. 관련 화학 반응은 다음과 같다:
C + O ----> CO
Sn + 4H ----> SnH4 (1).
제2 상면도(230)는, CH3F 및 Ar을 포함하는 에칭 가스 혼합물을 다시 사용하여 10초의 트리밍 프로세스 후의 기판의 무기 레지스트 패턴(234)을 강조한다. 제3 상면도(260)는, CH3F 및 Ar을 포함하는 에칭 가스 혼합물을 사용하여 15초의 트리밍 프로세스 후의 기판의 무기 레지스트 패턴(264)을 강조한다.
도 2b는 본 발명의 실시형태에 따른, 에칭 시간의 길이의 함수로서 라인 임계 치수(CD)의 그래프(280)를 도시한다. X축(280)은 초 단위의 에칭(트리밍) 시간이고, Y축(284)은 nm 단위의 무기 레지스트 패턴 라인 CD이다. 곡선(286)은 X축 및 Y축 변수 데이터의 2 변수 선형 피트(bivariate linear fit)에 기초한다.
도 3a는 본 발명의 실시형태에 따른 트림 에칭 가스 혼합물에 대한 5초 노출 후의 구조체의 상면도(310), 경사도(330), 및 단면도(360)를 포함하는 기판의 상이한 뷰(veiw)의 도면(300)을 도시한다. 상면도(310)는 트리밍 프로세스 후의 라인 및 스페이스(318)와 임계 치수(314)를 도시한다. 경사도(330)는, 트림 에칭 가스 혼합물에 대한 5초 노출 후의 구조체 패턴(334), 산화물 층(338), 티타늄 질화물 층(342), 및 베이스 층(346)을 도시한다. 단면도(330)는, 트림 에칭 가스 혼합물에 대한 5초 노출 후의 라인 및 스페이스 패턴(372), CD(364), 구조체 높이(368), 산화물 층(374), 티타늄 질화물 층(376), 및 베이스 층(380)을 나타낸다.
도 3b는 본 발명의 실시형태에 따른 트림 에칭 가스 혼합물에 대한 7초 노출 후의 구조체의 상면도(410), 경사도(430), 및 단면도(460)를 포함하는 기판의 상이한 뷰(veiw)의 도면(400)을 도시한다. 상면도(410)는 트리밍 프로세스 후의 라인 및 스페이스(418)와 임계 치수(404)를 도시한다. 경사도(430)는, 트림 에칭 가스 혼합물에 대한 7초 노출 후의 구조체 패턴(434), 산화물 층(438), 티타늄 질화물 층(442), 및 베이스 층(446)을 도시한다. 단면도(460)는, 트림 에칭 가스 혼합물에 대한 7초 노출 후의 라인 및 스페이스 패턴(472), CD(464), 구조체 높이(468), 산화물 층(474), 티타늄 질화물 층(476), 및 베이스 층(480)을 나타낸다.
도 3c는 본 발명의 실시형태에 따른 트림 에칭 가스 혼합물에 대한 10초 노출 후의 구조체의 상면도(610), 경사도(630), 및 단면도(660)를 포함하는 기판의 상이한 뷰(veiw)의 도면(600)을 도시한다. 상면도(610)는 트리밍 프로세스 후의 라인 및 스페이스(618)와 임계 치수(604)를 도시한다. 경사도(630)는, 트림 에칭 가스 혼합물에 대한 10초 노출 후의 구조체 패턴(634), 산화물 층(638), 티타늄 질화물 층(642), 및 베이스 층(646)을 도시한다. 단면도(660)는, 트림 에칭 가스 혼합물에 대한 10초 노출 후의 라인 및 스페이스 패턴(672), CD(664), 구조체 높이(668), 산화물 층(674), 티타늄 질화물 층(676), 및 베이스 층(680)을 나타낸다.
도 3d는 본 발명의 실시형태에 따른, 트리밍 시간의 길이의 함수로서 라인 CD의 그래프(890)를 도시한다. X축(896)은 초 단위의 트리밍 시간이고, Y축(892)은 nm 단위의 무기 레지스트 패턴 라인 CD이다. 곡선(894)은 X축 및 Y축 변수 데이터의 2 변수 선형 피트(bivariate linear fit)에 기초한다.
도 4a는 본 발명의 실시형태에 따른 트림 에칭 가스 혼합물에 대한 5초, 7초, 및 10초 노출 후의 구조체의 상면도(920), 경사도(940), 및 단면도(960)를 포함하는 기판의 상이한 뷰(veiw)의 도면(900)을 도시한다. 상면도(920)는 트리밍 프로세스 전의 라인 및 스페이스 CD(908, 912, 및 916)를 도시한다. 경사도(940)는, 트리밍 프로세스 후의 구조체 패턴(934, 938, 942) 및 에칭 가스 혼합물에 대하여 5초, 7초, 및 10초(라벨 976) 동안 노출된 기판의 각각의 하부 층(944, 946, 및 948)을 도시한다. 단면도(960)는, 5초 동안 처리된 기판의 라인 및 스페이스 패턴 CD와 높이(964, 966); 7초 동안 처리된 기판의 라인 및 스페이스 패턴 CD와 높이(968, 970); 및 10초 동안 처리된 기판의 라인 및 스페이스 패턴 CD와 높이(972, 978)을 나타낸다. 단면도(960)는 또한, 산화물 에칭 및 티타늄 질화물 에칭 프로세스 후의 에칭 가스 혼합물에 대하여 5초, 7초, 및 10초(라벨 976) 동안 노출된 기판의 하부 층(980, 982, 984)을 나타낸다.
도 4b는 본 발명의 실시형태에 따른, 트리밍 시간의 길이의 함수로서 라인 CD의 그래프(990)를 도시한다. X축(996)은 초 단위의 트리밍 시간이고, Y축(992)은 nm 단위의 무기 레지스트 패턴 라인 CD이다. 곡선(894)은, 도 4a에 관하여 설명한 프로세스에 대하여, X축 및 Y축 변수 데이터의 2 변수 선형 피트에 기초한다.
도 5a는, 탄소 층(1016), 산화물 층(1020), 티타늄 질화물 층(1024), 및 베이스 층(1028)을 포함하는 하부 층과 함께, 본 발명의 실시형태에서의 무기 레지스트 패턴(1012)을 가진 입력 기판의 개략도(1000)를 도시한다. 탄소 층 (1016)은 유기 함유 막, 어드밴스 하드마스크(advance hardmask), 비정질 탄소, 다이아몬드형 탄소, 유기 평탄화 층, 또는 포토 레지스트를 포함할 수 있다.
도 5b는, 탄소 층(1046), 산화물 층(1050), 티타늄 질화물 층(1054), 및 베이스 층(1058)을 포함하는 하부 층을 가진, 레지스트 패턴(1042)의 트리밍 프로세스 후의 기판(1034)의 개략도(1030)를 도시한다.
도 5c는, 무기 레지스트 층(1072) 및 탄소 층(1074)을 포함하는 기판 패턴 및 산화물 층(1076), 티타늄 질화물 층(1078), 및 베이스 층(1079)을 포함하는 하부 층을 가진, 탄소 에칭 프로세스 후의 기판(1064)의 개략도(1060)를 도시한다. 베이스 층(1079)은 비정질 실리콘, 실리콘, 티타늄 질화물, 텅스텐, 텅스텐 실리콘, 실리콘 산화물, 실리콘 질화물, 폴리실리콘, p 도핑 실리콘, n 도핑 실리콘, 탄소 등을 포함할 수 있다.
도 5d는, 산화물 층(1092), 티타늄 질화물 층(1094), 및 베이스 층(1096)을 포함하는 구조체 패턴을 가진, 본 발명의 실시형태에서의 산화물 및 티타늄 질화물 에칭 프로세스 후의 기판(1084)의 개략도(1080)를 도시한다.
도 6a는 트리밍이 아직 수행되지 않은 무기 레지스트 패턴(1208)을 가진 입력 기판(1204)의 상면도(1200)를 도시한다. 무기 레지스트 패턴(1208)은 몇 라인의 시작 CD(beginning CD)(1212)를 나타낸다.
도 6b는, 에칭 가스 혼합물에 대한 5초 동안의 노출에 대하여 트리밍이 수행된, 무기 레지스트 패턴(1238)의 트리밍 프로세스 후의 기판(1234)의 도면(1230)을 도시한다. 무기 레지스트 패턴(1238)은 트리밍 프로세스의 결과로서의 몇 라인의 CD(1242)를 나타낸다.
도 6c는, 에칭 가스 혼합물에 대한 7초 동안의 노출에 대하여 트리밍이 수행된, 무기 레지스트 패턴(1268)의 트리밍 프로세스 후의 패턴의 도면(1260)을 도시한다. 무기 레지스트 패턴(1268)은 트림이 5초 노출에 비해 CD의 감소를 초래하는 트리밍 프로세스의 결과로서의 몇 라인의 CD(1272)를 나타낸다.
도 6d는, 에칭 가스 혼합물에 대하여 10초 동안 트리밍이 수행된, 무기 레지스트 패턴의 트리밍 프로세스 후의 패턴의 기판의 도면(1280)을 도시한다. 무기 레지스트 패턴(1288)은 트림이 7초 노출에 비해 CD의 감소를 초래하는 트리밍 프로세스의 결과로서의 몇 라인의 CD(1292)를 나타낸다.
도 7a는, 5초 동안 트리밍이 수행된, 무기 레지스트 패턴의 트리밍 프로세스 후의 단면도(1300)를 도시한다. 무기 레지스트 패턴의 CD(1308) 및 높이(1312)가 디스플레이된다.
도 7b는, 7초 동안 트리밍이 수행된, 무기 레지스트 패턴의 트리밍 프로세스 후의 단면도(1330)를 도시한다. 무기 레지스트 패턴의 CD(1338) 및 높이(1342)가 디스플레이된다.
도 7c는, 10초 동안 트리밍이 수행된, 무기 레지스트 패턴의 트리밍 프로세스 후의 단면도(1360)를 도시한다. 무기 레지스트 패턴의 CD(1368) 및 높이(1372)가 디스플레이된다.
도 8은 본 발명의 실시형태에 따른, 트리밍 시간의 길이의 함수로서 라인 CD의 그래프(1380)를 도시한다. X축(1392)은 초 단위의 트리밍 시간이고, Y축(1384)은 nm 단위의 무기 레지스트 패턴 라인 CD이다. 곡선(1388)은, 도 7a 내지 7c에 관하여 설명한 프로세스에 대하여, X축 및 Y축 변수 데이터의 2 변수 선형 피트에 기초한다.
도 9a는, 산화물 층(1412), 티타늄 질화물 층(1416), 및 베이스 층(1420)을 포함하는 하부 층 및 무기 레지스트 패턴(1408)을 가진 입력 기판(1404)의 개략도(1400)를 도시한다.
도 9b는, 무기 레지스트 패턴(1438)의 트리밍 프로세스 후의 기판(1434)의 개략도(1430)를 도시한다. 산화물 층(1442), 티타늄 질화물 층(1446), 및 베이스 층(1452)을 포함하는 하부 층 및 무기 레지스트 패턴(1438)이 강조된다.
도 9c는 본 발명의 실시형태에서의 산화물 에칭 프로세스 후의 기판(1464)의 개략도(1460)를 도시한다. 티타늄 질화물 층(1472) 및 베이스 층(1476)을 포함하는 하부 층 및 산화물 패턴(1468)이 강조된다.
도 9d는 본 발명의 실시형태에서의 티타늄 질화물 에칭 프로세스 후의 기판(1484)의 개략도(1480)를 도시한다. 산화물 층(1488) 및 티타늄 질화물 층(1492)을 포함하는 패턴 및 베이스 층(1496)을 포함하는 하부 층이 강조된다.
도 10a는 기판(1504) 내에 SnOx를 포함하는 입력 무기 레지스트 패턴(1508)의 경사도(1500)를 도시한다. 산화물 층(1516), 티타늄 질화물 층(1520), 및 베이스 층(1524)을 포함하는 하부 층도 도시되어 있다.
도 10b는 SnOx 레지스트 패턴을 제거하는 스트립 에칭을 사용한 후의 기판(1554)의 경사도(1550)이고, 그 아래의 산화물 층(1556), 티타늄 질화물 층(1558), 및 베이스 층(1562)에 대한 손상이 없음을 강조한다. 상기한 바와 같이, 산화물 층(1516)은 실리콘 산화물, 열적 산화물, 화학적 기상 증착(CVD) 산화물, TEOL(tetra-ethyl-ortho-silane) 등이 될 수 있다. 베이스 층(1562)은 비정질 실리콘, 실리콘, 티타늄 질화물, 텅스텐, 텅스텐 실리콘, 실리콘 산화물, 실리콘 질화물, 폴리실리콘, p 도핑 실리콘, n 도핑 실리콘, 탄소 등을 포함할 수 있다.
도 11은, 인커밍(incoming) 무기 레지스트에 대해 포스트 리소그래피 트림 프로세스를 수행하는 방법에 대한 예시적인 프로세스 플로우 차트(1700)이다. 무기 레지스트는 SnOx 화합물이 될 수 있고, x는 양수(positive number)이다. SnOx 화합물은 SnO 또는 SnO2가 될 수 있다. 다른 무기 레지스트가 사용될 수도 있다. 동작(1704)에서, 에칭 시스템의 프로세스 챔버에, 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층과 함께 무기 레지스트의 인커밍 패턴을 갖는 층을 가진 기판이 제공된다. 상기한 바와 같이, 산화물 층은 실리콘 산화물, 열적 산화물, 화학적 기상 증착(CVD) 산화물, TEOL(tetra-ethyl-ortho-silane) 등이 될 수 있다. 베이스 층은 비정질 실리콘, 실리콘, 티타늄 질화물, 텅스텐, 텅스텐 실리콘, 실리콘 산화물, 실리콘 질화물, 폴리실리콘, p 도핑 실리콘, n 도핑 실리콘, 탄소 등을 포함할 수 있다.
동작(1708)에서, 무기 레지스트의 인커밍 패턴 상에 포스트 리소그래피 트림이 수행되어, 제1 패턴이 생성된다. CH3F를 포함하는 에칭 가스 혼합물에 대해 기판을 노출시킴으로써 트리밍이 수행된다. 아르곤 등의 희석 가스가 에칭 가스 혼합물에 추가된다. CH3F 대신 BCl3이 사용될 수도 있고, 아르곤 대신 다른 새로운 가스가 사용될 수 있다. 동작(1712)에서, 포스트 리소그래피 트림 프로세스 중에 선택된 동작 변수는 집적 목표를 달성하기 위해 동시에 제어된다.
트리밍은, 10 내지 80 ℃의 프로세스 챔버 온도, 20 내지 50 mT의 챔버 압력, 40 내지 60 ℃의 정전 척(electrostatic chuck; ESC) 온도로 수행될 수 있고, 트림 프로세스 시간은 2 내지 10 초 범위가 될 수 있다. CH3F의 플로우 레이트(flow rate)는 20 내지 35 sccm이 될 수 있고, 아르곤의 플로우 레이트는 1200 내지 1700 sccm이 될 수 있다. 고주파 전원은 60 내지 80 mHz가 될 수 있고 90 내지 500 W를 생성할 수 있고, 저주파 전력은 11 내지 15 mHz가 될 수 있고 90 내지 180 W를 생성할 수 있다.
동작 변수는 챔버 압력, 프로세스 챔버 온도, 정전 척 온도, 저주파 전력, 고주파 전력, 및 트림 프로세스 시간을 포함할 수 있다. 집적 목표는 타겟 CD, LER, LWR, 및 기판 처리량을 포함할 수 있다. CD 목표는 15 내지 35 nm 범위가 될 수 있고, LER 목표는 0 내지 2.0 nm 범위가 될 수 있고, LWR 목표는 0 내지 2.0 nm 범위가 될 수 있다.
도 12는, 유입되는(incoming) 무기 레지스트에 대해 포스트 리소그래피 트림 프로세스를 수행하는 방법에 대한 예시적인 프로세스 플로우 차트(1800)이다. 동작(1804)에서, 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층과 함께 무기 레지스트의 인커밍 패턴을 갖는 층을 갖는 기판이 제공된다.
동작(1808)에서, 무기 레지스트의 인커밍 패턴 상에 포스트 리소그래피 트림이 수행되어, 제1 패턴이 생성된다. 동작(1812)에서, 제1 패턴 상에 산화물 에칭 프로세스가 수행되어, 제2 패턴이 생성된다.
동작(1816)에서, 제2 패턴 상에 티타늄 질화물 에칭이 수행되어, 제3 패턴이 생성된다. 동작(1820)에서, 선택된 동작 변수는 집적 목표를 달성하기 위해 포스트 리소그래피 트림 프로세스, 산화물 에칭 프로세스, 및 티타늄 질화물 에칭 프로세스 동안 동시에 제어된다.
도 13은 본 발명의 다른 실시형태에서의 집적 프로세스를 수행하는 방법에 대한 다른 예시적 프로세스 플로우 차트(1900)이다. 동작(1904)에서, 탄소 층, 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층 및 무기 레지스트의 인커밍 패턴을 갖는 층을 가진 기판이 에칭 시스템의 프로세스 챔버 내에 배치된다. 동작(1908)에서, 무기 레지스트의 인커밍 패턴 상에 포스트 리소그래피 트림이 수행되어, 제1 패턴이 생성된다.
후속 동작에서의 에칭 프로세스는 관련 기술 분야의 사람들에게 공지되어 있고, 여기서 상세히 설명하지 않는다. 동작(1912)에서, 제1 패턴 상에 탄소 에칭 프로세스가 수행되어, 제2 패턴이 생성된다. 탄소 에칭 프로세스는, 약 40 sccm에서 O2, 약 80 sccm에서 CO2, 약 200 sccm에서 He의 에칭 가스 혼합물을 사용할 수 있다.
동작(1916)에서, 산화물 에칭 프로세스 및 티타늄 질화물 에칭 프로세스가 수행되어, 제3 패턴이 생성된다. 산화물 에칭 프로세스는, 약 30 sccm에서 C4H8, 약 15 sccm에서 O2, 약 1500 sccm에서 Ar의 에칭 가스 혼합물을 사용할 수 있다. 티타늄 에칭 프로세스는, 약 35 sccm에서 Cl2, 약 15 sccm에서 CH4, 약 1000 sccm에서 Ar의 에칭 가스 혼합물을 사용할 수 있다.
동작(1920)에서, 선택된 동작 변수는 집적 목표를 달성하기 위해 포스트 리소그래피 트림 프로세스, 탄소 에칭 프로세스, 산화물 에칭 프로세스, 및 티타늄 질화물 에칭 프로세스 동안 동시에 제어된다.
선행 기술은 무기 레지스트를 트리밍하기 위해 플루오로메탄(flouromethane), CH3F의 사용을 나타내지 않는다. CH3F는 일반적으로 극자외선 (EUV) 레지스트에서 주석 산화물, SnOx에 대한 트리밍과 같은 제거 프로세스를 수행하는데 사용되지 않는다. 본 발명자들은, 에칭 시간, 챔버 압력, 무선 주파수(RF) 전력, 및 정전 척 온도와 같은 집적 방식의 선택된 2개 이상의 동작 변수, 및 다른 변수를 엄격하게 제어함으로써, SnOx가 집적 목표를 충족시키도록 성공적으로 트리밍될 수 있다는 것을 발견했다. 본 발명자들은 SnOx 레지스트를 5초에서 25초까지 트리밍하고, 산화물 층에 대한 전송 충실도를 저하시키지 않고 트리밍된 SnOx를 전사할 수 있었고, 상기 실시예에서 질화물 층에 대하여 패턴 붕괴 또는 전사 불가능 없이, 파손된 라인 없이 다음 층 다운(next layer down)으로의 패턴을 계속할 수 있었다. 상기 리스트에서 언급한 바와 같이, 층 물질의 다른 조합이 사용될 수도 있다.
도 14는 본 발명의 실시형태에서의 집적 시스템의 제어기(2055)를 포함하는 예시적 시스템 차트(2000)이다. 플라즈마 에칭 시스템(2002)은 프로세싱 챔버(2010), 프로세싱될 기판(2025)이 고정되는 기판 홀더(2020), 및 진공 펌핑 시스템(2050)을 포함하는 도 14에 도시된 상기 식별된 프로세스 조건을 수행하도록 구성된다. 기판(2025)은 반도체 기판, 웨이퍼, 플랫 패널 디스플레이, 또는 액정 디스플레이가 될 수 있다. 프로세싱 챔버(2010)는 기판(2025)의 표면 근방에서 프로세싱 영역(2045)을 에칭하는 것을 가능하게 하도록 구성될 수 있다. 이온화 가능 가스 또는 프로세스 가스의 혼합물은 가스 분배 시스템(2040)을 통해 도입된다. 주어진 프로세스 가스의 유동에 대하여 진공 펌핑 시스템(2050)을 사용하여 프로세스 압력이 조정된다. 프로세싱은, 기판(2025)의 노출된 표면으로부터의 물질의 제거를 도울 수 있다. 에칭 프로세싱 시스템(2000)은 200 mm 기판, 300 mm 기판, 또는 더 큰 기판 등의 임의의 원하는 사이즈의 기판을 프로세싱하도록 구성될 수 있다.
기판(2025)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨, 정전 클램핑 시스템)과 같은 클램핑 시스템(2028)을 통해 기판 홀더(2020)에 부착될 수 있다. 또한, 기판 홀더(2020)는 기판 홀더(2020) 및 기판(2025)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(미도시) 또는 냉각 시스템(미도시)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 기판 홀더(2020)로부터 열을 수용하고 냉각시 열 교환 시스템(미도시)으로 열을 전달하거나 가열시 열 교환 시스템으로부터 기판 홀더(2020)로 열을 전달하는 열 전달 유체의 재순환 유동을 포함할 수 있다. 다른 실시형태에서, 저항성 가열 엘리먼트, 또는 열-전자 히터/쿨러 등의 가열/냉각 엘리먼트는 기판 홀더(2020)뿐만 아니라 프로세싱 시스템(2000) 내의 임의의 다른 컴포넌트 및 프로세싱 챔버(2010)의 챔버 벽 내에 포함될 수 있다.
기판(2025)과 기판 홀더(2020) 사이의 가스-갭(gas-gap) 열 전도를 향상시키기 위해 후면 가스 공급 시스템(2026)을 통해 기판(825)의 후면으로 열 전달 가스가 전달될 수 있다. 상승 또는 감소된 온도에서 기판의 열 제어가 요구될 때, 이러한 시스템이 사용될 수 있다. 예컨대, 후면 가스 공급 시스템은 투-존 가스 분배 시스템을 포함할 수 있고, 헬륨 가스-갭 압력은 기판(2025)의 중심과 엣지 사이에서 독립적으로 변경될 수 있다.
도 14에 도시된 실시형태에서, 기판 홀더(2020)는 RF 전력이 프로세싱 영역(2045)에 연결되는 상부 전극(2070) 및 하부 전극(2022)을 포함할 수 있다. 예컨대, 기판 홀더(2020)는 RF 제너레이터(2030)로부터 선택적 임피던스 매치 네트워크(2032)를 통한 기판 홀더(2020)로의 RF 전력의 전송을 통해 RF 전압에서 전기적으로 바이어싱될 수 있다. RF 전기 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 할 수 있다. 이 구성에서, 시스템은 반응 이온 에칭(reactive ion etch; RIE) 리액터(reactor)로서 동작 할 수 있으며, 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다. RF 바이어스를 위한 통상적인 주파수는 약 0.1 MHz 내지 약 80 MHz 범위가 될 수 있다. 플라즈마 프로세싱을 위한 RF 시스템은 통상의 기술자들에게 잘 알려져 있다.
또한, RF 전압에서 전극(2022)의 전기적 바이어스는 펄스형 바이어스 신호 제어기(2031)를 사용하여 펄스화 될 수 있다. 예컨대, RF 제너레이터(2030)로부터 출력된 RF 전력은 오프 상태 및 온 상태 사이에서 펄스화될 수 있다. 대안적으로, RF 전력은 다수의 주파수로 기판 홀더 전극에 인가될 수 있다. 또한, 임피던스 매치 네트워크(2032)는 반사된 전력을 감소시킴으로써 플라즈마 프로세싱 챔버(2010)에서 플라즈마로의 RF 전력의 전달을 향상시킬 수 있다. 매치 네트워크 토폴로지(예컨대, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 통상의 기술자에게 잘 알려져 있다.
가스 분배 시스템(2040)은 프로세스 가스의 혼합물을 도입하기 위한 샤워헤드 디자인(showerhead design)을 포함할 수 있다. 대안적으로, 가스 분배 시스템(2040)은 프로세스 가스의 혼합물을 도입하고 기판(2025) 위로 프로세스 가스의 혼합물의 분배를 조정하기 위한 멀티-존(multi-zone) 샤워헤드 디자인을 포함할 수 있다. 예컨대, 멀티-존 샤워헤드 디자인은 프로세스 가스 유동 또는 조성을 기판(2025) 위의 실질적으로 중앙 영역으로의 프로세스 가스 유동 또는 조성의 양에 비해 기판(2025) 위의 실질적으로 주변 영역으로의 프로세스 가스 유동 또는 조성을 조정하거나, 중심 유동 및 엣지 유동으로 분리하도록 구성될 수 있다.
진공 펌핑 시스템(2050)은 약 8000 리터/초까지의 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 조절하기(throttling) 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭을 위해 사용되는 종래 플라즈마 프로세싱 디바이스에서, 800 내지 3000 리터/초의 TMP가 사용될 수 있다. TMP는 통상적으로 약 50 mTorr보다 낮은 저압 프로세싱에 유용하다. 고압 프로세싱(즉, 약 80 mTorr보다 높음)을 위해, 기계식 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)가 사용될 수 있다. 또한, 챔버 압력(미도시)을 모니터링하기 위한 디바이스는 플라즈마 프로세싱 챔버(2010)에 연결될 수 있다.
상기한 바와 같이, 제어기(2055)는, 플라즈마 프로세싱 시스템(2000)에 대한 입력과 통신하고 작동시키는(activate) 것뿐만 아니라 플라즈마 프로세싱 시스템(2000)으로부터의 출력을 모니터링하기에 충분할 제어 전압을 생성할 수 있는 디지털 I/O 포트, 마이크로프로세서, 및 메모리를 포함할 수 있다. 또한, 제어기(2055)는, RF 제너레이터(830), 펄스화된 바이어스 신호 제어기(2031), 임피던스 매치 네트워크(2032), 가스 분배 시스템(2040), 진공 펌핑 시스템(2050)뿐만 아니라, 기판 가열/냉각 시스템(미도시), 후면 가스 공급 시스템(2026), 및/또는 정전 클램핑 시스템(2028)에 연결되어 정보를 교환할 수 있다. 예컨대, 메모리에 저장된 프로그램은, 기판(2025) 상에서 플라즈마 에칭 프로세스 또는 PHT 프로세스와 같은 플라즈마 보조 프로세스를 수행하기 위해, 프로세스 레시피에 따라 프로세싱 시스템(2000)의 전술한 컴포넌트에 대한 입력을 활성화시키는데 사용될 수 있다.
또한, 프로세싱 시스템(2000)은 RF 전력이 선택적 임피던스 매치 네트워크(2074)를 통해 RF 제너레이터(2072)로부터 연결될 수 있는 상부 전극(2070)을 더 포함할 수 있다. 상부 전극에 대한 RF 전력의 인가(application)를 위한 주파수는 약 0.1 MHz 내지 약 200 Mhz의 범위가 될 수 있다. 또한, 하부 전극으로의 파워의 인가를 위한 주파수는 약 0.1 MHz 내지 약 80 Mhz의 범위가 될 수 있다. 또한, 상부 전극(2070)으로의 RF 전력의 인가를 제어하기 위해 RF 제너레이터(2072)와 임피던스 매치 네트워크(2074)에 제어기(2055)가 연결될 수 있다. 상부 전극의 디자인 및 구현은 통상의 기술자에게 잘 알려져 있다. 도시된 바와 같이, 상부 전극(2070) 및 가스 분배 시스템(2040)은 동일 챔버 어셈블리 내에서 디자인될 수 있다. 대안적으로, 상부 전극(2070)은 기판(2025) 위의 플라즈마에 연결된 RF 전력 분배를 조정하기 위한 멀티-존 전극 디자인을 포함할 수 있다. 예컨대, 상부 전극(2070)은 중앙 전극 및 엣지 전극으로 세그먼트화될 수 있다.
애플리케이션에 따라, 센서 또는 계측 디바이스 등의 추가 디바이스들은, 프로세싱 챔버(2010)에 그리고, 집적 방식의 성막 프로세스, RIE 프로세스, 풀 프로세스(pull process), 프로파일 재형성 프로세스, 가열 처리 프로세스, 및/또는 패턴 전사 프로세스를 포함하는 2개 이상의 단계에서 2개 이상의 선택된 집적 동작 변수를 동시에 제어하기 위해 실시간 데이터를 수집하고 실시간 데이터를 사용하기 위해 제어기(2055)에 연결될 수 있다. 또한, PHT의 완료, 패터닝 균일성(균일성), 구조체의 풀다운(pulldonw)(풀다운), 구조체의 슬리밍(slimming)(슬리밍), 구조체의 종횡비(종횡비), 에칭 선택도, 라인 엣지 러프니스(line edge roughness; LER), 라인 폭 러프니스(line width roughness; LWR), 기판 처리량, 오너십(ownership)의 비용 등을 포함하는 집적 목표가 달성되는 것을 보장하기 위해 동일 데이터가 사용될 수 있다.
본 발명의 특정 실시형태만이 위에서 상세히 설명되었지만, 통상의 기술자는 본 발명의 신규 교지 및 이점으로부터 실질적으로 벗어나지 않고 실시형태에서 많은 변형이 가능하다는 것을 용이하게 이해할 것이다. 따라서, 모든 이러한 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (20)

  1. 집적 방식으로 무기 레지스트를 트리밍하는 방법에 있어서,
    프로세스 챔버 내에 기판 - 상기 기판은 산화물 층, 티타늄 질화물 층, 및 베이스 층을 포함하는 하부 층 및 무기 레지스트 층을 갖고, 상기 무기 레지스트 층은 무기 레지스트 구조체 패턴을 가짐 - 을 배치하는 단계;
    상기 기판 상의 상기 무기 레지스트 구조체 패턴의 일부를 선택적으로 제거하기 위해 무기 레지스트 트리밍 프로세스 - 상기 트리밍 프로세스는 제1 에칭 가스 혼합물을 사용하여 제1 패턴을 생성함 - 를 수행하는 단계; 및
    타겟 집적 목표를 달성하기 위해 상기 집적 방식의 선택된 2개 이상의 동작 변수를 제어하는 단계
    를 포함하고,
    상기 제1 에칭 가스 혼합물은 불소 함유 가스 및 희석 가스를 포함하고,
    상기 타겟 집적 목표는 타겟 임계 치수(critical dimension; CD), 타겟 LER(line edge roughness), 및 타겟 LWR(line width roughness)을 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  2. 제1항에 있어서,
    상기 무기 레지스트 층은 SnOx이고, x는 영(zero)이 아닌 수인 것인, 무기 레지스트를 트리밍하는 방법.
  3. 제2항에 있어서,
    상기 SnOx는 SnO 또는 SnO2인 것인, 무기 레지스트를 트리밍하는 방법.
  4. 제3항에 있어서,
    상기 제1 에칭 가스 혼합물 불소 함유 가스가 CH3F 또는 BCl3인 구성, 및 상기 희석 가스가 아르곤인 구성 중 적어도 하나의 구성을 갖는, 무기 레지스트를 트리밍하는 방법.
  5. 제4항에 있어서,
    상기 동작 변수는 프로세스 챔버 압력, 프로세스 챔버 온도, 트리밍 프로세스 시간, 정전 척 온도, 저주파 전력, 및 고주파 전력을 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  6. 제5항에 있어서,
    상기 트리밍 프로세스 시간은 2 내지 15초의 범위이고, 상기 타겟 CD는 10 내지 35 nm의 범위이고, 상기 LER은 0 내지 2.0 nm의 범위이고, 상기 LWR은 0 내지 2.0 nm의 범위인 것인, 무기 레지스트를 트리밍하는 방법.
  7. 제6항에 있어서,
    상기 정전 척 온도는 40 내지 60 ℃의 범위이고, 상기 프로세스 챔버 온도는 10 내지 80 ℃의 범위인 것인, 무기 레지스트를 트리밍하는 방법.
  8. 제7항에 있어서,
    상기 고주파 전력은 90 내지 500 W의 범위이고, 상기 저주파 전력은 90 내지 180 W의 범위인 것인, 무기 레지스트를 트리밍하는 방법.
  9. 제8항에 있어서,
    상기 무기 레지스트 구조체 패턴은, 라인 및 스페이스(line and space)와, 콘택트 홀 구조체 중 적어도 하나를 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  10. 제9항에 있어서,
    상기 레지스트 트리밍 프로세스를 수행하는 단계 후에, 제2 에칭 가스 혼합물을 사용하여 제2 패턴을 생성하는 산화물 에칭 프로세스를 수행하는 단계;
    제3 에칭 가스 혼합물을 사용하여 제3 패턴을 생성하는 브레이크스루 에칭 프로세스를 수행하는 단계; 및
    제4 에칭 가스 혼합물을 사용하여 마지막 패턴을 생성하는 티타늄 질화물 에칭 프로세스를 수행하는 단계
    를 더 포함하는, 무기 레지스트를 트리밍하는 방법.
  11. 제10항에 있어서,
    상기 선택된 2개 이상의 동작 변수는, 산화물 에칭 프로세스 시간, 브레이크스루 에칭 프로세스 시간, 및 티타늄 질화물 에칭 프로세스 시간을 더 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  12. 제11항에 있어서,
    상기 제3 에칭 가스 혼합물은 BCl3를 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  13. 제12항에 있어서,
    상기 제4 에칭 가스 혼합물은, Cl2, CH4, 및 Ar 중 적어도 하나를 포함하는 것인, 무기 레지스트를 트리밍하는 방법.
  14. 제13항에 있어서,
    상기 트리밍 프로세스는, 상기 무기 레지스트 구조체 패턴의 측정된 CD에 기초하여 상기 트리밍 프로세스 시간을 조절함으로써(modulating) 제어되는 것인, 무기 레지스트를 트리밍하는 방법.
  15. 제13항에 있어서,
    상기 트리밍 프로세스는, 상기 무기 레지스트 구조체 패턴의 측정된 CD, 프로세스 챔버 온도, 및 프로세스 챔버 압력 중 적어도 하나에 기초하여 상기 트리밍 프로세스 시간을 조절함으로써 제어되는 것인, 무기 레지스트를 트리밍하는 방법.
  16. 제13항에 있어서,
    상기 트리밍 프로세스는, 상기 무기 레지스트 구조체 패턴의 측정된 CD, 상기 저주파 전력, 상기 고주파 전력, 및 상기 정전 척 온도 중 적어도 하나에 기초하여 상기 트리밍 프로세스 시간을 조절함으로써 제어되는 것인, 무기 레지스트를 트리밍하는 방법.
  17. 집적 방식으로 무기 레지스트를 트리밍하는 방법에 있어서,
    프로세스 챔버 내에 기판 - 상기 기판은 무기 레지스트 층 및 하부 층을 갖고, 상기 하부 층은 탄소 층, 산화물 층, 실리콘 질화물 층, 및 베이스 층을 포함하고, 상기 무기 레지스트 층은 무기 레지스트 구조체 패턴을 가짐 - 을 배치하는 단계;
    상기 기판 상의 상기 무기 레지스트 구조체 패턴의 일부를 선택적으로 제거하기 위해 무기 레지스트 트리밍 프로세스 - 상기 트리밍 프로세스는 제1 에칭 가스 혼합물을 사용하여 제1 패턴을 생성함 - 를 수행하는 단계;
    제2 에칭 가스 혼합물을 사용하여 제2 패턴을 생성하는 산화물 에칭 프로세스를 수행하는 단계;
    제3 에칭 가스 혼합물을 사용하여 제3 패턴을 생성하는 브레이크스루 에칭 프로세스(breakthrough etch process)를 수행하는 단계;
    제4 에칭 가스 혼합물을 사용하여 마지막 패턴을 생성하는 티타늄 질화물 에칭 프로세스를 수행하는 단계; 및
    타겟 집적 목표를 달성하기 위해 상기 집적 방식의 선택된 2개 이상의 동작 변수를 제어하는 단계
    를 포함하고,
    상기 제1 에칭 가스 혼합물은 불소 함유 가스 및 희석 가스를 포함하고,
    상기 타겟 집적 목표는 타겟 임계 치수(CD), 타겟 LER, 및 타겟 LWR을 포함하고,
    상기 무기 레지스트 구조체 패턴은 라인 및 스페이스 패턴(line and space pattern) 및 콘택트 홀 패턴(contact hole pattern) 중 적어도 하나가 될 수 있는 것인, 무기 레지스트를 트리밍하는 방법.
  18. 제17항에 있어서,
    상기 무기 레지스트가 SnOx이고 x는 영이 아닌 수이거나 상기 SnOx가 SnO 또는 SnO2인 구성, 상기 제1 에칭 가스 혼합물 불소 함유 가스가 CH3F 또는 BCl3이고 상기 희석 가스가 아르곤인 구성, 및 상기 트리밍 프로세싱 시간이 2 내지 15초의 범위이고, 상기 타겟 CD가 10 내지 35 nm의 범위이고, 상기 LER이 0 내지 2.0 nm의 범위이고, 상기 LWR이 0 내지 2.0 nm의 범위인 구성 중 적어도 하나의 구성을 갖는, 무기 레지스트를 트리밍하는 방법.
  19. 제18항에 있어서,
    상기 트리밍 프로세스는, 상기 무기 레지스트 구조체 패턴의 측정된 CD, 정전 척 온도, 저주파 전력, 및 고주파 전력 중 적어도 하나에 기초하여 상기 트리밍 프로세스 시간을 조절함으로써 제어되는 것인, 무기 레지스트를 트리밍하는 방법.
  20. 제18항에 있어서,
    상기 무기 레지스트 트리밍 프로세스를 수행하는 단계 후에, APF(advanced process film) 에칭 가스 혼합물을 사용하여 APF 에칭 프로세스를 수행하는 단계; 및
    상기 티타늄 질화물 에칭 프로세스를 수행하는 단계 후에, APF 스트립(strip) 에칭 가스 혼합물을 사용하여 APF 스트립 프로세스를 수행하는 단계
    를 더 포함하는, 무기 레지스트를 트리밍하는 방법.
KR1020187027257A 2016-02-19 2017-02-01 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절 KR102419049B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662297709P 2016-02-19 2016-02-19
US62/297,709 2016-02-19
US15/232,330 2016-08-09
US15/232,330 US9899219B2 (en) 2016-02-19 2016-08-09 Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
PCT/US2017/015995 WO2017142708A1 (en) 2016-02-19 2017-02-01 Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables

Publications (2)

Publication Number Publication Date
KR20180108864A true KR20180108864A (ko) 2018-10-04
KR102419049B1 KR102419049B1 (ko) 2022-07-07

Family

ID=59626232

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187027257A KR102419049B1 (ko) 2016-02-19 2017-02-01 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절

Country Status (5)

Country Link
US (1) US9899219B2 (ko)
KR (1) KR102419049B1 (ko)
CN (1) CN109074004B (ko)
TW (1) TWI635545B (ko)
WO (1) WO2017142708A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777063B (zh) 2018-05-03 2022-09-11 日商東京威力科創股份有限公司 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
US11031244B2 (en) 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US10868191B2 (en) * 2018-12-07 2020-12-15 Cornell University Patterning electronic devices using reactive-ion etching of tin oxides
WO2021021279A1 (en) 2019-08-01 2021-02-04 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030186137A1 (en) * 1999-09-30 2003-10-02 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20040018742A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Forming bilayer resist patterns
US20090101985A1 (en) * 2006-08-18 2009-04-23 International Business Machines Corporation Trilayer resist scheme for gate etching applications
KR20140031224A (ko) * 2011-03-22 2014-03-12 도쿄엘렉트론가부시키가이샤 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4750980A (en) 1986-11-07 1988-06-14 Texas Instruments Incorporated Process for etching tin oxide
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
CN100527356C (zh) * 2007-01-12 2009-08-12 联华电子股份有限公司 修整硬掩模层的方法、形成晶体管栅极的方法和堆叠结构
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US7846645B2 (en) * 2007-12-14 2010-12-07 Tokyo Electron Limited Method and system for reducing line edge roughness during pattern etching
US9269587B2 (en) * 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030186137A1 (en) * 1999-09-30 2003-10-02 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20040018742A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Forming bilayer resist patterns
US20090101985A1 (en) * 2006-08-18 2009-04-23 International Business Machines Corporation Trilayer resist scheme for gate etching applications
KR20140031224A (ko) * 2011-03-22 2014-03-12 도쿄엘렉트론가부시키가이샤 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스

Also Published As

Publication number Publication date
TW201735191A (zh) 2017-10-01
US9899219B2 (en) 2018-02-20
TWI635545B (zh) 2018-09-11
CN109074004A (zh) 2018-12-21
CN109074004B (zh) 2020-06-26
WO2017142708A1 (en) 2017-08-24
KR102419049B1 (ko) 2022-07-07
US20170243744A1 (en) 2017-08-24

Similar Documents

Publication Publication Date Title
US10354873B2 (en) Organic mandrel protection process
US8236700B2 (en) Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US9748110B2 (en) Method and system for selective spacer etch for multi-patterning schemes
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
US20130084707A1 (en) Dry cleaning method for recovering etch process condition
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
KR102419049B1 (ko) 선택된 에칭 가스 혼합물에 의한 무기 레지스트의 트리밍 및 동작 변수의 조절
US10115591B2 (en) Selective SiARC removal
JP2005109514A (ja) エッチングプロセス中に最小寸法を制御する方法
KR20200141518A (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US10049875B2 (en) Trim method for patterning during various stages of an integration scheme
CN109478022B (zh) 有机芯轴保护方法
US20100248487A1 (en) Method and apparatus for elimination of micro-trenching during etching of a hardmask layer
US10790154B2 (en) Method of line cut by multi-color patterning technique
US10490404B2 (en) Method of in situ hard mask removal
JP2021118304A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant