KR20170132558A - Wafer debonding apparatus - Google Patents

Wafer debonding apparatus Download PDF

Info

Publication number
KR20170132558A
KR20170132558A KR1020160063576A KR20160063576A KR20170132558A KR 20170132558 A KR20170132558 A KR 20170132558A KR 1020160063576 A KR1020160063576 A KR 1020160063576A KR 20160063576 A KR20160063576 A KR 20160063576A KR 20170132558 A KR20170132558 A KR 20170132558A
Authority
KR
South Korea
Prior art keywords
wafer
vacuum
carrier
carrier wafer
device wafer
Prior art date
Application number
KR1020160063576A
Other languages
Korean (ko)
Other versions
KR102446955B1 (en
Inventor
이항림
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020160063576A priority Critical patent/KR102446955B1/en
Publication of KR20170132558A publication Critical patent/KR20170132558A/en
Application granted granted Critical
Publication of KR102446955B1 publication Critical patent/KR102446955B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

Disclosed is a device for separating a carrier wafer from a device wafer. The device comprises: a vacuum chuck to clamp a device wafer; a plurality of vacuum pads to vacuum-adsorb the carrier wafer attached on the device wafer; and a driving unit sequentially lifting the vacuum pads from one side to the other side of the carrier wafer or both side edge portions to a center portion to separate the carrier wafer from the device wafer. The driving unit can sequentially lift the vacuum pads by using a plurality of cams.

Description

웨이퍼 분리 장치{Wafer debonding apparatus}[0001] Wafer debonding apparatus [0002]

본 발명의 실시예들은 웨이퍼 분리 장치에 관한 것이다. 보다 상세하게는, 반도체 다이들을 포함하는 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하기 위한 장치에 관한 것이다.Embodiments of the present invention relate to a wafer separation apparatus. And more particularly, to an apparatus for separating a carrier wafer from a device wafer comprising semiconductor dies.

일반적으로 반도체 소자들은 일련의 제조 공정들을 반복적으로 수행함으로써 반도체 기판으로서 사용되는 실리콘 웨이퍼 상에 형성될 수 있다. 상기 반도체 소자들이 형성된 웨이퍼는 다이싱 공정을 통해 복수의 다이들로 분할될 수 있으며, 상기 다이들은 기판 상에 탑재된 후 몰딩 공정을 통해 반도체 패키지들로 제조될 수 있다.Generally, semiconductor devices can be formed on a silicon wafer used as a semiconductor substrate by repeatedly performing a series of manufacturing processes. The wafer on which the semiconductor elements are formed may be divided into a plurality of dies through a dicing process, and the dies may be mounted on a substrate and then manufactured into semiconductor packages through a molding process.

한편, 상기 웨이퍼 상에 반도체 소자들을 형성한 후 상기 웨이퍼의 두께를 감소시키기 위한 백그라인딩 공정이 수행될 수 있다. 상기 백그라인딩 공정에 의해 두께가 얇아진 웨이퍼는 통상 50㎛ 이하의 얇은 두께를 가질 수 있으며, 상기와 같이 두께가 얇아진 웨이퍼의 핸들링을 용이하게 하기 위해 상기 웨이퍼(이하, 캐리어 웨이퍼와의 구분을 위해 ‘디바이스 웨이퍼’라 함) 상에는 유리 또는 실리콘과 같은 물질로 이루어지는 캐리어 웨이퍼가 점착제를 통해 부착될 수 있으며, 다이싱 테이프를 통해 대략 원형 링 형태의 마운트 프레임에 장착될 수 있다.Meanwhile, a back grinding process may be performed to reduce the thickness of the wafer after the semiconductor devices are formed on the wafer. The wafer thinned by the back grinding process may have a thin thickness of usually 50 μm or less. In order to facilitate the handling of the thinned wafer as described above, the wafer (hereinafter, referred to as " Device wafer "), a carrier wafer made of a material such as glass or silicon can be attached through a pressure-sensitive adhesive, and can be mounted on a mount frame of an approximately circular ring shape through a dicing tape.

상기와 같이 디바이스 웨이퍼 상에 부착된 캐리어 웨이퍼는 후속 공정을 위해 상기 디바이스 웨이퍼로부터 분리될 수 있다. 상기 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하는 공정(debonding process)을 수행하기 위한 장치는 롤러 형태의 가압 부재를 이용하여 상기 캐리어 웨이퍼의 상부를 가압하면서 상기 가압 부재를 상기 캐리어 웨이퍼의 일측으로부터 타측으로 이동시킬 수 있으며, 이를 통해 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼로부터 분리시킬 수 있다. 특히, 상기 캐리어 웨이퍼를 분리하기 전에 상기 캐리어 웨이퍼와 상기 디바이스 웨이퍼 사이에 쐐기 형태의 삽입 부재를 삽입하는 단계가 수행될 수 있다.The carrier wafer attached onto the device wafer as described above may be detached from the device wafer for subsequent processing. A device for performing a debonding process for separating a carrier wafer from the device wafer uses a roller-shaped pressing member to move the pressing member from one side of the carrier wafer to the other side while pressing the upper side of the carrier wafer Whereby the carrier wafer can be separated from the device wafer. In particular, a step of inserting a wedge-shaped insertion member between the carrier wafer and the device wafer may be performed before the carrier wafer is separated.

상기와 같은 웨이퍼 분리 공정에 대한 일 예로서 대한민국 등록특허공보 제10-1223633호에는 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 처리방법이 개시되어 있다.Korean Patent Registration No. 10-1223633 discloses a method for bonding and debonding a device wafer and a carrier wafer as an example of the above wafer separation process.

그러나, 상기와 같은 쐐기 형태의 삽입 부재와 롤러 형태의 가압 부재를 이용하는 경우 정렬 오류 및 가압 조건 불균일 등에 의해 디바이스 웨이퍼의 손상이 발생될 수 있으며, 또한 상기 쐐기 형태의 삽입 부재에 대한 위치 정렬 및 상기 롤러 형태의 가압 부재에 대한 높이 정렬 등에 상당한 시간이 소요되므로 상기 웨이퍼 분리 공정의 전체적인 소요 시간이 증가되는 문제점이 있다.However, in the case of using the wedge-shaped insertion member and the roller-shaped pressing member as described above, damage to the device wafer may occur due to misalignment and uneven pressurization conditions, and the alignment of the wedge- It takes a considerable amount of time to align the pressing member in the form of a roller. This increases the overall time required for the wafer separation process.

본 발명의 실시예들은 디바이스 웨이퍼의 손상을 방지하고 상기 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하는데 소요되는 시간을 단축시킬 수 있는 웨이퍼 분리 장치를 제공하는데 그 목적이 있다.It is an object of the present invention to provide a wafer separating apparatus capable of preventing damage to a device wafer and shortening the time required for separating a carrier wafer from the device wafer.

본 발명의 실시예들에 따른 웨이퍼 분리 장치는, 반도체 다이들을 포함하는 디바이스 웨이퍼를 파지하기 위한 진공척과, 상기 디바이스 웨이퍼 상에 부착된 캐리어 웨이퍼를 진공 흡착하기 위한 복수의 진공 패드들과, 상기 진공 패드들을 상기 캐리어 웨이퍼의 일측으로부터 타측 방향으로 또는 양측 에지 부위들로부터 중심 방향으로 순차적으로 상승시켜 상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리하기 위한 구동부를 포함할 수 있다. 이때, 상기 구동부는 복수의 캠들을 이용하여 상기 진공 패드들을 순차적으로 상승시킬 수 있다.A wafer separation apparatus according to embodiments of the present invention includes a vacuum chuck for holding a device wafer including semiconductor dies, a plurality of vacuum pads for vacuum adsorption of a carrier wafer attached on the device wafer, And a driving part for separating the carrier wafer from the device wafer by sequentially raising pads from one side of the carrier wafer to the other side or from both side edge parts to the center direction. At this time, the driving unit may sequentially raise the vacuum pads using a plurality of cams.

본 발명의 실시예들에 따르면, 상기 구동부는, 상기 진공 패드들과 각각 연결되며 수직 방향으로 연장하는 복수의 구동축들과, 상기 구동축들의 상부에 장착되며 상기 캠들 상에 배치되는 복수의 캠 팔로워들과, 상기 구동축들이 수직 방향으로 이동 가능하도록 장착되는 분리 헤드와, 상기 캠들을 회전시키기 위한 모터를 포함할 수 있다.According to embodiments of the present invention, the driving unit may include a plurality of driving shafts connected to the vacuum pads and extending in the vertical direction, a plurality of cam followers mounted on the driving shafts and disposed on the cams, A separation head mounted to move the drive shafts in a vertical direction, and a motor for rotating the cams.

본 발명의 실시예들에 따르면, 상기 구동부는 각각의 상기 구동축들에 하방으로 탄성 복원력을 인가하는 복수의 탄성 부재들을 더 포함할 수 있다.According to embodiments of the present invention, the driving unit may further include a plurality of elastic members that apply an elastic restoring force downward to the respective driving shafts.

본 발명의 실시예들에 따르면, 상기 각각의 구동축들의 하단부에 상기 진공 패드들이 연결될 수 있으며, 상기 진공 패드들과 상기 분리 헤드 사이에는 상기 탄성 부재들로서 기능하는 코일 스프링들이 배치될 수 있다.According to embodiments of the present invention, the vacuum pads may be connected to the lower ends of the respective driving shafts, and coil springs functioning as the elastic members may be disposed between the vacuum pads and the separation head.

본 발명의 실시예들에 따르면, 상기 웨이퍼 분리 장치는 상기 분리 헤드를 수직 방향으로 이동시키기 위한 제2 구동부를 더 포함할 수 있다.According to the embodiments of the present invention, the wafer separating apparatus may further include a second driving unit for moving the separating head in the vertical direction.

본 발명의 실시예들에 따르면, 상기 디바이스 웨이퍼는 다이싱 테이프 상에 부착된 상태로 제공될 수 있으며, 상기 진공척은 상기 다이싱 테이프의 하부면을 진공 흡착할 수 있다.According to embodiments of the present invention, the device wafer may be provided attached on the dicing tape, and the vacuum chuck may vacuum adsorb the lower surface of the dicing tape.

본 발명의 실시예들에 따르면, 상기 웨이퍼 분리 장치는 상기 다이싱 테이프가 장착되는 링 형태의 마운트 프레임을 지지하는 서포트 부재들을 더 포함할 수 있다.According to embodiments of the present invention, the wafer separating apparatus may further include support members for supporting a ring-shaped mount frame on which the dicing tape is mounted.

본 발명의 실시예들에 따르면, 상기 서포트 부재들은 수직 방향으로 이동 가능하게 구성될 수 있다.According to the embodiments of the present invention, the support members can be configured to be movable in the vertical direction.

본 발명의 실시예들에 따르면, 상기 웨이퍼 분리 장치는, 상기 진공 패드들 사이에 배치되며 상기 캐리어 웨이퍼 상에 자외선을 조사하기 위한 자외선 램프를 더 포함할 수 있다.According to embodiments of the present invention, the wafer separating apparatus may further include an ultraviolet lamp disposed between the vacuum pads and for irradiating ultraviolet light onto the carrier wafer.

본 발명의 실시예들에 따르면, 상기 웨이퍼 분리 장치는, 상기 진공척 상으로 상기 디바이스 웨이퍼 및 상기 캐리어 웨이퍼를 이송하기 위한 웨이퍼 이송 로봇과, 상기 웨이퍼 이송 로봇의 상부에 배치되며 상기 웨이퍼 이송 로봇에 의해 상기 디바이스 웨이퍼 및 상기 캐리어 웨이퍼가 이송되는 동안 상기 캐리어 웨이퍼 상으로 자외선을 조사하기 위한 자외선 램프를 더 포함할 수 있다.According to embodiments of the present invention, the wafer separating apparatus may further include: a wafer transfer robot for transferring the device wafer and the carrier wafer onto the vacuum chuck; and a wafer transfer robot disposed on the wafer transfer robot, And an ultraviolet lamp for irradiating ultraviolet light onto the carrier wafer while the device wafer and the carrier wafer are being transported.

상술한 바와 같은 본 발명의 실시예들에 따른 웨이퍼 분리 장치는, 디바이스 웨이퍼를 파지하기 위한 진공척과, 상기 디바이스 웨이퍼 상에 부착된 캐리어 웨이퍼를 진공 흡착하기 위한 복수의 진공 패드들과, 상기 진공 패드들을 순차적으로 상승시켜 상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리하기 위한 구동부를 포함할 수 있다.As described above, the wafer separating apparatus according to the embodiments of the present invention includes a vacuum chuck for holding a device wafer, a plurality of vacuum pads for vacuum-adsorbing a carrier wafer mounted on the device wafer, And a driving unit for sequentially raising the carrier wafer to separate the carrier wafer from the device wafer.

특히, 상기 구동부는, 복수의 캠들과, 상기 캠들 상에 배치되는 복수의 캠 팔로워들과, 상기 캠 팔로워들과 연결되어 수직 방향으로 연장하는 구동축들 및 상기 캠들을 회전시키기 위한 모터를 포함할 수 있으며, 상기 진공 패드들은 상기 구동축들의 하단부에 연결될 수 있다.In particular, the driving portion may include a plurality of cams, a plurality of cam followers disposed on the cams, driving shafts connected to the cam followers to extend in the vertical direction, and motors for rotating the cams And the vacuum pads may be connected to the lower ends of the drive shafts.

결과적으로, 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼로부터 분리하는 동안 상기 캐리어 웨이퍼 및 상기 디바이스 웨이퍼의 손상이 크게 감소될 수 있다. 또한, 상기 진공 패드들과 상기 캐리어 웨이퍼 사이의 높이 정렬에 소요되는 시간이 크게 감소될 수 있으며, 이에 따라 상기 캐리어 웨이퍼의 분리에 소요되는 전체 공정 시간이 크게 단축될 수 있다.As a result, damage to the carrier wafer and the device wafer can be greatly reduced while separating the carrier wafer from the device wafer. In addition, the time required for height alignment between the vacuum pads and the carrier wafer can be greatly reduced, and the entire process time required for separation of the carrier wafer can be greatly shortened.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 분리 장치를 설명하기 위한 개략적인 구성도이다.
도 2는 도 1에 도시된 진공 패드를 설명하기 위한 개략적인 구성도이다.
도 3은 도 2에 도시된 자외선 램프들의 다른 예를 설명하기 위한 개략적인 구성도이다.
도 4 내지 도 8은 도 1에 도시된 웨이퍼 분리 장치를 이용하여 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하는 방법을 설명하기 위한 개략적인 구성도들이다.
FIG. 1 is a schematic diagram for explaining a wafer separating apparatus according to an embodiment of the present invention.
FIG. 2 is a schematic diagram for explaining the vacuum pad shown in FIG. 1. FIG.
FIG. 3 is a schematic diagram for explaining another example of the ultraviolet lamps shown in FIG. 2. FIG.
FIGS. 4 to 8 are schematic structural views for explaining a method of separating a carrier wafer from a device wafer using the wafer separating apparatus shown in FIG. 1. FIG.

이하, 본 발명의 실시예들은 첨부 도면들을 참조하여 상세하게 설명된다. 그러나, 본 발명은 하기에서 설명되는 실시예들에 한정된 바와 같이 구성되어야만 하는 것은 아니며 이와 다른 여러 가지 형태로 구체화될 수 있을 것이다. 하기의 실시예들은 본 발명이 온전히 완성될 수 있도록 하기 위하여 제공된다기보다는 본 발명의 기술 분야에서 숙련된 당업자들에게 본 발명의 범위를 충분히 전달하기 위하여 제공된다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention should not be construed as limited to the embodiments described below, but may be embodied in various other forms. The following examples are provided so that those skilled in the art can fully understand the scope of the present invention, rather than being provided so as to enable the present invention to be fully completed.

본 발명의 실시예들에서 하나의 요소가 다른 하나의 요소 상에 배치되는 또는 연결되는 것으로 설명되는 경우 상기 요소는 상기 다른 하나의 요소 상에 직접 배치되거나 연결될 수도 있으며, 다른 요소들이 이들 사이에 개재될 수도 있다. 이와 다르게, 하나의 요소가 다른 하나의 요소 상에 직접 배치되거나 연결되는 것으로 설명되는 경우 그들 사이에는 또 다른 요소가 있을 수 없다. 다양한 요소들, 조성들, 영역들, 층들 및/또는 부분들과 같은 다양한 항목들을 설명하기 위하여 제1, 제2, 제3 등의 용어들이 사용될 수 있으나, 상기 항목들은 이들 용어들에 의하여 한정되지는 않을 것이다.In the embodiments of the present invention, when one element is described as being placed on or connected to another element, the element may be disposed or connected directly to the other element, . Alternatively, if one element is described as being placed directly on another element or connected, there can be no other element between them. The terms first, second, third, etc. may be used to describe various items such as various elements, compositions, regions, layers and / or portions, but the items are not limited by these terms .

본 발명의 실시예들에서 사용된 전문 용어는 단지 특정 실시예들을 설명하기 위한 목적으로 사용되는 것이며, 본 발명을 한정하기 위한 것은 아니다. 또한, 달리 한정되지 않는 이상, 기술 및 과학 용어들을 포함하는 모든 용어들은 본 발명의 기술 분야에서 통상적인 지식을 갖는 당업자에게 이해될 수 있는 동일한 의미를 갖는다. 통상적인 사전들에서 한정되는 것들과 같은 상기 용어들은 관련 기술과 본 발명의 설명의 문맥에서 그들의 의미와 일치하는 의미를 갖는 것으로 해석될 것이며, 명확히 한정되지 않는 한 이상적으로 또는 과도하게 외형적인 직감으로 해석되지는 않을 것이다.The terminology used in the embodiments of the present invention is used for the purpose of describing specific embodiments only, and is not intended to be limiting of the present invention. Furthermore, all terms including technical and scientific terms have the same meaning as will be understood by those skilled in the art having ordinary skill in the art, unless otherwise specified. These terms, such as those defined in conventional dictionaries, shall be construed to have meanings consistent with their meanings in the context of the related art and the description of the present invention, and are to be interpreted as being ideally or externally grossly intuitive It will not be interpreted.

본 발명의 실시예들은 본 발명의 이상적인 실시예들의 개략적인 도해들을 참조하여 설명된다. 이에 따라, 상기 도해들의 형상들로부터의 변화들, 예를 들면, 제조 방법들 및/또는 허용 오차들의 변화는 충분히 예상될 수 있는 것들이다. 따라서, 본 발명의 실시예들은 도해로서 설명된 영역들의 특정 형상들에 한정된 바대로 설명되어지는 것은 아니라 형상들에서의 편차를 포함하는 것이며, 도면들에 설명된 요소들은 전적으로 개략적인 것이며 이들의 형상은 요소들의 정확한 형상을 설명하기 위한 것이 아니며 또한 본 발명의 범위를 한정하고자 하는 것도 아니다.Embodiments of the present invention are described with reference to schematic illustrations of ideal embodiments of the present invention. Thus, changes from the shapes of the illustrations, e.g., changes in manufacturing methods and / or tolerances, are those that can be reasonably expected. Accordingly, the embodiments of the present invention should not be construed as being limited to the specific shapes of the regions described in the drawings, but include deviations in the shapes, and the elements described in the drawings are entirely schematic and their shapes Is not intended to describe the exact shape of the elements and is not intended to limit the scope of the invention.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 분리 장치를 설명하기 위한 개략적인 구성도이다.FIG. 1 is a schematic diagram for explaining a wafer separating apparatus according to an embodiment of the present invention.

도 1을 참조하면, 본 발명의 일 실시예에 따른 웨이퍼 분리 장치(100)는 반도체 다이들을 포함하는 디바이스 웨이퍼(10)로부터 캐리어 웨이퍼(20)를 분리하기 위해 사용될 수 있다. 상기 캐리어 웨이퍼(20)는 유리 또는 실리콘 등의 물질로 이루어질 수 있으며 점착제(30)를 통해 상기 디바이스 웨이퍼(10) 상에 부착될 수 있다. 상기 점착제(30)는 본 발명의 기술 분야에서 일반적으로 알려진 감압성 점착제에 광중합성 화합물 및 광개시제를 추가한 것일 수 있다. 상기 감압성 점착제는 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)를 결합하기 위한 점착력을 제공할 수 있으며, 상기 광중합성 화합물 및 광개시재는 자외선 조사에 의해 광중합 반응하여 상기 점착력을 저하시키는 기능을 할 수 있다.Referring to FIG. 1, a wafer separation apparatus 100 according to an embodiment of the present invention may be used to separate a carrier wafer 20 from a device wafer 10 comprising semiconductor dies. The carrier wafer 20 may be made of a material such as glass or silicon and may be attached to the device wafer 10 through a pressure sensitive adhesive 30. The pressure sensitive adhesive 30 may be a pressure sensitive adhesive generally known in the technical field of the present invention to which a photopolymerizable compound and a photoinitiator are added. The pressure-sensitive adhesive may provide an adhesive force for bonding the device wafer 10 and the carrier wafer 20, and the photopolymerizable compound and the photo initiator may be photopolymerized by ultraviolet irradiation to lower the adhesive force can do.

상기 웨이퍼 분리 장치(100)는 상기 디바이스 웨이퍼(10)를 파지하기 위한 진공척(110)과, 상기 디바이스 웨이퍼(10) 상에 부착된 상기 캐리어 웨이퍼(20)를 진공 흡착하기 위한 복수의 진공 패드들(120)과, 상기 진공 패드들(120)을 상기 캐리어 웨이퍼(20)의 일측으로부터 타측 방향으로 순차적으로 상승시켜 상기 디바이스 웨이퍼(10)로부터 상기 캐리어 웨이퍼(20)를 분리하기 위한 구동부(130)를 포함할 수 있다. 본 발명의 일 실시예에 따르면, 상기 구동부(130)는 복수의 캠들(132)을 이용하여 상기 진공 패드들(120)을 순차적으로 상승시킬 수 있으며, 이에 의해 상기 캐리어 웨이퍼(20)의 일측으로부터 타측 방향으로 또는 양측 에지 부위들로부터 중심 부위를 향하여 박리가 진행될 수 있다.The wafer separating apparatus 100 includes a vacuum chuck 110 for holding the device wafer 10 and a plurality of vacuum pads for vacuum adsorbing the carrier wafer 20 attached on the device wafer 10, And a driving unit 130 for separating the carrier wafer 20 from the device wafer 10 by sequentially raising the vacuum pads 120 from one side to the other side of the carrier wafer 20, ). According to an embodiment of the present invention, the driving unit 130 can sequentially raise the vacuum pads 120 using a plurality of the cams 132, thereby moving the carrier pads 120 from one side of the carrier wafer 20 The peeling may proceed toward the other side or from both side edge portions toward the central portion.

상기 디바이스 웨이퍼(10)는 복수의 반도체 다이들(미도시)을 포함할 수 있으며, 다이싱 테이프(12)에 부착된 상태로 제공될 수 있다. 상기 진공척(110)은 상기 디바이스 웨이퍼(10)가 부착된 상기 다이싱 테이프(12)를 지지할 수 있으며, 도시되지는 않았으나, 상기 디바이스 웨이퍼(10)가 부착된 상기 다이싱 테이프(12)의 하부면을 진공 흡착하기 위한 복수의 진공홀들(미도시)을 가질 수 있다.The device wafer 10 may include a plurality of semiconductor dies (not shown) and may be provided attached to the dicing tape 12. [ The vacuum chuck 110 may support the dicing tape 12 to which the device wafer 10 is attached and the dicing tape 12 to which the device wafer 10 is attached, And a plurality of vacuum holes (not shown) for vacuum-sucking the lower surface of the substrate.

상기 다이싱 테이프(12)는 대략 원형 링 형태의 마운트 프레임(14)에 장착될 수 있으며, 상기 웨이퍼 분리 장치(100)는 상기 마운트 프레임(14)을 지지하기 위한 서포트 부재들(112)을 포함할 수 있다. 상기 서포트 부재들(112)은 상기 진공척(110)의 주위에 원주 방향으로 배치될 수 있다. 특히, 도시되지는 않았으나, 상기 서포트 부재들(112)은 별도의 구동부(미도시)에 의해 수직 방향으로 이동 가능하게 구성될 수 있다. 예를 들면, 웨이퍼 이송 로봇(170; 도 3 참조)에 의해 상기 진공척(110)의 상부로 상기 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20)가 이송된 후 상기 서포트 부재들(112)이 상승하여 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)를 상기 웨이퍼 이송 로봇(170)으로부터 전달받을 수 있다. 이어서, 상기 서포트 부재들(112)의 하강에 의해 상기 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20)가 상기 진공척(110) 상에 로드될 수 있다.The dicing tape 12 may be mounted on a substantially circular ring mount frame 14 and the wafer separating apparatus 100 may include support members 112 for supporting the mount frame 14 can do. The support members 112 may be disposed circumferentially around the vacuum chuck 110. In particular, although not shown, the support members 112 may be configured to be vertically movable by a separate driving unit (not shown). For example, after the device wafer 10 and the carrier wafer 20 are transferred to the upper portion of the vacuum chuck 110 by the wafer transfer robot 170 (see FIG. 3), the support members 112 are moved upward So that the device wafer 10 and the carrier wafer 20 can be transferred from the wafer transfer robot 170. Subsequently, the device wafer 10 and the carrier wafer 20 can be loaded on the vacuum chuck 110 by the lowering of the support members 112.

상기 구동부(130)는 상기 진공 패드들(120)이 장착되는 분리 헤드(134)와, 상기 분리 헤드(134)에 수직 방향으로 이동 가능하게 장착되는 복수의 구동축들(136)과, 상기 구동축들(136)의 상부에 장착되며 상기 캠들(120) 상에 배치되는 복수의 캠 팔로워들(138)과, 상기 캠들(132)을 회전시키기 위한 모터(140)를 포함할 수 있다.The driving unit 130 includes a separation head 134 on which the vacuum pads 120 are mounted, a plurality of driving shafts 136 mounted on the separation head 134 so as to be vertically movable, A plurality of cam followers 138 mounted on the cams 120 and disposed on the cams 120 and a motor 140 for rotating the cams 132. [

일 예로서, 상기 구동축들(136)은 상기 분리 헤드(134)를 관통하도록 수직 방향으로 연장할 수 있으며 상기 분리 헤드(134)의 상부로 돌출된 상기 구동축들(136)의 상부에 롤러 형태의 캠 팔로워들(138)이 장착될 수 있다. 상기 캠 팔로워들(138)은 상기 캠들(132) 상에 배치될 수 있으며, 상기 캠들(132)의 회전에 의해 상기 구동축들(136)이 수직 방향으로 이동될 수 있다. 또한, 상기 구동축들(136)의 하부는 상기 분리 헤드(134)의 하부로 돌출될 수 있으며 상기 진공 패드들(120)은 상기 구동축들(136)의 하부에 장착될 수 있다.The drive shaft 136 may extend in the vertical direction so as to penetrate the separation head 134 and may be formed in a roller shape on the upper portion of the drive shaft 136 protruding above the separation head 134. [ The cam followers 138 can be mounted. The cam followers 138 may be disposed on the cams 132 and the drive shafts 136 may be moved in a vertical direction by the rotation of the cams 132. The lower portion of the driving shaft 136 may protrude to the lower portion of the separation head 134 and the vacuum pads 120 may be mounted on the lower portion of the driving shaft 136.

상기 모터(140)와 상기 캠들(132)은 벨트(142)를 통해 서로 연결될 수 있다. 일 예로서, 상세히 도시되지는 않았으나, 각각의 상기 캠들(132)에는 종동 풀리가 결합될 수 있고, 상기 모터(140)에는 구동 풀리가 결합될 수 있으며, 상기 구동 풀리와 상기 종동 풀리들은 타이밍 벨트(140)를 통해 서로 연결될 수 있다.The motor 140 and the cams 132 may be connected to each other via a belt 142. As one example, although not shown in detail, a driven pulley may be coupled to each of the cams 132, and a drive pulley may be coupled to the motor 140. The drive pulley and the driven pulleys may include a timing belt (Not shown).

그러나, 상기와 같은 구동부(130)의 세부 구성은 다양하게 변경 가능하므로 상기와 같은 구동부(130)의 세부 구성에 의해 본 발명의 범위가 제한되지는 않을 것이다.However, since the detailed configuration of the driving unit 130 may be variously modified, the scope of the present invention is not limited by the detailed configuration of the driving unit 130 as described above.

도 2는 도 1에 도시된 진공 패드를 설명하기 위한 개략적인 구성도이다.FIG. 2 is a schematic diagram for explaining the vacuum pad shown in FIG. 1. FIG.

도 2를 참조하면, 상기 진공 패드들(120)은 상기 구동축들(136)의 하단부에 연결될 수 있다. 특히, 상기 캐리어 웨이퍼(20)의 분리시 발생될 수 있는 상기 캐리어 웨이퍼(20)의 기울어짐에 대응하기 위하여 상기 진공 패드들(120)은 고무 재질로 이루어질 수 있다. 또한, 도시되지는 않았으나, 상기 진공 패드들(120)은 상기 캐리어 웨이퍼(20)를 흡착하기 위하여 진공 펌프와 연결될 수 있다.Referring to FIG. 2, the vacuum pads 120 may be connected to the lower ends of the driving shafts 136. In particular, the vacuum pads 120 may be made of a rubber material to correspond to the tilting of the carrier wafer 20, which may occur when the carrier wafer 20 is separated. Also, although not shown, the vacuum pads 120 may be connected to a vacuum pump to adsorb the carrier wafer 20.

상기 구동부(130)는 상기 각각의 구동축들(136)에 하방으로 탄성 복원력을 인가하는 복수의 탄성 부재들(146)을 포함할 수 있다. 일 예로서, 상기 구동축들(136)의 하단부에는 각각 플랜지들(148)이 구비될 수 있으며, 상기 플랜지들(148)과 상기 분리 헤드(134) 사이에는 상기 탄성 부재들(146)로서 기능하는 코일 스프링들이 배치될 수 있다.The driving unit 130 may include a plurality of elastic members 146 that apply an elastic restoring force downward to the respective driving shafts 136. For example, flanges 148 may be provided on the lower end of the drive shaft 136, and between the flanges 148 and the separation head 134, Coil springs can be arranged.

상기와 같이 캠 팔로워들(138)이 상기 캠들(132) 상에 배치되고, 상기 플랜지들(148)과 상기 분리 헤드(134) 사이에 상기 탄성 부재들(146)이 배치되므로, 상기 진공 패드들(120)을 상기 캐리어 웨이퍼(20) 상에 밀착시키는 경우 상기 캐리어 웨이퍼(20)와 상기 디바이스 웨이퍼(10)의 손상을 방지할 수 있을 뿐만 아니라 상기 진공 패드들(120)과 상기 캐리어 웨이퍼(20) 사이의 높이 정렬이 매우 용이하게 이루어질 수 있다.Since the cam followers 138 are disposed on the cams 132 and the elastic members 146 are disposed between the flanges 148 and the separation head 134 as described above, It is possible to prevent the carrier wafer 20 and the device wafer 10 from being damaged when the carrier 120 is brought into close contact with the carrier wafer 20 and also to prevent the vacuum pads 120 and the carrier wafer 20 Can be made very easily.

다시 도 1을 참조하면, 상기 웨이퍼 분리 장치(100)는 상기 분리 헤드(134)를 수직 방향으로 이동시키기 위한 제2 구동부(150)를 포함할 수 있다. 구체적으로, 상기 제2 구동부(150)는 상기 진공 패드들(120)이 상기 캐리어 웨이퍼(20)에 밀착되도록 상기 분리 헤드(134)를 하방으로 이동시킬 수 있으며, 또한 상기 진공 패드들(120)이 상기 캐리어 웨이퍼(20)에 진공 흡착된 후 상기 분리 헤드(134)를 상방으로 이동시킬 수 있다.Referring again to FIG. 1, the wafer separating apparatus 100 may include a second driving unit 150 for moving the separating head 134 in a vertical direction. The second driving unit 150 may move the separation head 134 downward so that the vacuum pads 120 are closely contacted with the carrier wafer 20, The separation head 134 can be moved upward after being vacuum-adsorbed on the carrier wafer 20.

예를 들면, 상기 제2 구동부(150)로는 공압 실린더가 사용될 수 있으며, 상기 분리 헤드(134) 상부에 배치되는 베이스 플레이트(152) 상에 배치될 수 있다. 이 경우 상기 제2 구동부(150)는 제2 구동축(154)과 연결 플레이트(156)를 통해 상기 분리 헤드(134)와 연결될 수 있다. 상기 제2 구동축(154)은 상기 베이스 플레이트(152)를 관통하여 하방으로 연장될 수 있으며 상기 제2 구동축(154)의 하단부에 상기 분리 헤드(134)가 결합될 수 있다. 상기 연결 플레이트(156)는 상기 제2 구동축(154)의 상단부와 상기 제2 구동부(150)의 실린더 로드를 연결하기 위해 사용될 수 있다.For example, the second driving unit 150 may be a pneumatic cylinder, and may be disposed on a base plate 152 disposed on the separation head 134. In this case, the second driving unit 150 may be connected to the separating head 134 through the second driving shaft 154 and the connecting plate 156. The second driving shaft 154 may extend downward through the base plate 152 and the separating head 134 may be coupled to a lower end of the second driving shaft 154. The connecting plate 156 may be used to connect the upper end of the second driving shaft 154 with the cylinder rod of the second driving unit 150.

또한, 수직 방향으로 연장하는 가이드 부재(158)가 상기 베이스 플레이트(152)를 관통하도록 구성될 수 있으며 상기 가이드 부재(158)의 하단부는 상기 분리 헤드(134)와 연결될 수 있다. 상기 가이드 부재(158)는 상기 분리 헤드(134)의 수직 방향 이동을 안내하기 위해 사용될 수 있으며, 일 예로서, 가이드 로드와 리니어 부시가 상기 가이드 부재(158)로서 사용될 수 있다.A guide member 158 extending in the vertical direction may pass through the base plate 152 and a lower end of the guide member 158 may be connected to the separation head 134. The guide member 158 can be used to guide the vertical movement of the separation head 134, and as one example, a guide rod and a linear bushing can be used as the guide member 158.

본 발명의 일 실시예에 따르면, 상기 웨이퍼 분리 장치(100)는 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이의 점착제(30)의 점착력을 감소시키기 위한 자외선 램프(160)를 포함할 수 있다. 일 예로서, 상기 분리 헤드(134)의 하부면에는 복수의 자외선 램프들(160)이 상기 진공 패드들(120) 사이에 위치되도록 장착될 수 있다. 상기 자외선 램프들(160)은 상기 캐리어 웨이퍼(20) 상에 자외선을 조사하여 상기 점착제(30)를 경화 수축시킬 수 있으며, 이에 따라 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이의 점착력이 저하될 수 있다.According to an embodiment of the present invention, the wafer separating apparatus 100 includes an ultraviolet lamp 160 for reducing the adhesion of the adhesive 30 between the device wafer 10 and the carrier wafer 20 . In one example, a plurality of ultraviolet lamps 160 may be mounted on the lower surface of the separation head 134 so as to be positioned between the vacuum pads 120. The ultraviolet lamps 160 may cure and shrink the adhesive 30 by irradiating ultraviolet rays onto the carrier wafer 20 so that the adhesion between the device wafer 10 and the carrier wafer 20 Can be lowered.

도 3은 도 2에 도시된 자외선 램프들의 다른 예를 설명하기 위한 개략적인 구성도이다.FIG. 3 is a schematic diagram for explaining another example of the ultraviolet lamps shown in FIG. 2. FIG.

도 3을 참조하면, 상기 웨이퍼 분리 장치(100)는 상기 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20)를 상기 진공척(110) 상에 로드하고 또한 상기 진공척(110)으로부터 언로드하기 위한 웨이퍼 이송 로봇(170)을 포함할 수 있다. 일 예로서, 도시되지는 않았으나, 상기 진공척(110)은 웨이퍼 분리 챔버 내에 배치되고, 상기 웨이퍼 이송 로봇(170)은 상기 웨이퍼 분리 챔버와 연결된 웨이퍼 이송 챔버 내에 배치될 수 있다. 그러나, 상기와 다르게, 상기 웨이퍼 이송 로봇(170)은 상기 웨이퍼 분리 챔버 내에 배치될 수도 있다.Referring to FIG. 3, the wafer separating apparatus 100 includes a wafer transfer apparatus 100 for loading the device wafer 10 and the carrier wafer 20 onto the vacuum chuck 110 and for transferring the wafer for unloading from the vacuum chuck 110, And may include a robot 170. As an example, although not shown, the vacuum chuck 110 may be disposed within a wafer separation chamber, and the wafer transfer robot 170 may be disposed within a wafer transfer chamber connected to the wafer separation chamber. Alternatively, however, the wafer transfer robot 170 may be disposed in the wafer separation chamber.

본 발명의 다른 실시예에 따르면, 상기 웨이퍼 이송 로봇(170)의 상부에는 상기 점착제(30)의 점착력을 감소시키기 위한 자외선 램프들(162)이 배치될 수 있다. 상기 자외선 램프들(162)은 상기 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20)가 상기 웨이퍼 이송 로봇(170)에 의해 상기 진공척(110) 상으로 이송되는 동안 상기 캐리어 웨이퍼(20) 상으로 자외선을 조사할 수 있다.According to another embodiment of the present invention, ultraviolet lamps 162 for reducing the adhesive force of the adhesive 30 may be disposed on the wafer transfer robot 170. The ultraviolet lamps 162 are arranged on the carrier wafer 20 while the device wafer 10 and the carrier wafer 20 are being transferred onto the vacuum chuck 110 by the wafer transfer robot 170. [ . ≪ / RTI >

도 4 내지 도 8은 도 1에 도시된 웨이퍼 분리 장치를 이용하여 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하는 방법을 설명하기 위한 개략적인 구성도들이다.FIGS. 4 to 8 are schematic structural views for explaining a method of separating a carrier wafer from a device wafer using the wafer separating apparatus shown in FIG. 1. FIG.

도 4를 참조하면, 다이싱 테이프(12)를 이용하여 마운트 프레임(14)에 장착된 디바이스 웨이퍼(10) 및 캐리어 웨이퍼(10)가 상기 웨이퍼 이송 로봇(170)에 의해 상기 진공척(110) 및 서포트 부재들(112) 상에 로드될 수 있다. 이때, 도시된 바와 같이 상기 진공 패드들(120)은 상기 캐리어 웨이퍼(20)의 상부에 위치될 수 있으며 상기 캠들(132)의 회전 각도 조절에 의해 동일한 높이를 가질 수 있다.4, a device wafer 10 and a carrier wafer 10 mounted on a mount frame 14 using a dicing tape 12 are transferred onto the vacuum chuck 110 by the wafer transfer robot 170, And the support members 112. [0035] At this time, as shown in the drawing, the vacuum pads 120 may be positioned above the carrier wafer 20 and may have the same height by adjusting the rotation angle of the cams 132.

상기와 같이 분리 헤드(134)와 진공척(110) 사이에 상기 디바이스 웨이퍼(10) 및 상기 캐리어 웨이퍼(20)가 배치된 후 상기 자외선 램프들(160)이 점등될 수 있으며, 상기 자외선 램프들(160)로부터의 자외선 조사에 의해 상기 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20) 사이의 점착제(30)의 점착력이 감소될 수 있다.After the device wafer 10 and the carrier wafer 20 are disposed between the separation head 134 and the vacuum chuck 110 as described above, the ultraviolet lamps 160 may be turned on, The adhesive force of the adhesive 30 between the device wafer 10 and the carrier wafer 20 can be reduced by ultraviolet irradiation from the ultraviolet ray irradiation unit 160.

다른 예로서, 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)가 상기 진공척(110) 상으로 로드되는 동안 즉 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)가 상기 웨이퍼 이송 로봇(170)에 의해 이송되는 동안 상기 캐리어 웨이퍼(20) 상으로 상기 자외선 램프들(162)로부터 자외선이 조사될 수도 있다.As another example, while the device wafer 10 and the carrier wafer 20 are being loaded onto the vacuum chuck 110, that is, the device wafer 10 and the carrier wafer 20 are transferred to the wafer transfer robot 170 The ultraviolet rays may be irradiated from the ultraviolet lamps 162 onto the carrier wafer 20.

도 5를 참조하면, 상기 제2 구동부(150)에 의해 상기 분리 헤드(134)가 하강될 수 있으며, 이에 따라 상기 진공 패드들(120)이 상기 캐리어 웨이퍼(20)의 상부면에 진공 흡착될 수 있다.5, the separation head 134 can be lowered by the second driving unit 150 so that the vacuum pads 120 are vacuum-adsorbed on the upper surface of the carrier wafer 20 .

이어서, 도 6 및 도 7에 도시된 바와 같이, 상기 모터(140)에 의해 상기 캠들(132)이 동시에 회전될 수 있으며, 이에 따라 상기 캐리어 웨이퍼(20)의 일측으로부터 타측 방향으로 상기 캐리어 웨이퍼(20)가 상기 디바이스 웨이퍼(10)로부터 분리될 수 있다. 특히, 상기 자외선 조사에 의해 상기 점착제(30)의 점착력이 감소된 상태이므로 상기 캐리어 웨이퍼(20)의 분리가 용이하게 진행될 수 있다.6 and 7, the cams 132 may be simultaneously rotated by the motor 140, thereby moving the carrier wafer 20 from one side of the carrier wafer 20 to the other side thereof 20 can be detached from the device wafer 10. [ Particularly, since the adhesive force of the pressure sensitive adhesive 30 is reduced by the ultraviolet ray irradiation, the carrier wafer 20 can be easily separated.

상기와 같이 디바이스 웨이퍼(10)로부터 상기 캐리어 웨이퍼(20)가 충분히 분리된 후 도 8에 도시된 바와 같이 상기 분리 헤드(134)가 상기 제2 구동부(150)에 의해 상승될 수 있으며, 이에 따라 상기 디바이스 웨이퍼(10)로부터 상기 캐리어 웨이퍼(20)의 분리가 완료될 수 있다.After the carrier wafer 20 is sufficiently separated from the device wafer 10 as described above, the separation head 134 can be lifted by the second driving unit 150 as shown in FIG. 8, The separation of the carrier wafer 20 from the device wafer 10 can be completed.

다른 예로서, 상기 캐리어 웨이퍼(20)의 양측 에지 부위들로부터 중심 부위를 향하여 상기 캐리어 웨이퍼(20)의 박리가 진행되도록 상기 캠들(132)의 회전 각도가 조절될 수도 있다.As another example, the rotation angle of the cams 132 may be adjusted so that the peeling of the carrier wafer 20 proceeds from both edge portions of the carrier wafer 20 toward the central portion.

상술한 바와 같은 본 발명의 실시예들에 따른 웨이퍼 분리 장치(100)는, 디바이스 웨이퍼(10)를 파지하기 위한 진공척(110)과, 상기 디바이스 웨이퍼(10) 상에 부착된 캐리어 웨이퍼(20)를 진공 흡착하기 위한 복수의 진공 패드들(120)과, 상기 진공 패드들(120)을 순차적으로 상승시켜 상기 디바이스 웨이퍼(10)로부터 상기 캐리어 웨이퍼(20)를 분리하기 위한 구동부(130)를 포함할 수 있다.The wafer separating apparatus 100 according to the embodiments of the present invention as described above includes a vacuum chuck 110 for holding the device wafer 10 and a carrier wafer 20 mounted on the device wafer 10 And a driving unit 130 for sequentially moving the vacuum pads 120 and separating the carrier wafer 20 from the device wafer 10. The vacuum pads 120 are disposed on the vacuum pads 120, .

특히, 상기 구동부(130)는, 복수의 캠들(132)과, 상기 캠들(132) 상에 배치되는 복수의 캠 팔로워들(138)과, 상기 캠 팔로워들(138)과 연결되어 수직 방향으로 연장하는 구동축들(136) 및 상기 캠들(132)을 회전시키기 위한 모터(140)를 포함할 수 있으며, 상기 진공 패드들(120)은 상기 구동축들(136)의 하단부에 연결될 수 있다.In particular, the driving unit 130 includes a plurality of cams 132, a plurality of cam followers 138 disposed on the cams 132, and a plurality of cam followers 138 connected to the cam followers 138 to extend in the vertical direction And a motor 140 for rotating the cams 132. The vacuum pads 120 may be connected to the lower ends of the driving shafts 136. [

결과적으로, 상기 캐리어 웨이퍼(20)를 상기 디바이스 웨이퍼(10)로부터 분리하는 동안 상기 캐리어 웨이퍼(20) 및 상기 디바이스 웨이퍼(10)의 손상이 크게 감소될 수 있다. 또한, 상기 진공 패드들(120)과 상기 캐리어 웨이퍼(20) 사이의 높이 정렬에 소요되는 시간이 크게 감소될 수 있으며, 이에 따라 상기 캐리어 웨이퍼(20)의 분리에 소요되는 전체 공정 시간이 크게 단축될 수 있다.As a result, damage to the carrier wafer 20 and the device wafer 10 can be greatly reduced while separating the carrier wafer 20 from the device wafer 10. [ In addition, the time required to align the vacuum pads 120 with the carrier wafer 20 can be greatly reduced, thereby shortening the entire process time required for separation of the carrier wafer 20 .

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit and scope of the invention as defined in the following claims. It will be understood.

10 : 디바이스 웨이퍼 20 : 캐리어 웨이퍼
30 : 점착제 100 : 웨이퍼 분리 장치
110 : 진공척 112 : 제2 진공척
120 : 진공 패드 130 : 구동부
132 : 캠 134 : 분리 헤드
136 : 구동축 138 : 캠 팔로워
140 : 모터 142 : 벨트
146 : 탄성 부재 150 : 제2 구동부
152 : 베이스 플레이트 154 : 제2 구동축
156 : 연결 플레이트 158 : 가이드 부재
160, 162 : 자외선 램프 170 : 웨이퍼 이송 로봇
10: device wafer 20: carrier wafer
30: pressure-sensitive adhesive 100: wafer separating device
110: vacuum chuck 112: second vacuum chuck
120: Vacuum pad 130:
132: cam 134: separation head
136: drive shaft 138: cam follower
140: motor 142: belt
146: elastic member 150: second driving part
152: base plate 154: second drive shaft
156: connecting plate 158: guide member
160, 162: ultraviolet lamp 170: wafer transfer robot

Claims (10)

반도체 다이들을 포함하는 디바이스 웨이퍼를 파지하기 위한 진공척;
상기 디바이스 웨이퍼 상에 부착된 캐리어 웨이퍼를 진공 흡착하기 위한 복수의 진공 패드들; 및
상기 진공 패드들을 상기 캐리어 웨이퍼의 일측으로부터 타측 방향으로 또는 양측 에지 부위들로부터 중심 방향으로 순차적으로 상승시켜 상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리하기 위한 구동부를 포함하되,
상기 구동부는 복수의 캠들을 이용하여 상기 진공 패드들을 순차적으로 상승시키는 것을 특징으로 하는 웨이퍼 분리 장치.
A vacuum chuck for holding a device wafer comprising semiconductor dies;
A plurality of vacuum pads for vacuum adsorbing carrier wafers deposited on the device wafer; And
And a driving unit for separating the carrier wafer from the device wafer by sequentially elevating the vacuum pads from one side of the carrier wafer to the other side or from both side edge parts to the center direction,
Wherein the driving unit sequentially raises the vacuum pads using a plurality of cams.
제1항에 있어서, 상기 구동부는,
상기 진공 패드들과 각각 연결되며 수직 방향으로 연장하는 복수의 구동축들;
상기 구동축들의 상부에 장착되며 상기 캠들 상에 배치되는 복수의 캠 팔로워들;
상기 구동축들이 수직 방향으로 이동 가능하도록 장착되는 분리 헤드; 및
상기 캠들을 회전시키기 위한 모터를 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.
The driving apparatus according to claim 1,
A plurality of drive shafts connected to the vacuum pads and extending in a vertical direction;
A plurality of cam followers mounted on the driving shafts and disposed on the cams;
A separation head mounted on the driving shafts so as to be movable in a vertical direction; And
And a motor for rotating the cams.
제2항에 있어서, 상기 구동부는 각각의 상기 구동축들에 하방으로 탄성 복원력을 인가하는 복수의 탄성 부재들을 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.The apparatus of claim 2, wherein the driving unit further comprises a plurality of elastic members for applying an elastic restoring force downward to the respective driving shafts. 제3항에 있어서, 상기 각각의 구동축들의 하단부에 상기 진공 패드들이 연결되며, 상기 진공 패드들과 상기 분리 헤드 사이에는 상기 탄성 부재들로서 기능하는 코일 스프링들이 배치되는 것을 특징으로 하는 웨이퍼 분리 장치.The apparatus of claim 3, wherein the vacuum pads are connected to a lower end of each of the drive shafts, and coil springs functioning as the elastic members are disposed between the vacuum pads and the separation head. 제2항에 있어서, 상기 분리 헤드를 수직 방향으로 이동시키기 위한 제2 구동부를 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.The apparatus of claim 2, further comprising a second driving unit for moving the separation head in a vertical direction. 제1항에 있어서, 상기 디바이스 웨이퍼는 다이싱 테이프 상에 부착된 상태로 제공되며, 상기 진공척은 상기 다이싱 테이프의 하부면을 진공 흡착하는 것을 특징으로 하는 웨이퍼 분리 장치.The apparatus of claim 1, wherein the device wafer is provided attached to a dicing tape, wherein the vacuum chuck vacuum adsorbs the lower surface of the dicing tape. 제6항에 있어서, 상기 다이싱 테이프가 장착되는 링 형태의 마운트 프레임을 지지하는 서포트 부재들을 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.The wafer separating apparatus according to claim 6, further comprising support members for supporting a ring-shaped mount frame on which the dicing tape is mounted. 제7항에 있어서, 상기 서포트 부재들은 수직 방향으로 이동 가능하게 구성되는 것을 특징으로 하는 웨이퍼 분리 장치.The wafer separating apparatus according to claim 7, wherein the support members are configured to be movable in a vertical direction. 제1항에 있어서, 상기 진공 패드들 사이에 배치되며 상기 캐리어 웨이퍼 상으로 자외선을 조사하기 위한 적어도 하나의 자외선 램프를 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.The apparatus of claim 1, further comprising at least one ultraviolet lamp disposed between the vacuum pads for irradiating ultraviolet light onto the carrier wafer. 제1항에 있어서, 상기 진공척 상으로 상기 디바이스 웨이퍼 및 상기 캐리어 웨이퍼를 이송하기 위한 웨이퍼 이송 로봇; 및
상기 웨이퍼 이송 로봇의 상부에 배치되며 상기 웨이퍼 이송 로봇에 의해 상기 디바이스 웨이퍼 및 상기 캐리어 웨이퍼가 이송되는 동안 상기 캐리어 웨이퍼 상으로 자외선을 조사하기 위한 적어도 하나의 자외선 램프를 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.
The apparatus of claim 1, further comprising: a wafer transfer robot for transferring the device wafer and the carrier wafer onto the vacuum chuck; And
Further comprising at least one ultraviolet lamp disposed on the wafer transfer robot for irradiating ultraviolet light onto the carrier wafer while the device wafer and the carrier wafer are transferred by the wafer transfer robot. Separating device.
KR1020160063576A 2016-05-24 2016-05-24 Wafer debonding apparatus KR102446955B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160063576A KR102446955B1 (en) 2016-05-24 2016-05-24 Wafer debonding apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160063576A KR102446955B1 (en) 2016-05-24 2016-05-24 Wafer debonding apparatus

Publications (2)

Publication Number Publication Date
KR20170132558A true KR20170132558A (en) 2017-12-04
KR102446955B1 KR102446955B1 (en) 2022-09-23

Family

ID=60921313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160063576A KR102446955B1 (en) 2016-05-24 2016-05-24 Wafer debonding apparatus

Country Status (1)

Country Link
KR (1) KR102446955B1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109159985A (en) * 2018-10-31 2019-01-08 许汝炜 Separator and packing machine
WO2020072422A1 (en) * 2018-10-04 2020-04-09 Corning Incorporated Apparatus for supporting debonding and debonding method using the same
KR20200041158A (en) * 2018-10-11 2020-04-21 주식회사 쿠온솔루션 Carrier delamination apparatus and method used in wafer delamination system
KR20200046937A (en) * 2018-10-26 2020-05-07 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20210052846A (en) * 2019-11-01 2021-05-11 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20210100980A (en) * 2020-02-07 2021-08-18 정라파엘 Wafer debonding unit and wafer detaching apparatus including the same
KR20210101822A (en) * 2020-02-11 2021-08-19 정라파엘 Wafer debonding unit and wafer detaching apparatus including the same
KR102323999B1 (en) * 2020-07-10 2021-11-09 주식회사 에이치와이씨코리아 Wafer peeling device
KR20220097096A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20220097097A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding apparatus
KR20220097095A (en) 2020-12-31 2022-07-07 세메스 주식회사 Ultraviolet irradiation apparatus
KR20220168372A (en) * 2021-06-16 2022-12-23 정라파엘 Method for separating a film
WO2023239047A1 (en) * 2022-06-10 2023-12-14 주식회사 엘지에너지솔루션 Lifting device and electrode sheet transport device using same
CN117293063A (en) * 2023-11-22 2023-12-26 迈为技术(珠海)有限公司 Wafer debonding apparatus and debonding method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003025174A (en) * 2001-07-11 2003-01-29 Nec Yamagata Ltd Substrate suction method and substrate suction mechanism
JP2005116679A (en) * 2003-10-06 2005-04-28 Nitto Denko Corp Method of separating semiconductor wafer from support member and device using same
KR20080066370A (en) * 2007-01-12 2008-07-16 주식회사 탑 엔지니어링 Substrate adsorption apparatus
KR20150135920A (en) * 2014-05-26 2015-12-04 세메스 주식회사 Apparatus for inspecting a wafer
KR20150139436A (en) * 2014-06-03 2015-12-11 도쿄엘렉트론가부시키가이샤 Peeling device, peeling system, peeling method, and computer storage medium

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003025174A (en) * 2001-07-11 2003-01-29 Nec Yamagata Ltd Substrate suction method and substrate suction mechanism
JP2005116679A (en) * 2003-10-06 2005-04-28 Nitto Denko Corp Method of separating semiconductor wafer from support member and device using same
KR20080066370A (en) * 2007-01-12 2008-07-16 주식회사 탑 엔지니어링 Substrate adsorption apparatus
KR20150135920A (en) * 2014-05-26 2015-12-04 세메스 주식회사 Apparatus for inspecting a wafer
KR20150139436A (en) * 2014-06-03 2015-12-11 도쿄엘렉트론가부시키가이샤 Peeling device, peeling system, peeling method, and computer storage medium

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11479030B2 (en) 2018-10-04 2022-10-25 Corning Incorporated Apparatus for supporting debonding and debonding method using the same
WO2020072422A1 (en) * 2018-10-04 2020-04-09 Corning Incorporated Apparatus for supporting debonding and debonding method using the same
KR20200038753A (en) * 2018-10-04 2020-04-14 코닝 인코포레이티드 Apparatus of supporting debonding and method for debonding using the same
KR20200041158A (en) * 2018-10-11 2020-04-21 주식회사 쿠온솔루션 Carrier delamination apparatus and method used in wafer delamination system
KR20200046937A (en) * 2018-10-26 2020-05-07 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
CN109159985A (en) * 2018-10-31 2019-01-08 许汝炜 Separator and packing machine
KR20210052846A (en) * 2019-11-01 2021-05-11 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20210100980A (en) * 2020-02-07 2021-08-18 정라파엘 Wafer debonding unit and wafer detaching apparatus including the same
KR20210101822A (en) * 2020-02-11 2021-08-19 정라파엘 Wafer debonding unit and wafer detaching apparatus including the same
KR102323999B1 (en) * 2020-07-10 2021-11-09 주식회사 에이치와이씨코리아 Wafer peeling device
KR20220097096A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20220097097A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding apparatus
KR20220097095A (en) 2020-12-31 2022-07-07 세메스 주식회사 Ultraviolet irradiation apparatus
KR20220168372A (en) * 2021-06-16 2022-12-23 정라파엘 Method for separating a film
WO2023239047A1 (en) * 2022-06-10 2023-12-14 주식회사 엘지에너지솔루션 Lifting device and electrode sheet transport device using same
CN117293063A (en) * 2023-11-22 2023-12-26 迈为技术(珠海)有限公司 Wafer debonding apparatus and debonding method
CN117293063B (en) * 2023-11-22 2024-03-08 迈为技术(珠海)有限公司 Wafer debonding apparatus and debonding method

Also Published As

Publication number Publication date
KR102446955B1 (en) 2022-09-23

Similar Documents

Publication Publication Date Title
KR102446955B1 (en) Wafer debonding apparatus
TWI621202B (en) System and carrier for holding workpiece and method of aligning workpiece within carrier
KR102654506B1 (en) Wafer debonding method and wafer debonding apparatus
US7980287B2 (en) Adhesive chuck and substrate bonding apparatus
TWI771336B (en) Positioning device
KR20160018410A (en) Joining apparatus, joining system, joining method and storage medium for computer
JP2002540624A (en) Wafer lifting around the periphery
JP2010040879A (en) Imprinting device and imprinting method
KR101013019B1 (en) Wafer transfer system and transfer method
JP2013065757A (en) Pickup method of semiconductor chip and pickup device of semiconductor chip
KR20130090827A (en) Substrate transport method and substrate transport apparatus
KR102339362B1 (en) Wafer debonding unit and wafer detaching apparatus including the same
US20160158939A1 (en) Carrying method and bonding apparatus
TW202003740A (en) Application apparatus and application method for applying protective tape to a semiconductor wafer
JP5447110B2 (en) Substrate laminating apparatus, laminated semiconductor manufacturing method, laminated semiconductor, and substrate laminating method
KR102481259B1 (en) Substrate processing apparatus and manufacturing method of substrate holding unit
KR102312389B1 (en) Wafer debonding unit and wafer detaching apparatus including the same
KR20200021138A (en) Wafer jig for bonding wafers and wafer bonding equipment including the wafer jig
KR101403850B1 (en) System for holding large scale substrate
KR101135355B1 (en) Substrate lifting apparatus
KR20090048202A (en) Apparatus for chucking a substrate and method of chucking the substrate
KR20170087148A (en) Apparatus For Picking Up Die And Method of Driving The Same
KR102288929B1 (en) Wafer debonding method and wafer debonding apparatus
KR20200074544A (en) wafer size expanding apparatus and wafer alignment apparatus including the same
WO2023189648A1 (en) Substrate bonding apparatus and substrate bonding method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right