KR102654506B1 - Wafer debonding method and wafer debonding apparatus - Google Patents

Wafer debonding method and wafer debonding apparatus Download PDF

Info

Publication number
KR102654506B1
KR102654506B1 KR1020180128768A KR20180128768A KR102654506B1 KR 102654506 B1 KR102654506 B1 KR 102654506B1 KR 1020180128768 A KR1020180128768 A KR 1020180128768A KR 20180128768 A KR20180128768 A KR 20180128768A KR 102654506 B1 KR102654506 B1 KR 102654506B1
Authority
KR
South Korea
Prior art keywords
wafer
chuck
vacuum chuck
driving unit
carrier
Prior art date
Application number
KR1020180128768A
Other languages
Korean (ko)
Other versions
KR20200046937A (en
Inventor
이경홍
전병균
노희범
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020180128768A priority Critical patent/KR102654506B1/en
Publication of KR20200046937A publication Critical patent/KR20200046937A/en
Application granted granted Critical
Publication of KR102654506B1 publication Critical patent/KR102654506B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼 분리 방법 및 장치가 개시된다. 자외선 조사 모듈은 디바이스 웨이퍼와 캐리어 웨이퍼가 임시로 본딩된 웨이퍼 접합체 상에 자외선 광을 조사하여 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 접합력을 감소시키며, 카메라 모듈은 상기 웨이퍼 접합체의 가장자리 부위를 촬상하여 상기 자외선 광의 조사에 의한 발포 상태에 따라 상기 접합력이 가장 낮은 부위를 검출한다. 하부 진공척은 상기 디바이스 웨이퍼를 진공 흡착하고, 상부 진공척은 상기 캐리어 웨이퍼를 진공 흡착하며, 상부 척 구동부는 상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이를 분리시키기 위해 상기 상부 진공척을 상승시킨다.A wafer separation method and apparatus are disclosed. The ultraviolet irradiation module irradiates ultraviolet light on the wafer assembly where the device wafer and the carrier wafer are temporarily bonded to reduce the bonding force between the device wafer and the carrier wafer, and the camera module captures the edge of the wafer assembly to capture the The area with the lowest bonding force is detected according to the foaming state caused by irradiation of ultraviolet light. The lower vacuum chuck vacuum-sucks the device wafer, the upper vacuum chuck vacuum-sucks the carrier wafer, and the upper chuck driving unit vacuums the upper vacuum chuck to separate the device wafer and the carrier wafer from the area where the bonding force is lowest. Raise the chuck.

Description

웨이퍼 분리 방법 및 웨이퍼 분리 장치{WAFER DEBONDING METHOD AND WAFER DEBONDING APPARATUS}Wafer separation method and wafer separation device {WAFER DEBONDING METHOD AND WAFER DEBONDING APPARATUS}

본 발명의 실시예들은 웨이퍼 분리 방법 및 웨이퍼 분리 장치에 관한 것이다. 보다 상세하게는, 반도체 다이들을 포함하는 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하기 위한 방법 및 장치에 관한 것이다.Embodiments of the present invention relate to a wafer separation method and wafer separation device. More specifically, it relates to a method and apparatus for separating a carrier wafer from a device wafer containing semiconductor dies.

일반적으로 반도체 소자들은 일련의 제조 공정들을 반복적으로 수행함으로써 반도체 기판으로서 사용되는 실리콘 웨이퍼 상에 형성될 수 있다. 상기 반도체 소자들이 형성된 웨이퍼는 다이싱 공정을 통해 복수의 다이들로 분할될 수 있으며, 상기 다이들은 기판 상에 탑재된 후 몰딩 공정을 통해 반도체 패키지들로 제조될 수 있다.Generally, semiconductor devices can be formed on a silicon wafer used as a semiconductor substrate by repeatedly performing a series of manufacturing processes. The wafer on which the semiconductor devices are formed can be divided into a plurality of dies through a dicing process, and the dies can be mounted on a substrate and then manufactured into semiconductor packages through a molding process.

한편, 상기 웨이퍼 상에 반도체 소자들을 형성한 후 상기 웨이퍼의 두께를 감소시키기 위한 백그라인딩 공정이 수행될 수 있다. 상기 백그라인딩 공정에 의해 두께가 얇아진 웨이퍼는 통상 50㎛ 이하의 얇은 두께를 가질 수 있으며, 상기와 같이 두께가 얇아진 웨이퍼의 핸들링을 용이하게 하기 위해 상기 웨이퍼(이하, 캐리어 웨이퍼와의 구분을 위해 ‘디바이스 웨이퍼’라 함) 상에는 유리 또는 실리콘과 같은 물질로 이루어지는 캐리어 웨이퍼가 접합층을 통해 본딩될 수 있으며, 다이싱 테이프를 통해 대략 원형 링 형태의 마운트 프레임에 장착될 수 있다.Meanwhile, after forming semiconductor devices on the wafer, a backgrinding process may be performed to reduce the thickness of the wafer. The wafer whose thickness has been reduced by the back grinding process may typically have a thickness of 50㎛ or less, and to facilitate handling of the wafer whose thickness has been reduced as described above, the wafer (hereinafter, 'to distinguish it from the carrier wafer') A carrier wafer made of a material such as glass or silicon may be bonded onto the wafer (referred to as a 'device wafer') through a bonding layer, and may be mounted on a mount frame in the shape of a roughly circular ring through a dicing tape.

상기와 같이 디바이스 웨이퍼 상에 부착된 캐리어 웨이퍼는 후속 공정을 위해 상기 디바이스 웨이퍼로부터 분리될 수 있다. 상기 디바이스 웨이퍼로부터 캐리어 웨이퍼를 분리하는 공정(debonding process) 쐐기 형태의 삽입 부재를 이용하여 분리 개시점을 형성하고 상기 분리 개시점으로부터 상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리하는 방법과, 자외선 조사, 레이저 조사, 가열 등의 방법으로 디바이스 웨이퍼와 캐리어 웨이퍼 사이의 접합 강도를 감소시킨 후 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼로부터 분리하는 방법이 있다. 그러나, 상기 접합 강도가 충분히 감소되지 않은 경우 상기 캐리어 웨이퍼를 상기 디바이스 웨이퍼로부터 분리시키지 못하는 문제점이 있다.The carrier wafer attached to the device wafer as described above may be separated from the device wafer for subsequent processing. A debonding process for separating the carrier wafer from the device wafer, forming a separation starting point using a wedge-shaped insertion member, and separating the carrier wafer from the device wafer from the separation starting point, using ultraviolet irradiation and laser There is a method of reducing the bonding strength between the device wafer and the carrier wafer by irradiation, heating, etc., and then separating the carrier wafer from the device wafer. However, if the bonding strength is not sufficiently reduced, there is a problem in that the carrier wafer cannot be separated from the device wafer.

대한민국 공개특허공보 제10-2012-0104666호 (공개일자 2012년 09월 24일)Republic of Korea Patent Publication No. 10-2012-0104666 (publication date: September 24, 2012) 대한민국 등록특허공보 제10-1503326호 (등록일자 2015년 03월 11일)Republic of Korea Patent Publication No. 10-1503326 (registration date March 11, 2015) 대한민국 등록특허공보 제10-1617316호 (등록일자 2016년 04월 26일)Republic of Korea Patent Publication No. 10-1617316 (registration date April 26, 2016)

본 발명의 실시예들은 디바이스 웨이퍼로부터 캐리어 웨이퍼를 용이하게 분리할 수 있는 웨이퍼 분리 방법 및 웨이퍼 분리 장치를 제공하는데 그 목적이 있다.The purpose of embodiments of the present invention is to provide a wafer separation method and a wafer separation device that can easily separate a carrier wafer from a device wafer.

상기 목적을 달성하기 위한 본 발명의 일 측면에 따른 웨이퍼 분리 방법은, 디바이스 웨이퍼와 캐리어 웨이퍼가 임시로 본딩된 웨이퍼 접합체 상에 자외선 광을 조사하여 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 접합력을 감소시키는 단계와, 상기 웨이퍼 접합체의 가장자리 부위를 촬상하여 상기 자외선 광의 조사에 의한 발포 상태에 따라 상기 접합력이 가장 낮은 부위를 검출하는 단계와, 상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이를 분리시키는 단계를 포함할 수 있다.The wafer separation method according to one aspect of the present invention for achieving the above object is to reduce the bonding force between the device wafer and the carrier wafer by irradiating ultraviolet light on the wafer bonded body where the device wafer and the carrier wafer are temporarily bonded. A step of imaging an edge portion of the wafer bonded body to detect a portion with the lowest bonding force according to a foaming state caused by irradiation of the ultraviolet light, and separating the device wafer and the carrier wafer from the portion with the lowest bonding force. It may include a separation step.

본 발명의 일부 실시예들에 따르면, 상기 웨이퍼 접합체의 가장자리 부위 상에 촬상을 위한 카메라 유닛을 배치하고 상기 웨이퍼 접합체를 회전시키면서 상기 웨이퍼 접합체의 가장자리 부위를 촬상할 수 있다.According to some embodiments of the present invention, a camera unit for imaging may be placed on an edge of the wafer assembly, and the edge of the wafer assembly may be captured while rotating the wafer assembly.

상기 목적을 달성하기 위한 본 발명의 다른 측면에 따른 웨이퍼 분리 장치는, 디바이스 웨이퍼와 캐리어 웨이퍼가 임시로 본딩된 웨이퍼 접합체 상에 자외선 광을 조사하여 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 접합력을 감소시키는 자외선 조사 모듈과, 상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리시키기 위한 웨이퍼 분리 모듈과, 상기 자외선 조사 모듈과 상기 웨이퍼 분리 모듈 사이에서 상기 웨이퍼 접합체를 이송하는 이송 로봇을 포함할 수 있으며, 상기 웨이퍼 분리 모듈은, 상기 자외선 광의 조사에 의한 발포 상태에 따라 상기 접합력이 가장 낮은 부위를 검출하기 위해 상기 웨이퍼 접합체의 가장자리 부위를 촬상하는 카메라 유닛을 포함하고, 상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이를 분리시킬 수 있다.In order to achieve the above object, the wafer separation device according to another aspect of the present invention reduces the bonding force between the device wafer and the carrier wafer by irradiating ultraviolet light on the wafer bonded body where the device wafer and the carrier wafer are temporarily bonded. It may include an ultraviolet irradiation module, a wafer separation module for separating the carrier wafer from the device wafer, and a transfer robot for transferring the wafer assembly between the ultraviolet ray irradiation module and the wafer separation module, and the wafer separation module. It includes a camera unit that captures an edge portion of the wafer bonded body to detect a portion with the lowest bonding force according to a foaming state caused by irradiation of the ultraviolet light, and the device wafer and the carrier are separated from the portion with the lowest bonding force. Wafers can be separated.

본 발명의 일부 실시예들에 따르면, 상기 웨이퍼 분리 모듈은, 상기 캐리어 웨이퍼가 위를 향하도록 상기 웨이퍼 접합체를 지지하고 상기 디바이스 웨이퍼를 진공 흡착하기 위한 하부 진공척과, 상기 하부 진공척의 상부에 배치되며 상기 캐리어 웨이퍼를 진공 흡착하기 위한 상부 진공척과, 상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이가 분리되도록 상기 상부 진공척을 상승시키는 상부 척 구동부를 더 포함할 수 있다.According to some embodiments of the present invention, the wafer separation module includes a lower vacuum chuck for supporting the wafer assembly so that the carrier wafer faces upward and vacuum adsorbing the device wafer, and is disposed on an upper part of the lower vacuum chuck, It may further include an upper vacuum chuck for vacuum suction of the carrier wafer, and an upper chuck driving unit that raises the upper vacuum chuck to separate the device wafer and the carrier wafer from the area where the bonding force is lowest.

본 발명의 일부 실시예들에 따르면, 상기 웨이퍼 분리 모듈은, 상기 카메라 유닛과 상기 상부 진공척이 상기 하부 진공척 상의 웨이퍼 접합체의 상부에 순차적으로 위치되도록 상기 카메라 유닛과 상기 상부 진공척을 수평 방향으로 이동시키는 수평 구동부를 더 포함할 수 있다.According to some embodiments of the present invention, the wafer separation module moves the camera unit and the upper vacuum chuck in a horizontal direction so that the camera unit and the upper vacuum chuck are sequentially positioned on the upper part of the wafer assembly on the lower vacuum chuck. It may further include a horizontal driving part that moves to .

본 발명의 일부 실시예들에 따르면, 상기 웨이퍼 분리 장치는 상기 하부 진공척을 회전시키기 위한 하부 척 구동부를 더 포함할 수 있으며, 상기 하부 척 구동부는 상기 카메라 유닛에 의해 상기 웨이퍼 접합체의 가장자리 부위가 촬상되도록 상기 하부 진공척을 회전시킬 수 있다.According to some embodiments of the present invention, the wafer separation device may further include a lower chuck driving unit for rotating the lower vacuum chuck, wherein the lower chuck driving unit moves an edge portion of the wafer assembly by the camera unit. The lower vacuum chuck can be rotated to capture images.

본 발명의 일부 실시예들에 따르면, 상기 상부 진공척은 수평 방향으로 배치되는 회전축을 통해 회전 가능하게 구성되고, 상기 하부 척 구동부는 상기 접합력이 가장 낮은 부위가 상기 회전축으로부터 가장 멀리 이격되도록 상기 하부 진공척을 회전시키며, 상기 상부 척 구동부는 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이가 분리되도록 상기 상부 진공척을 상방으로 회전시킬 수 있다.According to some embodiments of the present invention, the upper vacuum chuck is configured to be rotatable through a rotation axis disposed in a horizontal direction, and the lower chuck driving unit is configured to rotate the lower chuck so that the area with the lowest bonding force is spaced furthest from the rotation axis. By rotating the vacuum chuck, the upper chuck driving unit may rotate the upper vacuum chuck upward to separate the device wafer and the carrier wafer.

본 발명의 일부 실시예들에 따르면, 상기 웨이퍼 분리 장치는 상기 상부 척 구동부를 수직 방향으로 이동시키기 위한 수직 구동부를 더 포함할 수 있다.According to some embodiments of the present invention, the wafer separation device may further include a vertical driving unit for moving the upper chuck driving unit in the vertical direction.

상술한 바와 같은 본 발명의 실시예들에 따르면, 자외선 광의 조사에 의해 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 접합력이 감소될 수 있다. 상기 자외선 광의 조사 이후 상기 웨이퍼 접합체는 하부 진공척 상으로 이송될 수 있으며, 상기 카메라 유닛은 상기 웨이퍼 접합체의 가장자리 부위를 촬상하여 상기 자외선 광의 조사에 의해 접합력이 가장 많이 감소된 부위 즉 접합력이 가장 낮은 부위를 검출할 수 있다. 상기와 같이 접합력이 가장 낮은 부위가 검출된 후 상기 상부 진공척이 상기 캐리어 웨이퍼를 진공 흡착할 수 있으며, 상기 상부 척 구동부는 상기 접합력이 가장 낮은 부위로부터 상기 캐리어 웨이퍼가 상기 디바이스 웨이퍼로부터 분리되도록 상기 상부 진공척을 상방으로 회전시킬 수 있다.According to the embodiments of the present invention as described above, the bonding force between the device wafer and the carrier wafer may be reduced by irradiation of ultraviolet light. After irradiation of the ultraviolet light, the wafer bonded body can be transferred onto a lower vacuum chuck, and the camera unit captures an edge portion of the wafer bonded body to determine the area where the bonding force is reduced the most by irradiation of the ultraviolet light, that is, the bonding force is lowest. The area can be detected. After the area with the lowest bonding force is detected as described above, the upper vacuum chuck may vacuum adsorb the carrier wafer, and the upper chuck driver may separate the carrier wafer from the device wafer from the area with the lowest bonding force. The upper vacuum chuck can be rotated upward.

상기와 같이 디바이스 웨이퍼와 캐리어 웨이퍼 사이의 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이가 분리될 수 있으므로 종래 기술에 비하여 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 분리가 매우 용이하게 수행될 수 있다.As described above, since the device wafer and the carrier wafer can be separated from the area where the bonding force between the device wafer and the carrier wafer is lowest, the separation between the device wafer and the carrier wafer can be performed very easily compared to the prior art. there is.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 분리 장치를 설명하기 위한 개략적인 평면도이다.
도 2는 도 1에 도시된 자외선 조사 모듈을 설명하기 위한 개략적인 정면도이다.
도 3은 도 1에 도시된 카메라 유닛과 하부 진공척을 설명하기 위한 개략적인 측면도이다.
도 4는 도 1에 도시된 상부 진공척을 설명하기 위한 개략적인 정면도이다.
도 5 및 도 6은 도 4에 도시된 상부 진공척의 동작을 설명하기 위한 개략적인 정면도들이다.
1 is a schematic plan view illustrating a wafer separation device according to an embodiment of the present invention.
FIG. 2 is a schematic front view for explaining the ultraviolet irradiation module shown in FIG. 1.
FIG. 3 is a schematic side view for explaining the camera unit and lower vacuum chuck shown in FIG. 1.
FIG. 4 is a schematic front view illustrating the upper vacuum chuck shown in FIG. 1.
Figures 5 and 6 are schematic front views for explaining the operation of the upper vacuum chuck shown in Figure 4.

이하, 본 발명의 실시예들은 첨부 도면들을 참조하여 상세하게 설명된다. 그러나, 본 발명은 하기에서 설명되는 실시예들에 한정된 바와 같이 구성되어야만 하는 것은 아니며 이와 다른 여러 가지 형태로 구체화될 수 있을 것이다. 하기의 실시예들은 본 발명이 온전히 완성될 수 있도록 하기 위하여 제공된다기보다는 본 발명의 기술 분야에서 숙련된 당업자들에게 본 발명의 범위를 충분히 전달하기 위하여 제공된다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention does not have to be configured as limited to the embodiments described below and may be embodied in various other forms. The following examples are not provided to fully complete the present invention, but rather are provided to fully convey the scope of the present invention to those skilled in the art.

본 발명의 실시예들에서 하나의 요소가 다른 하나의 요소 상에 배치되는 또는 연결되는 것으로 설명되는 경우 상기 요소는 상기 다른 하나의 요소 상에 직접 배치되거나 연결될 수도 있으며, 다른 요소들이 이들 사이에 개재될 수도 있다. 이와 다르게, 하나의 요소가 다른 하나의 요소 상에 직접 배치되거나 연결되는 것으로 설명되는 경우 그들 사이에는 또 다른 요소가 있을 수 없다. 다양한 요소들, 조성들, 영역들, 층들 및/또는 부분들과 같은 다양한 항목들을 설명하기 위하여 제1, 제2, 제3 등의 용어들이 사용될 수 있으나, 상기 항목들은 이들 용어들에 의하여 한정되지는 않을 것이다.In embodiments of the present invention, when one element is described as being disposed or connected to another element, the element may be directly disposed or connected to the other element, and other elements may be interposed between them. It could be. Alternatively, if one element is described as being placed directly on or connected to another element, there cannot be another element between them. The terms first, second, third, etc. may be used to describe various items such as various elements, compositions, regions, layers and/or parts, but the items are not limited by these terms. won't

본 발명의 실시예들에서 사용된 전문 용어는 단지 특정 실시예들을 설명하기 위한 목적으로 사용되는 것이며, 본 발명을 한정하기 위한 것은 아니다. 또한, 달리 한정되지 않는 이상, 기술 및 과학 용어들을 포함하는 모든 용어들은 본 발명의 기술 분야에서 통상적인 지식을 갖는 당업자에게 이해될 수 있는 동일한 의미를 갖는다. 통상적인 사전들에서 한정되는 것들과 같은 상기 용어들은 관련 기술과 본 발명의 설명의 문맥에서 그들의 의미와 일치하는 의미를 갖는 것으로 해석될 것이며, 명확히 한정되지 않는 한 이상적으로 또는 과도하게 외형적인 직감으로 해석되지는 않을 것이다.Technical terms used in the embodiments of the present invention are merely used for the purpose of describing specific embodiments and are not intended to limit the present invention. Additionally, unless otherwise limited, all terms, including technical and scientific terms, have the same meaning that can be understood by a person skilled in the art. The above terms, as defined in common dictionaries, will be construed to have meanings consistent with their meanings in the context of the relevant art and description of the invention, and unless explicitly defined, ideally or excessively by superficial intuition. It will not be interpreted.

본 발명의 실시예들은 본 발명의 이상적인 실시예들의 개략적인 도해들을 참조하여 설명된다. 이에 따라, 상기 도해들의 형상들로부터의 변화들, 예를 들면, 제조 방법들 및/또는 허용 오차들의 변화는 충분히 예상될 수 있는 것들이다. 따라서, 본 발명의 실시예들은 도해로서 설명된 영역들의 특정 형상들에 한정된 바대로 설명되어지는 것은 아니라 형상들에서의 편차를 포함하는 것이며, 도면들에 설명된 요소들은 전적으로 개략적인 것이며 이들의 형상은 요소들의 정확한 형상을 설명하기 위한 것이 아니며 또한 본 발명의 범위를 한정하고자 하는 것도 아니다.Embodiments of the invention are described with reference to schematic illustrations of ideal embodiments of the invention. Accordingly, changes from the shapes of the illustrations, for example changes in manufacturing methods and/or tolerances, are fully to be expected. Accordingly, the embodiments of the present invention are not intended to be described as limited to the specific shapes of the regions illustrated but are intended to include deviations in the shapes, and the elements depicted in the drawings are entirely schematic and represent their shapes. is not intended to describe the exact shape of the elements nor is it intended to limit the scope of the present invention.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 분리 장치를 설명하기 위한 개략적인 평면도이고, 도 2는 도 1에 도시된 자외선 조사 모듈을 설명하기 위한 개략적인 정면도이며, 도 3은 도 1에 도시된 카메라 유닛과 하부 진공척을 설명하기 위한 개략적인 측면도이다.FIG. 1 is a schematic plan view for explaining a wafer separation device according to an embodiment of the present invention, FIG. 2 is a schematic front view for explaining the ultraviolet irradiation module shown in FIG. 1, and FIG. 3 is shown in FIG. 1. This is a schematic side view to explain the camera unit and the lower vacuum chuck.

도 1 내지 도 3을 참조하면, 본 발명의 일 실시예에 따른 웨이퍼 분리 장치(100)는 반도체 소자들이 형성된 디바이스 웨이퍼(10; 도 2 참조)로부터 캐리어 웨이퍼(20; 도 2 참조)를 분리하기 위해 사용될 수 있다. 상기 캐리어 웨이퍼(20)는 유리 또는 실리콘 등의 광투과 물질로 이루어질 수 있으며 접합층(30; 도 2 참조)을 통해 상기 디바이스 웨이퍼(10) 상에 부착될 수 있다. 상기 접합층(30)은 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)를 결합하기 위한 접합력을 제공할 수 있으며 상기 자외선 경화를 통해 상기 접합력이 감소될 수 있다. 특히, 도시되지는 않았으나, 상기 디바이스 웨이퍼(10) 상에는 접착제층을 통해 보호 필름(미도시)이 부착될 수 있으며, 상기 캐리어 웨이퍼(20)는 상기 접합층(30)에 의해 상기 보호 필름 상에 임시로 본딩될 수 있다.1 to 3, the wafer separation device 100 according to an embodiment of the present invention separates the carrier wafer 20 (see FIG. 2) from the device wafer 10 (see FIG. 2) on which semiconductor devices are formed. can be used for The carrier wafer 20 may be made of a light-transmitting material such as glass or silicon and may be attached to the device wafer 10 through a bonding layer 30 (see FIG. 2). The bonding layer 30 may provide bonding force for bonding the device wafer 10 and the carrier wafer 20, and the bonding force can be reduced through ultraviolet curing. In particular, although not shown, a protective film (not shown) may be attached to the device wafer 10 through an adhesive layer, and the carrier wafer 20 is attached to the protective film by the adhesive layer 30. Can be temporarily bonded.

상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20)가 임시로 본딩된 웨이퍼 접합체(2)는 다이싱 테이프(12) 상에 부착된 상태로 제공될 수 있다. 특히, 상기 디바이스 웨이퍼(10)가 상기 다이싱 테이프(12) 상에 부착될 수 있으며, 상기 다이싱 테이프(12)는 대략 원형 링 형태의 마운트 프레임(14)에 장착될 수 있다.The wafer assembly 2 in which the device wafer 10 and the carrier wafer 20 are temporarily bonded may be provided attached to the dicing tape 12 . In particular, the device wafer 10 may be attached on the dicing tape 12, and the dicing tape 12 may be mounted on a mount frame 14 that has a substantially circular ring shape.

상기 웨이퍼 분리 장치(100)는, 상기 웨이퍼 접합체(2) 상에 자외선 광을 조사하여 상기 접합력을 감소시키는 자외선 조사 모듈(110)과, 상기 디바이스 웨이퍼(10)로부터 상기 캐리어 웨이퍼(20)를 분리시키기 위한 웨이퍼 분리 모듈(120)과, 상기 자외선 조사 모듈(110)과 상기 웨이퍼 분리 모듈(120) 사이에서 상기 웨이퍼 접합체(2)를 이송하는 이송 로봇(118)을 포함할 수 있다.The wafer separation device 100 includes an ultraviolet irradiation module 110 that radiates ultraviolet light onto the wafer assembly 2 to reduce the bonding force, and separates the carrier wafer 20 from the device wafer 10. It may include a wafer separation module 120 for separating the wafer, and a transfer robot 118 for transferring the wafer assembly 2 between the ultraviolet ray irradiation module 110 and the wafer separation module 120.

상기 자외선 조사 모듈(110)은 상기 웨이퍼 접합체(2)를 지지하기 위한 웨이퍼 스테이지(112)와 상기 웨이퍼 접합체(2) 상으로 자외선 광을 조사하기 위한 자외선 램프(114)를 포함할 수 있다. 특히, 상기 웨이퍼 접합체(2)는 상기 캐리어 웨이퍼(20)가 위를 향하도록 상기 웨이퍼 스테이지(112) 상에 놓여질 수 있다.The ultraviolet irradiation module 110 may include a wafer stage 112 for supporting the wafer assembly 2 and an ultraviolet lamp 114 for irradiating ultraviolet light onto the wafer assembly 2. In particular, the wafer assembly 2 may be placed on the wafer stage 112 with the carrier wafer 20 facing upward.

본 발명의 일 실시예에 따르면, 상기 웨이퍼 분리 모듈(120)은 상기 자외선 광의 조사에 의한 발포 상태에 따라 상기 접합력이 가장 낮은 부위를 검출하기 위해 상기 웨이퍼 접합체(2)의 가장자리 부위를 촬상하는 카메라 유닛(122)을 포함할 수 있다. 예를 들면, 상기 접합층은 감압성 점착제와 광중합성 화합물 및 광개시제 등을 포함할 수 있으며, 상기 자외선 광의 조사에 의해 상기 광중합성 화합물 및 상기 광개시제 사이의 광중합 반응에 의해 상기 접합력이 감소될 수 있으며, 그 과정에서 기포가 발생될 수 있다. 상기 카메라 유닛(122)은 상기 기포의 양에 따라 상기 웨이퍼 접합체(2)의 가장자리 부위들 중에서 가장 접합력이 낮은 부위를 검출할 수 있다.According to one embodiment of the present invention, the wafer separation module 120 is a camera that captures images of the edge portion of the wafer assembly 2 to detect the portion with the lowest bonding force according to the foaming state caused by irradiation of the ultraviolet light. It may include unit 122. For example, the bonding layer may include a pressure-sensitive adhesive, a photopolymerizable compound, and a photoinitiator, and the bonding force may be reduced by a photopolymerization reaction between the photopolymerizable compound and the photoinitiator upon irradiation of ultraviolet light. , bubbles may be generated in the process. The camera unit 122 may detect the area with the lowest bonding force among the edge areas of the wafer bonded body 2 according to the amount of air bubbles.

예를 들면, 상기 웨이퍼 분리 모듈(120)은 상기 캐리어 웨이퍼(20)가 위를 향하도록 상기 웨이퍼 접합체(2)를 지지하고 상기 디바이스 웨이퍼(10)를 진공 흡착하기 위한 하부 진공척(124)을 포함할 수 있다. 상기 웨이퍼 접합체(2)는 상기 이송 로봇(118)에 의해 상기 자외선 조사 모듈(110)로부터 상기 하부 진공척(124) 상으로 이송될 수 있으며, 상기 하부 진공척(124)은 상기 디바이스 웨이퍼(10)가 부착된 다이싱 테이프(12)를 진공 흡착하기 위한 진공홀들(미도시)을 구비할 수 있다.For example, the wafer separation module 120 supports the wafer assembly 2 so that the carrier wafer 20 faces upward and includes a lower vacuum chuck 124 for vacuum suction of the device wafer 10. It can be included. The wafer assembly 2 may be transferred from the ultraviolet ray irradiation module 110 onto the lower vacuum chuck 124 by the transfer robot 118, and the lower vacuum chuck 124 may be used to transfer the device wafer 10 ) may be provided with vacuum holes (not shown) for vacuum adsorbing the dicing tape 12 to which the attached dicing tape 12 is attached.

상기 카메라 유닛(122)은 수평 구동부(126)에 의해 수평 방향으로 이동 가능하도록 구성될 수 있으며, 상기 하부 진공척(124)은 하부 척 구동부(128)에 의해 회전 가능하도록 구성될 수 있다. 아울러, 상기 하부 진공척(124)은 도 3에 도시된 바와 같이 상기 수평 방향에 대하여 수직하는 제2 수평 방향으로 이동 가능하게 구성될 수 있다. 예를 들면, 상기 하부 척 구동부(128)는 척 스테이지(130) 상에 배치될 수 있으며, 상기 척 스테이지(130)는 스테이지 구동부(132)에 의해 상기 제2 수평 방향으로 이동될 수 있다.The camera unit 122 may be configured to be movable in the horizontal direction by the horizontal driver 126, and the lower vacuum chuck 124 may be configured to be rotatable by the lower chuck driver 128. In addition, the lower vacuum chuck 124 may be configured to be movable in a second horizontal direction perpendicular to the horizontal direction, as shown in FIG. 3. For example, the lower chuck driving unit 128 may be placed on the chuck stage 130, and the chuck stage 130 may be moved in the second horizontal direction by the stage driving unit 132.

상기 이송 로봇(118)에 의해 상기 웨이퍼 접합체(2)가 상기 하부 진공척(124) 상으로 이송된 후 상기 스테이지 구동부(132)는 상기 웨이퍼 접합체(2)가 상기 카메라 유닛(122)의 아래에 위치되도록 상기 척 스테이지(130)를 상기 제2 수평 방향으로 이동시킬 수 있다. 이때, 상기 카메라 유닛(122)은 상기 수평 구동부(126)에 의해 상기 웨이퍼 접합체(2)의 가장자리 부위의 상부에 위치될 수 있다. 상기 하부 척 구동부(128)는 상기 카메라 유닛(122)에 의해 상기 웨이퍼 접합체(2)의 가장자리 부위가 촬상되도록 하부 진공척(124)을 회전시킬 수 있다. 예를 들면, 상기 하부 척 구동부(128)는 상기 웨이퍼 접합체(2)의 가장자리 부위들이 순차적으로 상기 카메라 유닛(122)의 하부에 위치되도록 상기 웨이퍼 접합체(2)를 회전시킬 수 있으며, 이에 대응하여 상기 카메라 유닛(122)은 상기 웨이퍼 접합체(2)의 가장자리 부위들에 대한 복수의 이미지들을 획득할 수 있다.After the wafer assembly 2 is transferred onto the lower vacuum chuck 124 by the transfer robot 118, the stage driver 132 moves the wafer assembly 2 below the camera unit 122. The chuck stage 130 may be moved in the second horizontal direction to be positioned. At this time, the camera unit 122 may be positioned on an upper portion of the edge of the wafer assembly 2 by the horizontal driving unit 126. The lower chuck driving unit 128 may rotate the lower vacuum chuck 124 so that the edge portion of the wafer assembly 2 is imaged by the camera unit 122. For example, the lower chuck driver 128 may rotate the wafer assembly 2 so that the edge portions of the wafer assembly 2 are sequentially positioned below the camera unit 122, and correspondingly, The camera unit 122 may acquire a plurality of images of edge portions of the wafer assembly 2.

도시되지는 않았으나, 상기 이미지들은 제어 유닛(미도시)으로 전송될 수 있으며, 상기 제어 유닛은 상기 이미지들을 분석하여 상기 접합력이 가장 낮은 부위를 검출할 수 있다. 상기 접합력이 가장 낮은 부위는 기포 발생량이 가장 많거나 이와 반대로 가장 작은 부위일 수 있다. 상기 접합력이 가장 낮은 부위에 대한 결정 방법은 상기 접합층의 조성에 의해 변경될 수 있다.Although not shown, the images may be transmitted to a control unit (not shown), which may analyze the images to detect the area where the adhesion force is lowest. The area where the bonding force is lowest may be the area where the amount of bubbles is generated the most or, conversely, the amount of bubbles is the smallest. The method for determining the area with the lowest bonding force may vary depending on the composition of the bonding layer.

상기 웨이퍼 분리 모듈(120)은, 상기 하부 진공척(128)의 상부에 배치되며 상기 캐리어 웨이퍼(20)를 진공 흡착하기 위한 상부 진공척(134)과, 상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이가 분리되도록 상기 상부 진공척(134)을 상승시키는 상부 척 구동부(136)를 포함할 수 있다. 상기 수평 구동부(126)는 상기 카메라 유닛(122)에 의해 상기 접합력이 가장 낮은 부위가 검출된 후 상기 상부 진공척(134)이 상기 웨이퍼 접합체(2)의 상부에 위치되도록 상기 카메라 유닛(122)과 상기 상부 진공척(134)을 수평 방향으로 동시에 이동시킬 수 있다.The wafer separation module 120 is disposed above the lower vacuum chuck 128 and includes an upper vacuum chuck 134 for vacuum suction of the carrier wafer 20, and It may include an upper chuck driver 136 that raises the upper vacuum chuck 134 so that the 10 and the carrier wafer 20 are separated. The horizontal driving unit 126 operates the camera unit 122 so that the upper vacuum chuck 134 is positioned on the upper part of the wafer assembly 2 after the area with the lowest bonding force is detected by the camera unit 122. and the upper vacuum chuck 134 can be moved simultaneously in the horizontal direction.

도 4는 도 1에 도시된 상부 진공척을 설명하기 위한 개략적인 정면도이며, 도 5 및 도 6은 도 4에 도시된 상부 진공척의 동작을 설명하기 위한 개략적인 정면도들이다.FIG. 4 is a schematic front view for explaining the upper vacuum chuck shown in FIG. 1, and FIGS. 5 and 6 are schematic front views for explaining the operation of the upper vacuum chuck shown in FIG. 4.

도 4 내지 도 6을 참조하면, 상기 상부 진공척(134)은 도시된 바와 같이 수평 방향으로 배치되는 회전축(138)을 통해 회전 가능하게 구성될 수 있으며, 상기 상부 진공척(134)과 상기 상부 척 구동부(136)는 일단이 상기 상부 진공척(134)의 상부에 연결되고 타단이 상기 상부 척 구동부(136)에 연결되는 링크 기구(140)에 의해 서로 연결될 수 있다. 즉, 상기 상부 척 구동부(136)가 상기 링크 기구(140)의 타단을 수직 방향으로 이동시킴에 따라 상기 상부 진공척(134)이 상기 회전축(138)을 중심으로 회전될 수 있다. 또한, 상기 웨이퍼 분리 장치(100)는 상기 상부 척 구동부(136)를 수직 방향으로 이동시키기 위한 수직 구동부(142)를 포함할 수 있다.Referring to FIGS. 4 to 6, the upper vacuum chuck 134 may be rotatable through a rotation axis 138 disposed in the horizontal direction as shown, and the upper vacuum chuck 134 and the upper The chuck driving unit 136 may be connected to each other by a link mechanism 140 having one end connected to the upper part of the upper vacuum chuck 134 and the other end connected to the upper chuck driving unit 136. That is, as the upper chuck driving unit 136 moves the other end of the link mechanism 140 in the vertical direction, the upper vacuum chuck 134 may rotate around the rotation axis 138. Additionally, the wafer separation device 100 may include a vertical driving unit 142 for moving the upper chuck driving unit 136 in the vertical direction.

상기 하부 척 구동부(128)는 상기 상부 진공척(134)이 상기 웨이퍼 접합체(2)의 상부로 이동된 후 상기 접합력이 가장 낮은 부위가 상기 회전축(138)으로부터 가장 멀리 이격되도록 상기 하부 진공척(124)을 회전시킬 수 있으며, 이어서 상기 수직 구동부(142)는 상기 상부 진공척(134)이 상기 캐리어 웨이퍼(20) 상에 밀착되도록 상기 상부 척 구동부(136)를 하방으로 이동시킬 수 있다. 상기 상부 진공척(134)에 상기 캐리어 웨이퍼(20)가 진공 흡착된 후 상기 상부 척 구동부(136)는 상기 상부 진공척(134)을 상기 회전축(138)을 기준으로 상방으로 회전시킬 수 있다. 결과적으로, 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이가 상기 접합력이 가장 낮은 부위로부터 분리될 수 있다.The lower chuck driving unit 128 is configured to move the upper vacuum chuck 134 to the upper part of the wafer assembly 2 so that the area with the lowest bonding force is spaced furthest away from the rotation axis 138. 124) can be rotated, and then the vertical driving part 142 can move the upper chuck driving part 136 downward so that the upper vacuum chuck 134 is in close contact with the carrier wafer 20. After the carrier wafer 20 is vacuum-sucked to the upper vacuum chuck 134, the upper chuck driving unit 136 may rotate the upper vacuum chuck 134 upward about the rotation axis 138. As a result, the device wafer 10 and the carrier wafer 20 may be separated from the area where the bonding force is lowest.

상술한 바와 같은 본 발명의 실시예들에 따르면, 자외선 광의 조사에 의해 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이의 접합력이 감소될 수 있다. 상기 자외선 광의 조사 이후 상기 웨이퍼 접합체(2)는 하부 진공척(124) 상으로 이송될 수 있으며, 상기 카메라 유닛(122)은 상기 웨이퍼 접합체(2)의 가장자리 부위를 촬상하여 상기 자외선 광의 조사에 의해 접합력이 가장 많이 감소된 부위 즉 접합력이 가장 낮은 부위를 검출할 수 있다. 상기와 같이 접합력이 가장 낮은 부위가 검출된 후 상기 상부 진공척(134)이 상기 캐리어 웨이퍼(20)를 진공 흡착할 수 있으며, 상기 상부 척 구동부(136)는 상기 접합력이 가장 낮은 부위로부터 상기 캐리어 웨이퍼(20)가 상기 디바이스 웨이퍼(10)로부터 분리되도록 상기 상부 진공척(134)을 회전시킬 수 있다.According to the embodiments of the present invention as described above, the bonding force between the device wafer 10 and the carrier wafer 20 may be reduced by irradiation of ultraviolet light. After irradiation of the ultraviolet light, the wafer assembly 2 can be transferred onto the lower vacuum chuck 124, and the camera unit 122 captures an image of the edge of the wafer assembly 2 by irradiation of the ultraviolet light. The area where the bonding strength is reduced the most, that is, the area with the lowest bonding strength, can be detected. After the area with the lowest bonding force is detected as described above, the upper vacuum chuck 134 can vacuum adsorb the carrier wafer 20, and the upper chuck driver 136 moves the carrier from the region with the lowest bonding force. The upper vacuum chuck 134 may be rotated so that the wafer 20 is separated from the device wafer 10 .

상기와 같이 디바이스 웨이퍼(10)와 캐리어 웨이퍼(20) 사이의 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이가 분리될 수 있으므로 종래 기술에 비하여 상기 디바이스 웨이퍼(10)와 상기 캐리어 웨이퍼(20) 사이의 분리가 매우 용이하게 수행될 수 있다.As described above, since the device wafer 10 and the carrier wafer 20 can be separated from the area where the bonding force between the device wafer 10 and the carrier wafer 20 is lowest, compared to the prior art, the device wafer 10 ) and the carrier wafer 20 can be performed very easily.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.Although the present invention has been described above with reference to preferred embodiments, those skilled in the art may make various modifications and changes to the present invention without departing from the spirit and scope of the present invention as set forth in the claims below. You will be able to understand that it exists.

10 : 디바이스 웨이퍼 20 : 캐리어 웨이퍼
30 : 점착제 100 : 웨이퍼 분리 장치
110 : 자외선 조사 모듈 112 : 웨이퍼 스테이지
114 : 자외선 램프 118 : 이송 로봇
120 : 웨이퍼 분리 모듈 122 : 카메라 모듈
124 : 하부 진공척 126 : 수평 구동부
128 : 하부 척 구동부 130 : 척 스테이지
132 : 스테이지 구동부 134 : 상부 진공척
136 ; 상부 척 구동부 138 : 회전축
140 : 링크 기구 142 : 수직 구동부
10: device wafer 20: carrier wafer
30: Adhesive 100: Wafer separation device
110: ultraviolet irradiation module 112: wafer stage
114: ultraviolet lamp 118: transfer robot
120: wafer separation module 122: camera module
124: lower vacuum chuck 126: horizontal driving unit
128: lower chuck driving unit 130: chuck stage
132: Stage driving unit 134: Upper vacuum chuck
136 ; Upper chuck drive unit 138: rotation axis
140: Link mechanism 142: Vertical driving unit

Claims (9)

삭제delete 삭제delete 디바이스 웨이퍼와 캐리어 웨이퍼가 임시로 본딩된 웨이퍼 접합체 상에 자외선 광을 조사하여 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이의 접합력을 감소시키는 자외선 조사 모듈;
상기 디바이스 웨이퍼로부터 상기 캐리어 웨이퍼를 분리시키기 위한 웨이퍼 분리 모듈; 및
상기 자외선 조사 모듈과 상기 웨이퍼 분리 모듈 사이에서 상기 웨이퍼 접합체를 이송하는 이송 로봇을 포함하되,
상기 웨이퍼 분리 모듈은,
상기 자외선 광의 조사에 의한 발포 상태에 따라 상기 접합력이 가장 낮은 부위를 검출하기 위해 상기 웨이퍼 접합체의 가장자리 부위를 촬상하는 카메라 유닛과,
상기 캐리어 웨이퍼가 위를 향하도록 상기 웨이퍼 접합체를 지지하고 상기 디바이스 웨이퍼를 진공 흡착하기 위한 하부 진공척과,
상기 하부 진공척의 상부에 배치되며 상기 캐리어 웨이퍼를 진공 흡착하기 위한 상부 진공척과,
상기 접합력이 가장 낮은 부위로부터 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이가 분리되도록 상기 상부 진공척을 상승시키는 상부 척 구동부와,
상기 카메라 유닛과 상기 상부 진공척이 상기 하부 진공척 상의 웨이퍼 접합체의 상부에 순차적으로 위치되도록 상기 카메라 유닛과 상기 상부 진공척을 수평 방향으로 이동시키는 수평 구동부를 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.
An ultraviolet irradiation module that irradiates ultraviolet light onto a wafer bonding body in which a device wafer and a carrier wafer are temporarily bonded to reduce bonding force between the device wafer and the carrier wafer;
a wafer separation module for separating the carrier wafer from the device wafer; and
Includes a transfer robot that transfers the wafer assembly between the ultraviolet irradiation module and the wafer separation module,
The wafer separation module,
a camera unit that captures images of an edge of the wafer bonded body to detect a region with the lowest bonding force according to a foaming state caused by irradiation of the ultraviolet light;
a lower vacuum chuck for supporting the wafer assembly so that the carrier wafer faces upward and vacuum adsorbing the device wafer;
an upper vacuum chuck disposed above the lower vacuum chuck for vacuum adsorbing the carrier wafer;
an upper chuck driver that raises the upper vacuum chuck so that the device wafer and the carrier wafer are separated from the area where the bonding force is lowest;
A wafer separation device comprising a horizontal driving unit that moves the camera unit and the upper vacuum chuck in a horizontal direction so that the camera unit and the upper vacuum chuck are sequentially positioned on the upper part of the wafer assembly on the lower vacuum chuck.
삭제delete 삭제delete 제3항에 있어서, 상기 하부 진공척을 회전시키기 위한 하부 척 구동부를 더 포함하며,
상기 하부 척 구동부는 상기 카메라 유닛에 의해 상기 웨이퍼 접합체의 가장자리 부위가 촬상되도록 상기 하부 진공척을 회전시키는 것을 특징으로 하는 웨이퍼 분리 장치.
The method of claim 3, further comprising a lower chuck driving unit for rotating the lower vacuum chuck,
The lower chuck driving unit rotates the lower vacuum chuck so that the edge portion of the wafer assembly is imaged by the camera unit.
제6항에 있어서, 상기 상부 진공척은 수평 방향으로 배치되는 회전축을 통해 회전 가능하게 구성되고,
상기 하부 척 구동부는 상기 접합력이 가장 낮은 부위가 상기 회전축으로부터 가장 멀리 이격되도록 상기 하부 진공척을 회전시키며,
상기 상부 척 구동부는 상기 디바이스 웨이퍼와 상기 캐리어 웨이퍼 사이가 분리되도록 상기 상부 진공척을 상방으로 회전시키는 것을 특징으로 하는 웨이퍼 분리 장치.
The method of claim 6, wherein the upper vacuum chuck is configured to be rotatable through a rotation axis disposed in a horizontal direction,
The lower chuck driving unit rotates the lower vacuum chuck so that the area with the lowest adhesion force is furthest from the rotation axis,
The upper chuck driving unit rotates the upper vacuum chuck upward to separate the device wafer and the carrier wafer.
제7항에 있어서, 일단이 상기 상부 진공척의 상부에 연결되고 타단이 상기 상부 척 구동부에 연결되는 링크 기구를 더 포함하며,
상기 상부 척 구동부는 상기 상부 진공척을 회전시키기 위하여 상기 링크 기구의 타단을 수직 방향으로 이동시키는 것을 특징으로 하는 웨이퍼 분리 장치.
The method of claim 7, further comprising a link mechanism having one end connected to the upper part of the upper vacuum chuck and the other end connected to the upper chuck driving unit,
The upper chuck driving unit moves the other end of the link mechanism in a vertical direction to rotate the upper vacuum chuck.
제7항에 있어서, 상기 상부 척 구동부를 수직 방향으로 이동시키기 위한 수직 구동부를 더 포함하는 것을 특징으로 하는 웨이퍼 분리 장치.The wafer separation device according to claim 7, further comprising a vertical driving unit for moving the upper chuck driving unit in a vertical direction.
KR1020180128768A 2018-10-26 2018-10-26 Wafer debonding method and wafer debonding apparatus KR102654506B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180128768A KR102654506B1 (en) 2018-10-26 2018-10-26 Wafer debonding method and wafer debonding apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180128768A KR102654506B1 (en) 2018-10-26 2018-10-26 Wafer debonding method and wafer debonding apparatus

Publications (2)

Publication Number Publication Date
KR20200046937A KR20200046937A (en) 2020-05-07
KR102654506B1 true KR102654506B1 (en) 2024-04-03

Family

ID=70734061

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180128768A KR102654506B1 (en) 2018-10-26 2018-10-26 Wafer debonding method and wafer debonding apparatus

Country Status (1)

Country Link
KR (1) KR102654506B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220097097A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding apparatus
KR20220097096A (en) 2020-12-31 2022-07-07 세메스 주식회사 Wafer debonding method and wafer debonding apparatus
KR20220097095A (en) 2020-12-31 2022-07-07 세메스 주식회사 Ultraviolet irradiation apparatus
CN113299591B (en) * 2021-04-15 2022-09-13 山西高科华兴电子科技有限公司 Rapid mass transfer method for microchip
CN117238813B (en) * 2023-11-13 2024-02-27 迈为技术(珠海)有限公司 De-bonding device and de-bonding system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012042431A (en) * 2010-08-23 2012-03-01 Sumco Corp Laminated wafer inspection method
JP2014175420A (en) 2013-03-07 2014-09-22 Tokyo Electron Ltd Peeling device, peeling system, and peeling method
JP2017084910A (en) 2015-10-26 2017-05-18 東京応化工業株式会社 Method for separating support

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120104666A (en) 2011-03-14 2012-09-24 삼성전자주식회사 Debonder for manufacturing semi-conductor
KR101503326B1 (en) 2013-08-27 2015-03-18 코스텍시스템(주) A method for de-bonding of device wafer and carrier wafer and apparatus for de-bonding
KR101617316B1 (en) * 2013-08-14 2016-05-02 코스텍시스템(주) A method for bonding / de-bonding of device wafer and carrier wafer and apparatus for bonding/de-bonding
KR101950157B1 (en) * 2015-08-11 2019-02-19 도오꾜오까고오교 가부시끼가이샤 Support Separation Device and Support Separation Method
KR101731537B1 (en) * 2015-09-21 2017-04-28 코스텍시스템(주) Temporary bonded wafer debonding apparatus and thereof method
KR102446955B1 (en) * 2016-05-24 2022-09-23 세메스 주식회사 Wafer debonding apparatus
JP6767253B2 (en) * 2016-12-13 2020-10-14 株式会社ディスコ Laser processing equipment

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012042431A (en) * 2010-08-23 2012-03-01 Sumco Corp Laminated wafer inspection method
JP2014175420A (en) 2013-03-07 2014-09-22 Tokyo Electron Ltd Peeling device, peeling system, and peeling method
JP2017084910A (en) 2015-10-26 2017-05-18 東京応化工業株式会社 Method for separating support

Also Published As

Publication number Publication date
KR20200046937A (en) 2020-05-07

Similar Documents

Publication Publication Date Title
KR102654506B1 (en) Wafer debonding method and wafer debonding apparatus
US10847497B2 (en) Bonding method of semiconductor chip and bonding apparatus of semiconductor chip
TWI404196B (en) Manufacturing method of solid-state image sensor module
KR101058441B1 (en) Substrate Bonding Apparatus and Method
KR20140051784A (en) Semiconductor wafer mounting method and semiconductor wafer mounting apparatus
JP5253996B2 (en) Work dividing method and tape expansion device
KR20170132558A (en) Wafer debonding apparatus
WO2012026152A1 (en) Joint system, substrate processing system, joint method, program and computer storage medium
TWI734030B (en) Semiconductor manufacturing device and manufacturing method of semiconductor device
KR20140110749A (en) Peeling device, peeling system and peeling method
US20140158303A1 (en) Bonding system, substrate processing system, and bonding method
KR20150007215A (en) Joining apparatus, joining system, joining method and computer recording medium
KR20200021537A (en) Conveying apparatus, substrate processing system, conveying method and substrate processing method
WO2005083763A1 (en) Wafer transcription method
EP1610359A2 (en) Bonding apparatus, bonding method, and method for manufacturing semiconductor device
JP6670185B2 (en) Laminating apparatus, laminating apparatus, laminating method and laminating method
JP2011044497A (en) Method of manufacturing semiconductor device
KR102561376B1 (en) Wafer processing method and supporting tool used in wafer processing
JP2013219245A (en) Method for manufacturing semiconductor device
KR20210005078A (en) Substrate processing system and substrate processing method
KR102288929B1 (en) Wafer debonding method and wafer debonding apparatus
KR20160113462A (en) Method for manufacturing display and manufacturing apparatus of the display for the same
JP7286250B2 (en) Protective member forming device
KR20150135920A (en) Apparatus for inspecting a wafer
KR102336913B1 (en) Die transfer method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant