KR20170118996A - 세정 장치 및 그를 포함하는 기판 처리 시스템 - Google Patents

세정 장치 및 그를 포함하는 기판 처리 시스템 Download PDF

Info

Publication number
KR20170118996A
KR20170118996A KR1020160045911A KR20160045911A KR20170118996A KR 20170118996 A KR20170118996 A KR 20170118996A KR 1020160045911 A KR1020160045911 A KR 1020160045911A KR 20160045911 A KR20160045911 A KR 20160045911A KR 20170118996 A KR20170118996 A KR 20170118996A
Authority
KR
South Korea
Prior art keywords
water
cleaning
organic solvent
nozzle
substrate
Prior art date
Application number
KR1020160045911A
Other languages
English (en)
Inventor
김태홍
오정민
김석훈
김채령
박미현
이효산
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160045911A priority Critical patent/KR20170118996A/ko
Priority to US15/407,569 priority patent/US20170297164A1/en
Publication of KR20170118996A publication Critical patent/KR20170118996A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/024Cleaning by means of spray elements moving over the surface to be cleaned
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 세정 장치 및 그를 포함하는 기판 처리 시스템을 개시한다. 그의 장치는 기판을 수납하는 척과, 상기 기판 상에 제 1 세정 수 또는 제 1 유기 용매를 제 1 압력으로 제공하는 제 1 노즐과, 상기 제 1 노즐에 인접하여 배치되고, 제 2 세정 수와 제 2 유기 용매의 세정 용액을 상기 제 1 압력보다 낮은 제 2 압력으로 제공하는 제 2 노즐을 포함한다.

Description

세정 장치 및 그를 포함하는 기판 처리 시스템{cleaning apparatus and substrate processing system}
본 발명은 기판 처리 시스템에 관한 것으로, 상세하게는 기판 상의 파티클들을 제거하는 세정 장치 및 그를 포함하는 기판 처리 시스템에 관한 것이다.
반도체 소자는 다수의 단위 공정들을 통해 제조될 수 있다. 단위 공정들은 박막 증착 공정, 화학적 기계적 연마 공정, 포토리소그래피 공정, 식각 공정, 이온주입 공정, 및 세정 공정을 포함할 수 있다. 그 중의 세정 공정은 기판 상의 파티클들을 주로 제거하는 단위 공정이다. 파티클들은 주로 수계 세정 용액(water based cleaning solution)에 의해 제거될 수 있다.
본 발명이 이루고자 하는 과제는 세정 효율을 향상시킬 수 있는 세정 장치를 제공하는 데 있다.
또한, 본 발명이 이루고자 하는 다른 과제는 물 반점 오염들의 발생을 방지할 수 있는 세정 장치 및 그를 포함하는 기판 처리 시스템을 제공한다.
본 발명은 세정 장치를 개시한다. 그의 장치는, 기판을 수납하는 척; 상기 기판 상에 제 1 세정 수 또는 제 1 유기 용매를 제 1 압력으로 제공하는 제 1 노즐; 및 상기 제 1 노즐과 인접하여 배치되고, 제 2 세정 수와 제 2 유기 용매의 세정 용액을 상기 제 1 압력보다 낮은 제 2 압력으로 제공하는 제 2 노즐을 포함한다.
본 발명의 일 예에 따른 기판 처리 장치는, 기판 상에 박막을 증착하는 증착 장치; 및 상기 박막 상의 파티클들을 제거하는 세정 장치를 포함한다. 여기서, 상기 세정 장치는: 상기 기판을 수납하는 척; 상기 박막 상에 제 1 세정 수 또는 제 1 유기 용매를 제 1 압력으로 제공하는 제 1 노즐; 및 상기 제 1 노즐과 인접하여 배치되고, 제 2 세정 수와 제 2 유기 용매의 세정 용액을 상기 박막 상에 상기 제 1 압력보다 낮은 제 2 압력으로 제공하는 제 2 노즐을 포함할 수 있다.
본 발명의 일 예에 따른 세정 장치는, 기판을 수납하는 척; 상기 척 상에 배치되는 암; 상기 암에 고정되는 노즐; 상기 노즐에 세정 수 및 유기 용매를 포함하는 세정 용액을 제공하는 세정 유체 공급 부; 상기 노즐을 통해 상기 기판 상에 제공되는 상기 세정 용액을 검출하는 검출 부; 및 상기 검출된 상기 세정 용액의 접촉 각을 판별하고, 상기 접촉 각에 따라 상기 세정 용액 내의 상기 유기 용매와 상기 세정 수의 혼합비를 조절하는 제어 부를 포함할 수 있다. 여기서, 상기 접촉 각이 30° 내지 0°일 때, 상기 유기 용매와 상기 세정 수의 상기 혼합비는 2:1 내지 40:1일 수 있다.
상술한 바와 같이, 본 발명의 실시 예에 따른 세정 장치는 하나의 암에 고정된 제 1 및 제 2 노즐들을 포함할 수 있다. 제 1 노즐은 세정 유체를 제 2 노즐의 압력보다 높은 압력으로 기판 상에 제공할 수 있다. 제 1 노즐의 세정 유체는 파티클들을 압력과 충격력으로 기판으로부터 분리시키고, 제 2 노즐의 세정 용액은 식각 또는 전기적 반발력으로 분리된 파티클들을 부유시킬 수 있다. 부유된 파티클들은 부착력이 작아져 기판의 회전에 의해 제거되거나 제 1 노즐의 압력이 낮아도 쉽게 제거될 수 있다. 이에 따라, 세정 효율은 향상될 수 있다. 세정 용액은 유기 용매와 세정 수를 포함할 수 있다. 세정 수는 파티클들을 부유시킬 수 있다. 유기 용매는 기판에 대한 세정 용액의 젖음성을 증가시키도록 세정 수와 혼합될 수 있다. 혼합된 유기 용매는 세정 수의 물 반점 오염들의 발생을 방지시킬 수 있다.
도 1은 본 발명의 기판 처리 시스템의 일 예를 보여주는 도면이다.
도 2는 도 1의 증착 장치의 일 예를 보여주는 도면이다.
도 3은 도 1의 세정 장치의 일 예를 보여주는 도면이다.
도 4는 도 3의 제 1 및 제 2 노즐들을 보여주는 도면이다.
도 5는 도 4의 제 1 노즐의 일 예를 보여주는 단면도이다.
도 6은 도 3의 파티클들의 크기에 따른 제 1 내지 제 3 제거율들을 보여주는 그래프이다.
도 7은 도 3의 박막 상의 물 반점 오염들을 보여주는 이미지이다.
도 8은 도 3의 제 2 세정 용액의 물방울의 접촉 각을 보여주는 도면이다.
도 9는 도 3의 제 2 유기 용매와 제 2 세정 수의 혼합비에 따른 물방울의 접촉 각의 변화를 보여주는 그래프이다.
도 10은 도 3의 세정 장치의 세정 방법을 보여주는 플로우 챠트이다.
도 11은 본 발명의 기판 처리 시스템의 일 예를 보여주는 도면이다.
도 12는 도 11의 연마 장치의 일 예를 보여주는 도면이다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시 예를 상세히 설명하기로 한다. 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면들과 함께 상세하게 후술되어 있는 실시 예를 참조하면 명확해질 것이다. 그러나 본 발명은 여기서 설명되는 실시 예에 한정되는 것이 아니라 서로 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시 예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당 업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 사용된 용어는 실시 예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 포함한다(comprises) 및/또는 포함하는(comprising)은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 또한, 명세서에서 기판, 습식 세정, 건조는 일반적인 반도체 제조 기술 용어들로 이해될 수 있을 것이다. 바람직한 실시 예에 따른 것이기 때문에, 설명의 순서에 따라 제시되는 참조 부호는 그 순서에 반드시 한정되지는 않는다.
도 1은 본 발명의 기판 처리 시스템(10)의 일 예를 보여준다.
도 1을 참조하면, 기판 처리 시스템(10)은 증착 장치(20), 세정 장치(30), 포토리소그래피 장치(40), 및 식각 장치(50)를 포함할 수 있다. 증착 장치(20)는 기판 상에 박막을 증착할 수 있다. 세정 장치(30)는 기판 상의 박막을 세정할 수 있다. 포토리소그래피 장치(40)는 박막 상에 포토 마스크 층(미도시)을 형성할 수 있다. 식각 장치(50)는 포토 마스크 층을 따라 박막을 식각하여 박막 패턴을 형성할 수 있다. 이후, 포토 마스크 층은 제거될 수 있다. 증착 장치(20)으로부터 식각 장치(50)까지의 제조 공정(fabrication process)은 기판에 대해 반복적으로 수행될 수 있다.
도 2는 도 1의 증착 장치(20)의 일 예를 보여준다.
도 2를 참조하면, 증착 장치(20)는 예를 들어, 화학기상증착장치(chemical vapor deposition apparatus)를 포함할 수 있다. 예를 들어, 증착 장치(20)는 유기금속화학기상증착(MOCVD) 장치를 포함할 수 있다. 이와 달리, 증착 장치(20)는 플라즈마강화화학기상증착(PECVD)를 포함할 수 있다. 일 예에 따르면, 증착 장치(20)는 제 1 챔버(22), 서셉터(24), 샤워 헤드(25), 그리고 제 1 및 제 2 반응 가스 공급 부들(26, 28)을 포함할 수 있다.
제 1 챔버(22)는 기판(W)에 대해 외부로부터 독립된 공간을 제공할 수 있다. 예를 들어, 제 1 챔버(22)는 약 10- 3Torr 내지 약 10- 2Torr의 진공 압력을 가질 수 있다.
서셉터(24)는 제 1 챔버(22) 내의 하부에 배치될 수 있다. 서셉터(24)는 기판(W)을 수납할 수 있다. 기판(W)은 고온으로 가열될 수 있다. 예를 들어, 기판(W)은 약 200℃이상으로 가열될 수 있다.
샤워 헤드(25)는 제 1 챔버(22) 내의 상부에 배치될 수 있다. 샤워 헤드(25)는 제 1 및 제 2 반응 가스들(27, 29)를 기판(W) 상에 제공할 수 있다. 플라즈마 전극(23)은 샤워 헤드(25) 내에 배치될 수 있다. 플라즈마 전극(23)은 고주파 파워로 플라즈마(21)를 유도시킬 수 있다. 플라즈마(21)는 서셉터(24)와 샤워 헤드(25) 사이의 제 1 및 제 2 반응 가스들(27, 29)을 활성화할 수 있다.
제 1 및 제 2 반응 가스 공급 부들(26, 28)은 제 1 및 제 2 반응 가스들(27, 29)을 제 1 챔버(22) 내에 공급할 수 있다. 예를 들어, 제 1 반응 가스(27)은 실란(SiH4) 가스를 포함할 수 있다. 제 2 반응 가스(29)는 메탄(CH4) 가스를 포함할 수 있다. 제 1 반응 가스(27)과 제 2 반응 가스(29)는 제 1 챔버(22) 내의 기판(W) 상에 박막(12)을 형성시킬 수 있다. 일 예에 따르면, 박막(12)은 실리콘 산화막(SiO2)의 유전 상수보다 낮은 유전 상수의 저 유전상수(low-k) 박막일 수 있다. 예를 들어, 박막(12)은 실리콘카바이드(SiC), 실리콘옥시카바이드(SiOC), 또는 실리콘옥시카본나이트라이드(SiOCN)를 포함할 수 있다. 박막(12)은 주로 전기적 배선들 사이의 커플링 커패시턴스(coupling capacitance)를 감소시킬 수 있다. 박막(12)은 소수 성(hydrophobic property)을 가질 수 있다. 박막(12)의 형성 후, 제 1 반응 가스(27)와 제 2 반응 가스(29)는 반응 후 가스를 생성할 수 있다. 예를 들어, 반응 후 가스는 수소 가스를 포함할 수 있다. 반응 후 가스는 진공 펌프에 의해 챔버(22) 외부로 배기될 수 있다.
도 3은 도 1의 세정 장치(30)의 일 예를 보여준다.
도 3을 참조하면, 세정 장치(30)는 탈이온 수계 세정 장치(deionized-water-based cleaning apparatus)일 수 있다. 일 예에 따르면, 세정 장치(30)는 제 2 챔버(100), 척(110), 암(120), 제 1 및 제 2 노즐들 (130, 140), 제 1 및 제 2 세정 유체 공급 부들(150, 160), 세정 용액 검출 부(170), 및 제어 부(180)를 포함할 수 있다. 척(110), 암(120), 제 1 및 제 2 노즐들(130, 140), 세정 용액 검출 부(170)는 제 2 챔버(100) 내에 배치될 수 있다. 척(110)은 기판(W)을 수납할 수 있다. 암(120)은 제 1 및 제 2 노즐들(130, 140)을 기판(W) 상에 제공할 수 있다. 제 1 및 제 2 노즐들(130, 140)은 기판(W)의 박막(12) 상에 제 1 및 제 2 세정 유체들(159, 165)을 각각 제공할 수 있다. 제 1 및 제 2 세정 유체 공급 부들(150, 160)은 제 1 세정 유체(159)와 제 2 세정 용액(165)을 제 1 및 제 2 노즐들(130, 140)에 각각 공급할 수 있다. 제 2 세정 용액(165)는 제 2 세정 유체일 수 있다. 세정 용액 검출 부(170)는 제 2 세정 용액(165)의 이미지를 검출할 수 있다. 제어 부(180)는 검출된 이미지로부터 제 2 세정 용액(165)의 접촉 각을 판별하여 박막(12)에 대한 제 2 세정 용액(165)의 젖음성(wettability)을 조절할 수 있다.
제 2 챔버(100)는 척(110)을 둘러싸는 하우징일 수 있다. 제 2 챔버(100)는 제 1 세정 유체(159)와 제 2 세정 용액(165)의 외부 배출(external emission)을 방지할 수 있다. 기판(W) 상의 제 1 세정 유체(159)와 제 2 세정 용액(165)은 제 2 챔버(100) 아래의 스크러버(미도시)로 수집될 수 있다.
척(110)은 기판(W)을 클램핑(clamping)할 수 있다. 또한, 척(110)은 기판(W)을 회전시킬 수 있다. 예를 들어, 척(110)은 기판(W)을 약 60rpm 내지 약 1000rpm으로 회전시킬 수 있다.
암(120)은 샤프트(122)와 제 1 및 제 2 노즐들(130, 140) 사이에 배치될 수 있다. 암(120)의 일측은 샤프트(122)에 연결되고, 암(120)의 타측은 제 1 및 제 2 노즐들(130, 140)에 연결될 수 있다. 샤프트(122)는 척(110) 및 기판(W)의 외곽에 고정될 수 있다. 샤프트(122)는 암(120)과 제 1 및 제 2 노즐들(130, 140)을 회전시킬 수 있다. 제 1 및 제 2 노즐들(130, 140)은 기판(W)의 반지름 방향으로 이동될 수 있다. 제 1 세정 유체(159)와 제 2 세정 용액(165)은 샤프트(122) 및 척(110)의 회전에 의해 박막(12)의 상부 면 전체에 제공될 수 있다. 예를 들어, 제 1 및 제 2 노즐들(130, 140)은 암(120) 및 샤프트(122)에 의해 약 5mm/sec 내지 약 50mm/sec의 속도로 제 1 세정 유체(159)와 제 2 세정 용액(165)을 스캐닝할 수 있다.
도 4는 도 3의 제 1 및 제 2 노즐들(130, 140)을 보여준다.
도 4를 참조하면, 제 1 및 제 2 노즐들(130, 140)은 제 1 세정 유체(159)및 제 2 세정 용액(165)을 박막(12) 상에 동시에 제공할 수 있다. 제 1 세정 유체(159)의 압력은 제 2 세정 용액(165)의 압력보다 클 수 있다.
제 1 노즐(130)은 스프레이 노즐일 수 있다. 제 1 세정 유체(159)는 파티클들(16)을 박막(12)으로부터 분리시킬 수 있다. 예를 들어, 파티클들(16)은 박막(12) 내의 탄소, 또는 폴리머를 포함할 수 있다. 제 1 세정 유체(159)는 제 1 세정 용액(155)과 수송 가스(157)를 포함할 수 있다. 제 1 세정 용액(155)은 파티클들(16)을 녹일(dissolve) 수 있다. 이와 달리, 제 1 세정 용액(155)은 파티클들(16)에 고압으로 충돌될 수 있다. 파티클들(16)은 제 1 세정 유체(159)의 압력 및 충격력에 의해 박막(12)로부터 분리될 수 있다. 수송 가스(157)는 제 1 세정 용액(155)을 가속시킬 수 있다. 수송 가스(157)는 질소(N2) 가스를 포함할 수 있다.
도 3 및 도 4를 참조하면, 제 1 세정 용액(155)은 제 1 세정 수(151)와 제 1 유기 용매(153)를 포함할 수 있다. 제 1 세정 수(151)는 초순수, 암모니아수, 계면 활성제, 과산화수소, 또는 SC1 (NH4OH:H2O2:H2O)을 포함할 수 있다. 제 1 유기 용매(153)는 박막(12) 상의 탄소 성분의 유기 물질(미도시)을 녹일 수 있다. 예를 들어, 제 1 유기 용매(153)는 이소프로필렌 알코올을 포함할 수 있다. 제 1 세정 수(151) 및 제 1 유기 용매(153)는 수송 가스(157)에 의해 증발될 수 있다. 이와 달리, 제 1 세정 수(151) 및 제 1 유기 용매(153)는 박막(12) 상에 잔존할 수 있다.
도 5는 도 4의 제 1 노즐(130)의 일 예를 보여준다.
도 5를 참조하면, 제 1 노즐(130)은 내부 홀(132)과 외부 홀(134)을 가질 수 있다. 제 1 세정 용액(155)은 내부 홀(132) 내에 제공될 수 있다. 제 1 세정 용액(155)은 약 3bar 정도의 압력을 가질 수 있다. 제 1 세정 용액(155)은 약 5 내지 100cc/min 정도의 유량으로 제공될 수 있다. 수송 가스(157)는 외부 홀(134) 내에 제공될 수 있다. 수송 가스(157)는 약 2bar 내지 약 10bar 정도의 압력을 가질 수 있다. 수송 가스(157)는 약 5000cc 내지 약 500,000cc/min의 유량으로 제공될 수 있다. 수송 가스(157)는 제 1 세정 용액(155)를 분무(atomize)시킬 수 있다. 제 1 세정 유체(159)는 약 7bar 내지 10bar의 압력으로 박막(12)에 제공될 수 있다.
다시 도 4를 참조하면, 제 2 노즐(140)은 제 1 노즐(130)과 인접하여 배치될 수 있다. 제 1 노즐(130)은 암(120)과 제 2 노즐(140) 사이에 배치될 수 있다. 제 2 노즐(140)은 제 1 노즐(130)로부터 약 5cm 내지 약 10cm 정도의 거리(d)에 고정될 수 있다. 일 예에 따르면, 제 2 노즐(140)은 제 2 세정 용액(165)을 박막(12) 상에 적하(drop)할 수 있다. 제 2 노즐(140)은 제 2 세정 용액(165)을 상압으로 적하할 수 있다. 제 2 세정 용액(165)은 약 10 내지 800cc/min 유량으로 적하될 수 있다. 적하된 제 2 세정 용액(165)은 파티클들(16)을 부유시킬 수 있다. 이와 달리, 적하된 제 2 세정 용액(165)은 제 1 세정 유체(159)의 제 1 세정 용액(155)과 혼합되어 혼합 세정 용액(미도시)으로 생성될 수 있다. 혼합된 제 1 및 제 2 세정 용액들(155, 165)은 파티클들(16)을 박막(12)으로부터 부유시킬 수 있다. 부유된 파티클들(16)과 제 2 세정 용액들(155, 165)은 기판(W)의 회전에 의해 제거될 수 있다. 세정 효율은 극대화될 수 있다.
제 2 세정 용액(165)은 제 2 세정 수(161)와 제 2 유기 용매(163)를 포함할 수 있다. 제 2 세정 수(161)는 제 1 세정 수(151)과 동일할 수 있다. 예를 들어, 제 2 세정 수(161)는 초순수, 암모니아수, 계면 활성제, 과산화수소, 는 SC1 (NH4OH:H2O2:H2O)을 포함할 수 있다. 제 2 유기 용매(163)는 제 1 유기 용매(153)와 동일할 수 있다. 예를 들어, 제 2 유기 용매(163)는 이소프로필렌 알코올을 포함할 수 있다.
도 6은 도 3의 파티클들(16)의 크기에 따른 제 1 내지 제 3 제거율들(17-19)을 보여준다.
도 6을 참조하면, 제 2 세정 수(161)의 제 1 제거율(17)은 제 2 유기 용매(163)의 제 3 제거율(19)보다 높을 수 있다. 제 2 세정 수들(161)은 파티클들(16)을 제 2 유기 용매들(163)보다 우수하게 부유시키기 때문일 수 있다. 제 2 세정 용액(165)의 제 2 제거율(18)은 제 1 및 제 2 제거율들(17, 18) 사이일 수 있다. 제 2 유기 용매(163)와 제 2 세정 수(161)가 혼합된 제 2 세정 용액(165)은 파티클들(16)을 제 2 유기 용매(163)보다 우수하게 제거시킬 수 있다. 반면, 제 2 세정 수(161)는 파티클들(16)을 혼합된 제 2 세정 용액(165)보다 우수하게 제거시킬 수 있다. 따라서, 제 2 세정 용액(165) 내의 제 2 세정 수(161)에 대한 제 2 유기 용매(163)의 혼합비가 증가하면, 파티클들(16)의 제거율은 줄어들 수 있다.
도 7은 도 3의 박막(12) 상의 물 반점 오염들(15)을 보여준다. 도 8은 도 3의 제 2 세정 용액(165)의 물방울(14)의 접촉 각(θ)을 보여준다.
도 7 및 도 8을 참조하면, 제 2 세정 용액(165) 내의 제 2 세정 수(161)에 대한 제 2 유기 용매(163)의 혼합비가 감소하면, 제 2 세정 용액(165)은 건조 불량을 발생시킬 수 있다. 예를 들어, 제 2 세정 수(161)는 건조 시에 박막(12) 상의 물 반점 오염들(water mark stains, 15)을 발생시킬 수 있다. 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비가 1:1이하 일 때, 물 반점 오염들(15)은 발생될 수 있다. 물 반점 오염들(15)은 나선 모양을 가질 수 있다. 제 2 세정 수(161)는 척(110)의 회전 방향의 나선 모양으로 흩어질(scattered) 수 있다. 제 2 세정 수(161)는 박막(12) 상에 물방울(14)로 부착될 수 있다. 부착된 물방울(14)은 건조 중에 물 반점 오염들(15)을 생성시킬 수 있다.
제 2 세정 수(161)에 대한 제 2 유기 용매(163)의 혼합비가 증가하면, 물방울(14)은 물 반점 오염들(15)없이 박막(12) 상에서 제거될 수 있다. 제 2 유기 용매(163)는 박막(12)에 대한 제 2 세정 용액(165)의 젖음성 을 증가시킬 수 있다. 젖음성이 증가되면, 제 2 세정 용액(165)은 물방울(14)의 고립 부착 없이 박막(12)으로부터 슬라이딩되기 때문이다. 따라서, 제 2 세정 용액(165)의 젖음성이 증가되면, 물 반점 오염들(15)은 감소될 수 있다.
도 3, 도 7 및 도 8을 참조하면, 세정 용액 검출 부(170)는 광원(172)과 센서(174)를 포함할 수 있다. 일 예에 따르면, 제 2 노즐(140)은 광원(172)과 센서(174) 사이에 제 2 세정 용액(165)의 물방울(14)을 적하할 수 있다. 광원(172)은 광(171)을 물방울(14)로 제공할 수 있다. 예를 들어, 광(171)은 가시 광 또는 적외선 광일 수 있다. 광(171)은 물방울(14)을 센서(174)로 투영시킬 수 있다. 센서(174)는 투영된 물방울(14)의 이미지를 검출할 수 있다. 예를 들어, 센서(174)는 물방울(14)의 그림자 이미지를 검출할 수 있다.
제어 부(180)는 검출된 이미지로부터 물방울(14)의 접촉 각(θ)을 판별할 수 있다. 접촉 각(θ)은 박막(12)의 상부 면으로부터 상기 물방울(14)의 가장자리의 연장 선(13)까지의 경사각으로 정의될 수 있다. 접촉 각(θ)과 젖음성은 서로 반비례할 수 있다. 예를 들어, 접촉 각(θ)이 감소하면, 기판(W)에 대한 제 2 세정 용액(165)의 젖음성은 증가할 수 있다. 접촉 각(θ)이 증가하면, 젖음성은 감소할 수 있다. 접촉 각(θ)과 물 반점 오염들(15)의 발생은 서로 비례할 수 있다. 접촉 각(θ)이 감소하면, 물 반점 오염들(15)의 발생은 감소될 수 있다. 예를 들어, 접촉 각(θ)이 30° 내지 0° 이면, 물 반점 오염들(15)의 발생은 방지될 수 있다.
도 9는 도 3의 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비에 따른 물방울(14)의 접촉 각(θ)의 변화를 보여준다.
도 9를 참조하면, 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비가 증가하면, 접촉 각(θ)은 감소할 수 있다. 예를 들어, 혼합비가 1:1일 경우, 접촉 각(θ)은 약 33°일 수 있다. 물 반점 오염들(15)은 발생될 수 있다. 혼합비가 2:1일 경우, 접촉 각(θ)은 약 28°일 수 있다. 혼합비가 40:1일 경우, 접촉 각(θ)은 거의 0°일 수 있다. 제 2 세정 수(161)에 대한 제 2 유기 용매(163)의 혼합비가 2:1로부터 40:1로 증가될 때, 제 2 세정 용액(165)의 물방울(14)의 접촉 각(θ)은 점진적으로 감소할 수 있다. 물 반점 오염들(15)은 거의 발생되지 않을 수 있다.
다시 도 3을 참조하면, 제어 부(180)는 제 1 및 제 2 세정 유체 공급 부들(150, 160)을 제어할 수 있다.
제 1 세정 유체 공급 부(150)는 제 1 노즐(130)에 연결될 수 있다. 일 예에 따르면, 제 1 세정 유체 공급 부(150)는 제 1 세정수 탱크(152), 제 1 유기 용매 탱크(154), 수송 가스 탱크(156), 제 1 혼합기(mixer, 158), 그리고, 제 1 내지 제 3 밸브들(181-183)를 포함할 수 있다. 제 1 세정수 탱크(152)는 제 1 세정 수(151)를 저장할 수 있다. 제 1 밸브(181)는 제 1 세정수 탱크(152)와 제 1 노즐(130) 사이에 연결될 수 있다. 제 1 밸브(181)는 제 1 세정 수(151)의 공급 유량을 조절할 수 있다. 제 1 유기 용매 탱크(154는 제 1 유기 용매(153)를 저장할 수 있다. 제 2 밸브(182)는 제 1 유기 용매 탱크(154)와 제 1 노즐(130) 사이에 연결될 수 있다. 제 2 밸브(182)는 제 1 유기 용매(153)의 공급 유량을 조절할 수 있다. 제 1 혼합기(158)는 제 1 및 제 2 밸브들(181, 182)과 제 1 노즐(130) 사이에 배치될 수 있다. 제 1 혼합기(158)는 제 1 세정 수(151) 및 제 1 유기 용매(153)를 혼합하여 제 1 세정 용액(155)을 제 1 노즐(130)에 제공할 수 있다. 수송 가스 탱크(156)는 수송 가스(157)를 저장할 수 있다. 제 3 밸브(183)는 수송 가스 탱크(156)와 제 1 노즐(130) 사이에 연결될 수 있다. 제 3 밸브(183)은 수송 가스(157)의 공급 유량을 조절할 수 있다. 제어 부(180)는 제 1 내지 제 3 밸브들(181-183)에 연결될 수 있다. 제어 부(180는 제 1 세정 유체(159)의 유량과 압력을 제어할 수 있다. 제어 부(180)는 제 1 유기 용매(153)와 제 1 세정 수(151)의 혼합비를 조절할 수 있다.
제 2 세정 유체 공급 부(160)는 제 2 노즐(140)에 연결될 수 있다. 일 예에 따르면, 세정 유체 공급 부(160)는 제 2 세정 수 탱크(162), 제 2 유기 용매 탱크(164), 제 2 혼합기(166), 그리고, 제 4 및 제 5 밸브들(184, 185)을 포함할 수 있다. 제 2 세정수 탱크(162)는 제 2 세정 수(161)를 저장할 수 있다. 제 4 밸브(184)는 제 2 세정 수 탱크(162)와 제 2 노즐(140) 사이에 연결될 수 있다. 제 4 밸브(184)은 제 2 세정 수(161)의 공급 유량을 조절할 수 있다. 제 2 유기 용매 탱크(164)는 제 2 유기 용매(163)를 저장할 수 있다. 제 5 밸브(185)는 제 2 유기 용매 탱크(164)와 제 2 노즐(140) 사이에 연결될 수 있다. 제 5 밸브(185)는 제 2 유기 용매(163)의 유량을 조절할 수 있다. 제 2 혼합기(186)는 제 4 및 제 5 밸브들(184, 185)과 제 2 노즐(140) 사이에 배치될 수 있다. 제 2 혼합기(149)는 제 2 세정 수(161) 및 제 2 유기 용매(163)를 혼합시켜 제 2 세정 용액(165)을 제 2 노즐(140)에 제공할 수 있다. 제 4 및 제 5 밸브들(184, 185)은 제어 부(180)에 연결될 수 있다. 일 예에 따르면, 제어 부((180)는 제 2 세정 용액(165)의 물방울(14)의 접촉 각(θ)에 따른 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비를 조절시킬 수 있다. 예를 들어, 제어 부(180)는 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비를 2:1 내지 40:1로 조절하여 물 반점 오염들(15)의 발생을 방지할 수 있다. 이와 달리, 제어 부(180)는 제 1 및 제 2 유기 용매들(153,163)과 제 1 및 제 2 세정 수들(151, 161)의 혼합비를 2:1 내지 40:1로 조절하여 물 반점 오염들(15)의 발생을 방지할 수 있다. 이하, 제어 부(180)가 기판(W)을 세정하는 방법을 설명하면 다음과 같다.
도 10은 도 3의 세정 장치(30)의 세정 방법을 보여준다.
도 10을 참조하면, 세정 방법은 박막(12)을 갖는 기판(W)을 제공하는 단계(S10), 상기 박막(12) 상에 제 2 세정 용액(165)의 물방울(14)을 적하 하는 단계(S20), 물방울(14)의 접촉 각(θ)을 판별하는 단계(S30), 상기 접촉 각(θ)에 따른 제 2 세정 용액(165)의 제 2 유기 용매(163)와 제 2 세정 수(161)의 혼합비 결정하는 단계(S40), 결정된 혼합비의 제 2 세정 용액(165)와 제 1 세정 유체(159)로 기판(W)과 박막(12)을 세정하는 단계(S50), 그리고 기판(W)을 건조하는 단계(S60)를 포함할 수 있다.
도 11은 본 발명의 기판 처리 시스템(10a)의 일 예를 보여준다.
도 11을 참조하면, 기판 처리 시스템(10a)은 증착 장치(20)와 세정 장치(30) 사이의 연마 장치(60)를 포함할 수 있다. 연마 장치(60)는 기판(W) 상의 박막(12)을 연마할 수 있다. 증착 장치(20), 세정 장치(30), 포토리소그래피 장치(40), 식각 장치(50)의 구성은 도 1과 동일할 수 있다.
도 12는 도 11의 연마 장치(60)의 일 예를 보여준다.
도 12를 참조하면, 연마 장치(60)는 화학적 기계적 연마 장치일 수 있다. 예를 들어, 연마 장치(60)는 척 테이블((62)과 연마 패드(64)를 포함할 수 있다. 기판(W)은 척 테이블(62)과 연마 패드(64) 사이에 제공될 수 있다. 척 테이블(62)는 기판(W)을 고정할 수 있다. 연마 패드(64)는 회전할 수 있다. 연마 패드(64)는 기판(W) 상의 박막(12)을 연마할 수 있다. 박막(12)은 평탄화될(flattened) 수 있다.
다시 도 3 및 도 8을 참조하면, 평탄화된 박막(12)은 제 2 세정 용액(165)의 물방울(14)의 접촉 각(θ) 측정의 신뢰성을 높일 수 있다.
이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예들 및 응용 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (10)

  1. 기판을 수납하는 척;
    상기 기판 상에 제 1 세정 수 또는 제 1 유기 용매를 제 1 압력으로 제공하는 제 1 노즐; 및
    상기 제 1 노즐과 인접하여 배치되고, 제 2 세정 수와 제 2 유기 용매의 세정 용액을 상기 제 1 압력보다 낮은 제 2 압력으로 제공하는 제 2 노즐을 포함하는 세정 장치.
  2. 제 1 항에 있어서,
    상기 척에 인접하여 배치되고, 상기 기판 상의 상기 세정 용액의 이미지를 검출하는 검출 부; 및
    상기 검출된 이미지로부터 상기 기판에 대한 상기 세정 용액의 접촉 각을 판별하고, 상기 접촉 각에 따라 상기 세정 용액 내의 상기 제 2 유기 용매와 상기 제 2 세정 수의 혼합비를 조절하는 제어 부를 더 포함하는 세정 장치.
  3. 제 2 항에 있어서,
    상기 제어 부는 상기 제 2 세정 수에 대한 상기 제 2 유기 용매의 상기 혼합비를 상기 접촉 각과 반비례하도록 조절하는 세정 장치.
  4. 제 2 항에 있어서,
    상기 접촉 각이 30도 내지 0도일 때, 상기 제 2 유기 용매와 상기 제 2 세정 수의 상기 혼합비는 2:1 내지 40:1인 세정 장치.
  5. 제 2 항에 있어서,
    상기 세정 용액에 입사 광을 제공하는 광원을 더 포함하되,
    상기 제 2 노즐은 상기 세정 용액을 상기 기판 상의 상기 광원과 상기 센서 사이에 제공하는 세정 장치.
  6. 제 2 항에 있어서,
    상기 제 1 세정 수 또는 상기 제 1 유기 용매를 상기 제 1 노즐로 공급하고, 상기 제 1 세정 수 또는 상기 제 1 유기 용매와 혼합되는 수송 가스를 상기 제 1 노즐로 제공하는 제 1 세정 유체 공급 부; 및
    상기 제 2 세정 수와 상기 제 2 유기 용매를 상기 제 2 노즐에 공급하는 제 2 세정 유체 공급 부를 더 포함하는 세정 장치.
  7. 제 6 항에 있어서,
    상기 제 1 및 제 2 세정 유체 공급 부들은:
    상기 제 1 및 제 2 세정 수들과 제 1 및 제 2 유기 용매들을 저장하는 복수개의 탱크들; 및
    상기 복수개의 탱크들과 상기 제 1 및 제 2 노즐들 사이의 복수개의 밸브들을 포함하되,
    상기 제어 부는 상기 복수개의 밸브들을 제어하는 세정 장치.
  8. 제 1 항에 있어서,
    상기 제 2 유기 용매와 상기 제 2 세정 수의 혼합비는 상기 기판 상의 상기 세정 용액의 물방울의 접촉 각에 따라 조절되는 세정 장치.
  9. 제 8 항에 있어서,
    상기 접촉 각은 30도 이하이되,
    상기 제 2 유기 용매와 상기 제 2 세정 수의 상기 혼합비는 2:1 내지 40:1인 세정 장치.
  10. 제 1 항에 있어서,
    상기 제 1 및 제 2 세정 수들의 각각은 초순수, 암모니아수, 계면 활성제, 과산화수소, 또는 SC1을 포함하되,
    상기 제 1 및 제 2 유기 용매들의 각각은 이소프로필렌 알코올을 포함하는 세정 장치.

KR1020160045911A 2016-04-15 2016-04-15 세정 장치 및 그를 포함하는 기판 처리 시스템 KR20170118996A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020160045911A KR20170118996A (ko) 2016-04-15 2016-04-15 세정 장치 및 그를 포함하는 기판 처리 시스템
US15/407,569 US20170297164A1 (en) 2016-04-15 2017-01-17 Cleaning apparatus and substrate processing system including the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160045911A KR20170118996A (ko) 2016-04-15 2016-04-15 세정 장치 및 그를 포함하는 기판 처리 시스템

Publications (1)

Publication Number Publication Date
KR20170118996A true KR20170118996A (ko) 2017-10-26

Family

ID=60040277

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160045911A KR20170118996A (ko) 2016-04-15 2016-04-15 세정 장치 및 그를 포함하는 기판 처리 시스템

Country Status (2)

Country Link
US (1) US20170297164A1 (ko)
KR (1) KR20170118996A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024085975A1 (en) * 2022-10-20 2024-04-25 Applied Materials, Inc. Gas delivery pallet assembly, cleaning unit and chemical mechanical polishing system having the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388537B2 (en) * 2016-04-15 2019-08-20 Samsung Electronics Co., Ltd. Cleaning apparatus, chemical mechanical polishing system including the same, cleaning method after chemical mechanical polishing, and method of manufacturing semiconductor device including the same
KR102464636B1 (ko) * 2018-02-07 2022-11-09 삼성전자주식회사 세정 조성물, 세정 장치, 및 그를 이용한 반도체 소자의 제조 방법
JP7427475B2 (ja) * 2020-02-28 2024-02-05 株式会社Screenホールディングス 基板処理方法
JP7492854B2 (ja) * 2020-05-11 2024-05-30 株式会社荏原製作所 研磨装置及び研磨方法
CN113828583A (zh) * 2021-09-17 2021-12-24 西安理工大学 一种冷水系统中均压电极的表面除垢装置及除垢方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024085975A1 (en) * 2022-10-20 2024-04-25 Applied Materials, Inc. Gas delivery pallet assembly, cleaning unit and chemical mechanical polishing system having the same

Also Published As

Publication number Publication date
US20170297164A1 (en) 2017-10-19

Similar Documents

Publication Publication Date Title
KR20170118996A (ko) 세정 장치 및 그를 포함하는 기판 처리 시스템
EP1583136B1 (en) Control of ambient environment during wafer drying using proximity head
JP6419053B2 (ja) 基板処理方法および基板処理装置
US20140332037A1 (en) Controls of Ambient Environment During Wafer Drying Using Proximity Head
US7228645B2 (en) Multi-zone shower head for drying single semiconductor substrate
US20120255586A1 (en) Apparatus and methods for cleaning and drying of wafers
JP5662081B2 (ja) 基板処理方法および基板処理装置
KR20120022632A (ko) 기판처리방법 및 기판처리장치
US7383601B2 (en) Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
JP2013004705A (ja) 基板処理方法及び基板処理ユニット
US20140060575A1 (en) Substrate treating method
TWI767074B (zh) 用以預防高深寬比結構之黏滯作用及/或修補高深寬比結構的系統及方法
JP5248652B2 (ja) 基板処理方法および基板処理装置
US20080135069A1 (en) Method and apparatus for active particle and contaminant removal in wet clean processes in semiconductor manufacturing
US20050155629A1 (en) Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US9640384B2 (en) Substrate cleaning apparatus and substrate cleaning method
CN111613549B (zh) 基片处理装置及基片处理方法
JP6310583B2 (ja) 基板処理方法および基板処理装置
WO2022085449A1 (ja) 基板処理方法、及び基板処理装置
JP5674851B2 (ja) 基板処理方法および基板処理装置
JP2021197421A (ja) 基板処理ノズル
JP6571253B2 (ja) 基板処理方法および基板処理装置
CN108063106B (zh) 对准标记重现清洗装置及使晶圆上的对准标记重现的方法
US20050133156A1 (en) Apparatus and method for wafer planarization
JP2004335840A (ja) 基板からの水分除去装置及び水分除去方法