KR20170104238A - 신호를 송수신하는 전자 장치 및 방법 - Google Patents

신호를 송수신하는 전자 장치 및 방법 Download PDF

Info

Publication number
KR20170104238A
KR20170104238A KR1020160026989A KR20160026989A KR20170104238A KR 20170104238 A KR20170104238 A KR 20170104238A KR 1020160026989 A KR1020160026989 A KR 1020160026989A KR 20160026989 A KR20160026989 A KR 20160026989A KR 20170104238 A KR20170104238 A KR 20170104238A
Authority
KR
South Korea
Prior art keywords
power
antenna
group
signal
unit
Prior art date
Application number
KR1020160026989A
Other languages
English (en)
Other versions
KR102468952B1 (ko
Inventor
고용림
윤태식
박성철
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160026989A priority Critical patent/KR102468952B1/ko
Priority to MYPI2018703135A priority patent/MY188229A/en
Priority to EP17763546.3A priority patent/EP3425808B1/en
Priority to PCT/KR2017/002461 priority patent/WO2017155285A1/ko
Priority to US16/080,482 priority patent/US11362689B2/en
Publication of KR20170104238A publication Critical patent/KR20170104238A/ko
Priority to US17/825,089 priority patent/US11616518B2/en
Application granted granted Critical
Publication of KR102468952B1 publication Critical patent/KR102468952B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0602Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using antenna switching
    • H04B7/0608Antenna selection according to transmission parameters
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/005Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission adapting radio receivers, transmitters andtransceivers for operation on two or more bands, i.e. frequency ranges
    • H04B1/0064Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission adapting radio receivers, transmitters andtransceivers for operation on two or more bands, i.e. frequency ranges with separate antennas for the more than one band
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/005Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission adapting radio receivers, transmitters andtransceivers for operation on two or more bands, i.e. frequency ranges
    • H04B1/0067Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission adapting radio receivers, transmitters andtransceivers for operation on two or more bands, i.e. frequency ranges with one or more circuit blocks in common for different bands
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • H04B1/401Circuits for selecting or indicating operating mode
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • H04B1/44Transmit/receive switching
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0404Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas the mobile station comprising multiple antennas, e.g. to provide uplink diversity
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/08Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station
    • H04B7/0802Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station using antenna selection
    • H04B7/0817Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station using antenna selection with multiple receivers and antenna path selection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/08Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the receiving station
    • H04B7/0868Hybrid systems, i.e. switching and combining
    • H04B7/0871Hybrid systems, i.e. switching and combining using different reception schemes, at least one of them being a diversity reception scheme
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B2001/0408Circuits with power amplifiers
    • H04B2001/0416Circuits with power amplifiers having gain or transmission power control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Telephone Function (AREA)
  • Mobile Radio Communication Systems (AREA)
  • Amplifiers (AREA)

Abstract

본 발명은 전자 장치에 관한 것으로서, 신호를 송수신하는 전자 장치 및 방법에 관한 것이다.
이를 위해 본 발명은, 전자 장치에 있어서, 적어도 하나의 PA(power amplifier)를 포함하는 제1 PA 그룹과 적어도 하나의 PA를 포함하는 제2 PA 그룹을 포함하는 송수신부와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나를 포함하는 안테나부와, 상기 제1 PA 그룹에 연결되는 제1 전원 변조기와 상기 제2 PA 그룹에 연결되는 제2 전원 변조기를 포함하는 전원부와, 상기 제1 군의 PA 중 적어도 하나와 상기 제2 군의 PA 중 적어도 하나는 동시에 신호의 송신이 가능하고, 상기 제1 전원 변조기와 상기 제2 전원 변조기중 적어도 하나에 연결된 PA의 송신 전력에 적어도 일부 기반하여 출력전압을 변경하는 통신 프로세서를 포함할 수 있다.

Description

신호를 송수신하는 전자 장치 및 방법{ELECTRONIC APPARATUS AND METHOD FOR TRANSCEIVING SIGNALS}
본 발명은 전자 장치에 관한 것으로서, 신호를 송수신하는 전자 장치 및 방법에 관한 것이다.
전자 장치에서 제공되는 다양한 서비스 및 부가 기능들은 점차 확대되고 다양해지고 있다. 전자 장치의 효용 가치를 높이고 사용자들의 다양한 욕구를 만족시키기 위해서 전자 장치는 지속적으로 개발되고 있다. 이러한, 사용자의 욕구를 충족하는 하나의 예로서, 전자 장치는 데이터를 외부 전자 장치와 송수신할 수 있다. 이러한 데이터 송수신을 위해 전자 장치의 RF(radio frequency)회로는 전력 변조기에서 제어되는 전압을 PA(power amplifier)에 제공하여 신호를 송수신할 수 있다.
종래에는 하나의 전력 변조기를 통해 신호를 송신하기 때문에, 동시에 복수의 업링크를 구성하는 업링크 CA(Carrier Aggregation)을 효율적으로 수행하지 못하였다. ET(Envelope Tracking) 또는 APT(Average Power Tracking) 같은 송신 전력효율을 높이는 기술을 사용할 경우 PA 출력전력에 전력 변조기 에서 출력 되는 PA 공급 전압이 종속된다. 업링크 CA 동작 시 각각의 PA 의 출력전력은 독립적이기 때문에 하나의 전력 변조기로는 복수의 PA가 동작하는 업링크 CA 동작을 효율적인 지원하기 어렵다. 또한, 전원 문제가 해결되더라도 종래 RF 구조에서는 유사 대역을 사용한 업링크 CA를 지원할 수 없었다. 예를 들면, 업링크 CA에 사용될 주파수가 모두 MB(Mid band)에 속하는 경우, 사용할 PA가 부족하여 업링크 CA를 수행할 수 없었다
따라서, 최소의 전원 변조기와 PA를 추가하여 요구되는 모든 조합의 두 개의 업링크 CA를 지원할 필요성이 제기된다.
따라서, 본 발명은 본 발명은 전자 장치에 관한 것으로서, 신호를 송수신하는 전자 장치 및 방법을 제공한다.
또한, 본 발명은 최소의 전원 변조기와 PA를 추가하여 두 개의 업링크 CA 및 세 개의 다운링크 CA를 통해 데이터 송수신 속도를 향상시키는 전자 장치 및 방법을 제공한다.
상술한 바를 달성하기 위해 본 발명은, 전자 장치에 있어서, 적어도 하나의 PA(power amplifier)를 포함하는 제1 PA 그룹과 적어도 하나의 PA를 포함하는 제2 PA 그룹을 포함하는 송수신부와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나를 포함하는 안테나부와, 상기 제1 PA 그룹에 연결되는 제1 전원 변조기와 상기 제2 PA 그룹에 연결되는 제2 전원 변조기를 포함하는 전원부와, 상기 제1군의 PA 중 적어도 하나와 상기 제2군의 PA 중 적어도 하나는 동시에 신호의 송신이 가능하고, 상기 제1 전원 변조기와 상기 제2전원 변조기중 적어도 하나에 연결된 PA의 송신 전력에 적어도 일부 기반하여 출력전압을 변경하는 통신 프로세서를 포함할 수 있다.
또한, 상술한 바를 달성하기 위해 본 발명은, 전자 장치에 있어서, 복수의 전원 변조기를 포함하는 전원부와, 복수의 안테나를 포함하는 안테나부와, LB(low band), MB(middle band) 및 HB(high band)를 포함하는 복수의 PA 그룹과, 각각의 PA 그룹으로의 스위칭 또는 각각의 PA 그룹에 포함되는 LB, MB 및 HB로의 스위칭을 수행하는 경로 선택부를 포함하는 송수신부와, 상기 전원부에 포함된 각각의 전원 변조기로부터 출력되는 전력과 상기 경로 선택부에 포함된 각각의 스위치를 제어하여 상기 복수의 안테나를 통해 신호의 송수신을 제어하는 통신 프로세서를 포함할 수 있다.
또한, 상술한 바를 달성하기 위해 본 발명은, 전자 장치에서 신호를 송수신하는 방법에 있어서, 전원부에 구성된 제1 전원 변조기에서 출력되는 전력을 이용하여 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 동작과, 업링크 CA(carrier aggregation) 요청을 감지하는 동작과, 상기 감지된 요청에 대응하여 상기 전원부에 구성되는 제2 전원 변조기를 활성화하여 상기 제2 PA 그룹을 동작시키는 동작과, 상기 통신을 수행하고 있는 상태에서 상기 제2 PA 그룹 내의 PA를 통해 신호의 송수신을 제어하는 동작을 포함할 수 있다.
본 발명에 따르면, 최소의 전원 변조기와 PA를 추가하여 두 개의 업링크 CA 및 세 개 이상의 다운링크 CA를 통해 데이터 송수신 속도를 향상시키는 전자 장치 및 방법을 제공함으로써, 데이터 송수신 속도를 향상시킬 수 있을 뿐만 아니라, 소모되는 전력을 줄일 수 있다.
도 1은 다양한 실시예에 따른 네트워크 환경(100) 내의 전자 장치(101)가 기재된다.
도 2는 다양한 실시예에 따른 전자 장치(201)의 블록도이다.
도 3은 다양한 실시예에 따른 프로그램 모듈의 블록도이다.
도 4는 본 발명의 다양한 실시 예에 따른 신호를 송수신하는 전자 장치를 나타낸 블럭도이다.
도 5a는 도 4의 전자 장치를 보다 상세히 나타낸 제1 예시도이고, 도 5b는 도 4의 전자 장치를 보다 상세히 나타낸 제2 예시도이고, 도 5c는 도 4의 전자 장치를 보다 상세히 나타낸 제3 예시도이다.
도 6은 본 발명의 다양한 실시 예에 따른 업링크 CA를 수행하는 과정을 나타낸 순서도이다.
도 7은 본 발명의 일 실시 예에 따른 통신 상태에서 전력을 제어하는 과정을 나타낸 순서도이다.
도 8의 (a)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 포락선 트래킹 모드에 따라 제어하는 예시도이다.
도 8의 (b)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 평균 전력 트래킹 모드에 따라 제어하는 예시도이다.
도 8의 (c)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 바이패스 모드에 따라 제어하는 예시도이다.
도 9는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 제어하는 과정을 나타낸 순서도이다.
도 10은 본 발명의 일 실시 예에 따른 전자 장치의 회로도를 나타낸 예시도이다.
도 11은 본 발명의 두 개의 다이버시티 안테나를 포함하여 업링크 CA를 수행하는 블럭도이다.
도 12는 본 발명의 두 개의 다이버시티 안테나를 포함하여 업링크 CA를 수행하는 블럭도이다.
도 13은 본 발명의 일 실시 예에 따른 두 개의 업링크 CA를 제어하는 과정을 나타낸 순서도이다.
도 14는 본 발명의 일 실시 예에 따른 두 개의 업링크 CA를 지원하고 HB를 포함하는 구조를 나타낸 예시도이다.
도 15a는 본 발명의 일 실시 예에 따른 트랜시버와 통신 프로세서를 추가하여 도시한 예시도이고
도 15b는 본 발명의 일 실시 예에 따른 업링크 CA를 수행하는 동안 HB를 이용한 업링크 MIMO를 수행하는 예시도이다.
도 16a는 본 발명의 실시 예에 따른 제1 RF 및 제2 RF를 하나의 스위치를 통해 제1 안테나 및 제2 안테나와 임의로 연결하는 예시도이고,
도 16b는 본 발명의 일 실시 예에 따른 제1 RF의 LB와 연결되는 제1 안테나와 LB의 다이버시티부에 연결된 제3 안테나의 연결을 스왑하기 위해 스위치를 추가한 예시도이고,
도 16c는 도 16b에서 추가된 스위치를 통해 제1 RF의 LB와 연결되는 제1 안테나와 LB의 다이버시티부에 연결된 제3 안테나의 연결을 스왑한 예시도이고,
도 16d는 도 16b에서 제1 안테나와 제3 안테나를 선택적으로 연결하는 스위치 대신 다이플렉서를 사용한 예시도이다.
도 17은 본 발명의 다양한 실시예에 따른 전자 장치(101)의 사시도이다.
도 18은 본 발명의 일 실시 예에 따른 복수의 안테나를 전자 장치에 실장한 예시도이다.
도 19는 본 발명의 다양한 실시예에 따른 안테나 장치의 구성도이다.
도 20a는 본 발명의 일 실시 예에 따른 서로 다른 주파수 대역을 갖는 제1 RF 및 제2 RF가 하나의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이다.
도 20b는 도 20a의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20c는 본 발명의 일 실시 예에 따른 중첩되는 주파수 대역을 갖는 제1 RF 및 제2 RF가 하나의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이다.
도 20d는 도 20c의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20e는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF가 각각의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이다.
도 20f는 도 20e의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20g는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF를 두 개의 안테나에 선택적으로 연결 가능한 업링크 CA 구조를 나타낸 예시도이다.
도 20h는 도 20g의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20i는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF가 각각 복수의 입출력 포트를 포함하며 두 개의 안테나에 선택적으로 연결 가능한 업링크 CA 구조를 나타낸 예시도이다.
도 20j는 도 20g의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20k는 본 발명의 일 실시 예에 따른 제1 RF내의 PA를 LB, M/HB로 모듈화하고, 제2 RF내의 PA를 LB, M/HB로 모듈화한 업링크 CA 구조를 나타낸 예시도이다.
도 20l은 도 20k의 제1 RF내의 PA들을 제1 전원 변조기에 연결하고, 제2 RF내의 PA들을 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20m은 본 발명의 일 실시 예에 따른 제1 RF내의 PA를 LB, MB, HB로 모듈화하고, 제2 RF내의 PA를 LB, MB, HB로 모듈화한 업링크 CA 구조를 나타낸 예시도이다.
도 20n은 도 20m의 제1 RF내의 PA들을 제1 전원 변조기에 연결하고, 제2 RF 내의 PA들을 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20o는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF의 각 PA들을 네 개의 안테나에 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20p는 도 20o의 제1 RF내의 각 PA들을 제1 전원 변조기에 연결하고, 제2 RF내의 각 PA들을 제2 전원 변조기에 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20q는 본 발명의 일 실시 예에 따른 n 개의 RF, m 개의 안테나 및 k 개의 전원 변조기를 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20r은 본 발명의 일 실시 예에 따른 제1 RF에서 LB 및 MB를 지원하고, 제2 RF에서 MB 및 HB를 지원한 경우의 업링크 CA 구조를 나타낸 예시도이다.
도 20s는 도 20r의 제1 RF내의 LB 및 MB를 제1 전원 변조기에 연결하고, 제2 RF내의 MB 및 HB를 제2 전원 변조기에 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20t는 도 20s의 경로 선택부를 구체화한 예시도이다.
이하, 본 문서의 다양한 실시예가 첨부된 도면을 참조하여 기재된다. 그러나, 이는 본 문서에 기재된 기술을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 문서의 실시예의 다양한 변경(modifications), 균등물(equivalents), 및/또는 대체물(alternatives)을 포함하는 것으로 이해되어야 한다. 도면의 설명과 관련하여, 유사한 구성요소에 대해서는 유사한 참조 부호가 사용될 수 있다.
본 문서에서, "가진다," "가질 수 있다," "포함한다," 또는 "포함할 수 있다" 등의 표현은 해당 특징(예: 수치, 기능, 동작, 또는 부품 등의 구성요소)의 존재를 가리키며, 추가적인 특징의 존재를 배제하지 않는다.
본 문서에서, "A 또는 B," "A 또는/및 B 중 적어도 하나," 또는 "A 또는/및 B 중 하나 또는 그 이상"등의 표현은 함께 나열된 항목들의 모든 가능한 조합을 포함할 수 있다. 예를 들면, "A 또는 B," "A 및 B 중 적어도 하나," 또는 "A 또는 B 중 적어도 하나"는, (1) 적어도 하나의 A를 포함, (2) 적어도 하나의 B를 포함, 또는 (3) 적어도 하나의 A 및 적어도 하나의 B 모두를 포함하는 경우를 모두 지칭할 수 있다.
본 문서에서 사용된 "제 1," "제 2," "첫째," 또는 "둘째,"등의 표현들은 다양한 구성요소들을, 순서 및/또는 중요도에 상관없이 수식할 수 있고, 한 구성요소를 다른 구성요소와 구분하기 위해 사용될 뿐 해당 구성요소들을 한정하지 않는다. 예를 들면, 제 1 사용자 기기와 제 2 사용자 기기는, 순서 또는 중요도와 무관하게, 서로 다른 사용자 기기를 나타낼 수 있다. 예를 들면, 본 문서에 기재된 권리 범위를 벗어나지 않으면서 제 1 구성요소는 제 2 구성요소로 명명될 수 있고, 유사하게 제 2 구성요소도 제 1 구성요소로 바꾸어 명명될 수 있다.
어떤 구성요소(예: 제 1 구성요소)가 다른 구성요소(예: 제 2 구성요소)에 "(기능적으로 또는 통신적으로) 연결되어((operatively or communicatively) coupled with/to)" 있다거나 "접속되어(connected to)" 있다고 언급된 때에는, 상기 어떤 구성요소가 상기 다른 구성요소에 직접적으로 연결되거나, 다른 구성요소(예: 제 3 구성요소)를 통하여 연결될 수 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소(예: 제 1 구성요소)가 다른 구성요소(예: 제 2 구성요소)에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 상기 어떤 구성요소와 상기 다른 구성요소 사이에 다른 구성요소(예: 제 3 구성요소)가 존재하지 않는 것으로 이해될 수 있다.
본 문서에서 사용된 표현 "~하도록 구성된(또는 설정된)(configured to)"은 상황에 따라, 예를 들면, "~에 적합한(suitable for)," "~하는 능력을 가지는(having the capacity to)," "~하도록 설계된(designed to)," "~하도록 변경된(adapted to)," "~하도록 만들어진(made to)," 또는 "~를 할 수 있는(capable of)"과 바꾸어 사용될 수 있다. 용어 "~하도록 구성된(또는 설정된)"은 하드웨어적으로 "특별히 설계된(specifically designed to)" 것만을 반드시 의미하지 않을 수 있다. 대신, 어떤 상황에서는, "~하도록 구성된 장치"라는 표현은, 그 장치가 다른 장치 또는 부품들과 함께 "~할 수 있는" 것을 의미할 수 있다. 예를 들면, 문구 "A, B, 및 C를 수행하도록 구성된(또는 설정된) 프로세서"는 해당 동작을 수행하기 위한 전용 프로세서(예: 임베디드 프로세서), 또는 메모리 장치에 저장된 하나 이상의 소프트웨어 프로그램들을 실행함으로써, 해당 동작들을 수행할 수 있는 범용 프로세서(generic-purpose processor)(예: CPU 또는 application processor)를 의미할 수 있다.
본 문서에서 사용된 용어들은 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 다른 실시예의 범위를 한정하려는 의도가 아닐 수 있다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함할 수 있다. 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 용어들은 본 문서에 기재된 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가질 수 있다. 본 문서에 사용된 용어들 중 일반적인 사전에 정의된 용어들은, 관련 기술의 문맥상 가지는 의미와 동일 또는 유사한 의미로 해석될 수 있으며, 본 문서에서 명백하게 정의되지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다. 경우에 따라서, 본 문서에서 정의된 용어일지라도 본 문서의 실시예들을 배제하도록 해석될 수 없다.
본 문서의 다양한 실시예들에 따른 전자 장치는, 예를 들면, 스마트폰(smartphone), 태블릿 PC(tablet personal computer), 이동 전화기(mobile phone), 영상 전화기, 전자책 리더기(e-book reader), 데스크탑 PC(desktop personal computer), 랩탑 PC(laptop personal computer), 넷북 컴퓨터(netbook computer), 워크스테이션(workstation), 서버, PDA(personal digital assistant), PMP(portable multimedia player), MP3 플레이어, 모바일 의료기기, 카메라(camera), 또는 웨어러블 장치(wearable device) 중 적어도 하나를 포함할 수 있다. 다양한 실시예에 따르면, 웨어러블 장치는 액세서리형(예: 시계, 반지, 팔찌, 발찌, 목걸이, 안경, 콘택트 렌즈, 또는 머리 착용형 장치(head-mounted-device(HMD)), 직물 또는 의류 일체형(예: 전자 의복), 신체 부착형(예: 스킨 패드(skin pad) 또는 문신), 또는 생체 이식형(예: implantable circuit) 중 적어도 하나를 포함할 수 있다.
어떤 실시예들에서, 전자 장치는 가전 제품(home appliance)일 수 있다. 가전 제품은, 예를 들면, 텔레비전, DVD(digital video disk) 플레이어, 오디오, 냉장고, 에어컨, 청소기, 오븐, 전자레인지, 세탁기, 공기 청정기, 셋톱 박스(set-top box), 홈 오토매이션 컨트롤 패널(home automation control panel), 보안 컨트롤 패널(security control panel), TV 박스(예: 삼성 HomeSyncTM, 애플TVTM, 또는 구글 TVTM), 게임 콘솔(예: XboxTM, PlayStationTM), 전자 사전, 전자 키, 캠코더(camcorder), 충전 장치 또는 전자 액자 중 적어도 하나를 포함할 수 있다.
다른 실시예에서, 전자 장치는, 각종 의료기기(예: 각종 휴대용 의료측정기기(혈당 측정기, 심박 측정기, 혈압 측정기, 또는 체온 측정기 등), MRA(magnetic resonance angiography), MRI(magnetic resonance imaging), CT(computed tomography), 촬영기, 또는 초음파기 등), 네비게이션(navigation) 장치, 위성 항법 시스템(GNSS(global navigation satellite system)), EDR(event data recorder), FDR(flight data recorder), 자동차 인포테인먼트(infotainment) 장치, 선박용 전자 장비(예: 선박용 항법 장치, 자이로 콤파스 등), 항공 전자기기(avionics), 보안 기기, 차량용 헤드 유닛(head unit), 산업용 또는 가정용 로봇, 금융 기관의 ATM(automatic teller's machine), 상점의 POS(point of sales), 또는 사물 인터넷 장치(internet of things)(예: 전구, 각종 센서, 전기 또는 가스 미터기, 스프링클러 장치, 화재경보기, 온도조절기(thermostat), 가로등, 토스터(toaster), 운동기구, 온수탱크, 히터, 보일러 등) 중 적어도 하나를 포함할 수 있다.
어떤 실시예에 따르면, 전자 장치는 가구(furniture) 또는 건물/구조물의 일부, 전자 보드(electronic board), 전자 사인 수신 장치(electronic signature receiving device), 프로젝터(projector), 또는 각종 계측 기기(예: 수도, 전기, 가스, 또는 전파 계측 기기 등) 중 적어도 하나를 포함할 수 있다. 다양한 실시예에서, 전자 장치는 전술한 다양한 장치들 중 하나 또는 그 이상의 조합일 수 있다. 어떤 실시예에 따른 전자 장치는 플렉서블 전자 장치일 수 있다. 또한, 본 문서의 실시예에 따른 전자 장치는 전술한 기기들에 한정되지 않으며, 기술 발전에 따른 새로운 전자 장치를 포함할 수 있다.
이하, 첨부 도면을 참조하여, 다양한 실시예에 따른 전자 장치가 설명된다. 본 문서에서, 사용자라는 용어는 전자 장치를 사용하는 사람 또는 전자 장치를 사용하는 장치(예: 인공지능 전자 장치)를 지칭할 수 있다.
도 1은 다양한 실시예에 따른 네트워크 환경(100) 내의 전자 장치(101)가 기재된다.
전자 장치(101)는 버스(110), 프로세서(120), 메모리(130), 입출력 인터페이스(150), 디스플레이(160), 및 통신 인터페이스(170)를 포함할 수 있다. 어떤 실시예에서는, 전자 장치(101)는, 구성요소들 중 적어도 하나를 생략하거나 다른 구성요소를 추가적으로 구비할 수 있다.
버스(110)는, 예를 들면, 구성요소들(110-170)을 서로 연결하고, 구성요소들 간의 통신(예: 제어 메시지 및/또는 데이터)을 전달하는 회로를 포함할 수 있다.
프로세서(120)는, 중앙처리장치(central processing unit(CPU)), 어플리케이션 프로세서(application processor(AP)), 또는 통신 프로세서(communication processor(CP)) 중 하나 또는 그 이상을 포함할 수 있다. 프로세서(120)는, 예를 들면, 전자 장치(101)의 적어도 하나의 다른 구성요소들의 제어 및/또는 통신에 관한 연산이나 데이터 처리를 실행할 수 있다.
메모리(130)는, 휘발성 및/또는 비휘발성 메모리를 포함할 수 있다. 메모리(130)는, 예를 들면, 전자 장치(101)의 적어도 하나의 다른 구성요소에 관계된 명령 또는 데이터를 저장할 수 있다. 한 실시예에 따르면, 메모리(130)는 소프트웨어 및/또는 프로그램(140)을 저장할 수 있다. 프로그램(140)은, 예를 들면, 커널(141), 미들웨어(143), 어플리케이션 프로그래밍 인터페이스(application programming interface(API))(145), 및/또는 어플리케이션 프로그램(또는 "어플리케이션")(147) 등을 포함할 수 있다. 커널(141), 미들웨어(143), 또는 API(145)의 적어도 일부는, 운영 시스템(operating system(OS))으로 지칭될 수 있다.
커널(141)은, 예를 들면, 다른 프로그램들(예: 미들웨어(143), API(145), 또는 어플리케이션 프로그램(147))에 구현된 동작 또는 기능을 실행하는 데 사용되는 시스템 리소스들(예: 버스(110), 프로세서(120), 또는 메모리(130) 등)을 제어 또는 관리할 수 있다. 또한, 커널(141)은 미들웨어(143), API(145), 또는 어플리케이션 프로그램(147)에서 전자 장치(101)의 개별 구성요소에 접근함으로써, 시스템 리소스들을 제어 또는 관리할 수 있는 인터페이스를 제공할 수 있다.
미들웨어(143)는, 예를 들면, API(145) 또는 어플리케이션 프로그램(147)이 커널(141)과 통신하여 데이터를 주고받을 수 있도록 중개 역할을 수행할 수 있다.
또한, 미들웨어(143)는 어플리케이션 프로그램(147)으로부터 수신된 하나 이상의 작업 요청들을 우선 순위에 따라 처리할 수 있다. 예를 들면, 미들웨어(143)는 어플리케이션 프로그램(147) 중 적어도 하나에 전자 장치(101)의 시스템 리소스(예: 버스(110), 프로세서(120), 또는 메모리(130) 등)를 사용할 수 있는 우선 순위를 부여할 수 있다. 예컨대, 미들웨어(143)는 상기 적어도 하나에 부여된 우선 순위에 따라 상기 하나 이상의 작업 요청들을 처리함으로써, 상기 하나 이상의 작업 요청들에 대한 스케쥴링 또는 로드 밸런싱 등을 수행할 수 있다.
API(145)는, 예를 들면, 어플리케이션(147)이 커널(141) 또는 미들웨어(143)에서 제공되는 기능을 제어하기 위한 인터페이스로, 예를 들면, 파일 제어, 창 제어, 영상 처리, 또는 문자 제어 등을 위한 적어도 하나의 인터페이스 또는 함수(예: 명령어)를 포함할 수 있다.
입출력 인터페이스(150)는, 예를 들면, 사용자 또는 다른 외부 기기로부터 입력된 명령 또는 데이터를 전자 장치(101)의 다른 구성요소(들)에 전달할 수 있는 인터페이스의 역할을 할 수 있다. 또한, 입출력 인터페이스(150)은 전자 장치(101)의 다른 구성요소(들)로부터 수신된 명령 또는 데이터를 사용자 또는 다른 외부 기기로 출력할 수 있다.
디스플레이(160)는, 예를 들면, 액정 디스플레이(liquid crystal display(LCD)), 발광 다이오드(light-emitting diode(LED)) 디스플레이, 유기 발광 다이오드(organic light-emitting diode(OLED)) 디스플레이, 또는 마이크로 전자기계 시스템(microelectromechanical systems(MEMS)) 디스플레이, 또는 전자종이(electronic paper) 디스플레이를 포함할 수 있다. 디스플레이(160)는, 예를 들면, 사용자에게 각종 콘텐츠(예: 텍스트, 이미지, 비디오, 아이콘, 또는 심볼 등)을 표시할 수 있다. 디스플레이(160)는, 터치 스크린을 포함할 수 있으며, 예를 들면, 전자 펜 또는 사용자의 신체의 일부를 이용한 터치, 제스쳐, 근접, 또는 호버링 입력을 수신할 수 있다.
통신 인터페이스(170)는, 예를 들면, 전자 장치(101)와 외부 장치(예: 제 1 외부 전자 장치(102), 제 2 외부 전자 장치(104), 또는 서버(106)) 간의 통신을 설정할 수 있다. 예를 들면, 통신 인터페이스(170)는 무선 통신 또는 유선 통신을 통해서 네트워크(162)에 연결되어 외부 장치(예: 제 2 외부 전자 장치(104) 또는 서버(106))와 통신할 수 있다.
무선 통신은, 예를 들면, 셀룰러 통신 프로토콜로서, 예를 들면, LTE(long-term evolution), LTE-A(LTE Advance), CDMA(code division multiple access), WCDMA(wideband CDMA), UMTS(universal mobile telecommunications system), WiBro(Wireless Broadband), 또는 GSM(Global System for Mobile Communications) 등 중 적어도 하나를 사용할 수 있다. 또한, 무선 통신은, 예를 들면, 근거리 통신(164)을 포함할 수 있다. 근거리 통신(164)은, 예를 들면, WiFi(wireless fidelity), 블루투스(Bluetooth), NFC(near field communication), 또는 GNSS(global navigation satellite system) 등 중 적어도 하나를 포함할 수 있다. GNSS는 사용 지역 또는 대역폭 등에 따라, 예를 들면, GPS(Global Positioning System), Glonass(Global Navigation Satellite System), Beidou Navigation Satellite System(이하 "Beidou") 또는 Galileo, the European global satellite-based navigation system 중 적어도 하나를 포함할 수 있다. 이하, 본 문서에서는, "GPS"는 "GNSS"와 혼용되어 사용(interchangeably used)될 수 있다. 유선 통신은, 예를 들면, USB(universal serial bus), HDMI(high definition multimedia interface), RS-232(recommended standard232), 또는 POTS(plain old telephone service) 등 중 적어도 하나를 포함할 수 있다. 네트워크(162)는 통신 네트워크(telecommunications network), 예를 들면, 컴퓨터 네트워크(computer network)(예: LAN 또는 WAN), 인터넷, 또는 전화 망(telephone network) 중 적어도 하나를 포함할 수 있다.
제 1 및 제 2 외부 전자 장치(102, 104) 각각은 전자 장치(101)와 동일한 또는 다른 종류의 장치일 수 있다. 한 실시예에 따르면, 서버(106)는 하나 또는 그 이상의 서버들의 그룹을 포함할 수 있다. 다양한 실시예에 따르면, 전자 장치(101)에서 실행되는 동작들의 전부 또는 일부는 다른 하나 또는 복수의 전자 장치(예: 전자 장치(102,104), 또는 서버(106)에서 실행될 수 있다. 한 실시예에 따르면, 전자 장치(101)가 어떤 기능이나 서비스를 자동으로 또는 요청에 의하여 수행해야 할 경우에, 전자 장치(101)는 기능 또는 서비스를 자체적으로 실행시키는 대신에 또는 추가적으로, 그와 연관된 적어도 일부 기능을 다른 장치(예: 전자 장치(102, 104), 또는 서버(106))에게 요청할 수 있다. 다른 전자 장치(예: 전자 장치(102, 104), 또는 서버(106))는 요청된 기능 또는 추가 기능을 실행하고, 그 결과를 전자 장치(101)로 전달할 수 있다. 전자 장치(101)는 수신된 결과를 그대로 또는 추가적으로 처리하여 요청된 기능이나 서비스를 제공할 수 있다. 이를 위하여, 예를 들면, 클라우드 컴퓨팅, 분산 컴퓨팅, 또는 클라이언트-서버 컴퓨팅 기술이 이용될 수 있다.
도 2는 다양한 실시예에 따른 전자 장치(201)의 블록도이다.
전자 장치(201)는, 예를 들면, 도 1에 도시된 전자 장치(101)의 전체 또는 일부를 포함할 수 있다. 전자 장치(201)는 하나 이상의 프로세서(예: AP(application processor))(210), 통신 모듈(220), 가입자 식별 모듈(224), 메모리(230), 센서 모듈(240), 입력 장치(250), 디스플레이(260), 인터페이스(270), 오디오 모듈(280), 카메라 모듈(291), 전력 관리 모듈(295), 배터리(296), 인디케이터(297), 및 모터(298) 를 포함할 수 있다.
프로세서(210)는, 예를 들면, 운영 체제 또는 응용 프로그램을 구동하여 프로세서(210)에 연결된 다수의 하드웨어 또는 소프트웨어 구성요소들을 제어할 수 있고, 각종 데이터 처리 및 연산을 수행할 수 있다. 프로세서(210)는, 예를 들면, SoC(system on chip) 로 구현될 수 있다. 한 실시예에 따르면, 프로세서(210)는 GPU(graphic processing unit) 및/또는 이미지 신호 프로세서(image signal processor)를 더 포함할 수 있다. 프로세서(210)는 도 2에 도시된 구성요소들 중 적어도 일부(예: 셀룰러 모듈(221))를 포함할 수도 있다. 프로세서(210) 는 다른 구성요소들(예: 비휘발성 메모리) 중 적어도 하나로부터 수신된 명령 또는 데이터를 휘발성 메모리에 로드(load)하여 처리하고, 다양한 데이터를 비휘발성 메모리에 저장(store)할 수 있다.
통신 모듈(220)은, 도 1의 통신 인터페이스(170)와 동일 또는 유사한 구성을 가질 수 있다. 통신 모듈(220)은, 예를 들면, 셀룰러 모듈(221), WiFi 모듈(223), 블루투스 모듈(225), GNSS 모듈(227)(예: GPS 모듈, Glonass 모듈, Beidou 모듈, 또는 Galileo 모듈), NFC 모듈(228) 및 RF(radio frequency) 모듈(229)를 포함할 수 있다.
셀룰러 모듈(221)은, 예를 들면, 통신망을 통해서 음성 통화, 영상 통화, 문자 서비스, 또는 인터넷 서비스 등을 제공할 수 있다. 한 실시예에 따르면, 셀룰러 모듈(221)은 가입자 식별 모듈(예: SIM 카드)(224)을 이용하여 통신 네트워크 내에서 전자 장치(201)의 구별 및 인증을 수행할 수 있다. 한 실시예에 따르면, 셀룰러 모듈(221)은 프로세서(210)가 제공할 수 있는 기능 중 적어도 일부 기능을 수행할 수 있다. 한 실시예에 따르면, 셀룰러 모듈(221)은 커뮤니케이션 프로세서(CP: communication processor)를 포함할 수 있다.
WiFi 모듈(223), 블루투스 모듈(225), GNSS 모듈(227) 또는 NFC 모듈(228) 각각은, 예를 들면, 해당하는 모듈을 통해서 송수신되는 데이터를 처리하기 위한 프로세서를 포함할 수 있다. 어떤 실시예에 따르면, 셀룰러 모듈(221), WiFi 모듈(223), 블루투스 모듈(225), GNSS 모듈(227) 또는 NFC 모듈(228) 중 적어도 일부(예: 두 개 이상)는 하나의 integrated chip(IC) 또는 IC 패키지 내에 포함될 수 있다.
RF 모듈(229)은, 예를 들면, 통신 신호(예: RF 신호)를 송수신할 수 있다. RF 모듈(229)은, 예를 들면, 트랜시버(transceiver), PAM(power amp module), 주파수 필터(frequency filter), LNA(low noise amplifier), 또는 안테나 등을 포함할 수 있다. 다른 실시예에 따르면, 셀룰러 모듈(221), WiFi 모듈(223), 블루투스 모듈(225), GNSS 모듈(227) 또는 NFC 모듈(228) 중 적어도 하나는 별개의 RF 모듈을 통하여 RF 신호를 송수신할 수 있다.
가입자 식별 모듈(224)는, 예를 들면, 가입자 식별 모듈을 포함하는 카드 및/또는 내장 SIM(embedded SIM)을 포함할 수 있으며, 고유한 식별 정보(예: ICCID(integrated circuit card identifier)) 또는 가입자 정보(예: IMSI(international mobile subscriber identity))를 포함할 수 있다.
메모리(230)(예: 메모리(130))는, 예를 들면, 내장 메모리(232) 또는 외장 메모리(234)를 포함할 수 있다. 내장 메모리(232)는, 예를 들면, 휘발성 메모리(예: DRAM(dynamic RAM), SRAM(static RAM), 또는 SDRAM(synchronous dynamic RAM) 등), 비휘발성 메모리(non-volatile Memory)(예: OTPROM(one time programmable ROM), PROM(programmable ROM), EPROM(erasable and programmable ROM), EEPROM(electrically erasable and programmable ROM), mask ROM, flash ROM, 플래시 메모리(예: NAND flash 또는 NOR flash 등), 하드 드라이브, 또는 솔리드 스테이트 드라이브(solid state drive(SSD)) 중 적어도 하나를 포함할 수 있다.
외장 메모리(234)는 플래시 드라이브(flash drive), 예를 들면, CF(compact flash), SD(secure digital), Micro-SD(micro secure digital), Mini-SD(mini secure digital), xD(extreme digital), MMC(multi-media card) 또는 메모리 스틱(memory stick) 등을 더 포함할 수 있다. 외장 메모리(234)는 다양한 인터페이스를 통하여 전자 장치(201)와 기능적으로 및/또는 물리적으로 연결될 수 있다.
센서 모듈(240)은, 예를 들면, 물리량을 계측하거나 전자 장치(201)의 작동 상태를 감지하여, 계측 또는 감지된 정보를 전기 신호로 변환할 수 있다. 센서 모듈(240)은, 예를 들면, 제스처 센서(240A), 자이로 센서(240B), 기압 센서(240C), 마그네틱 센서(240D), 가속도 센서(240E), 그립 센서(240F), 근접 센서(240G), 컬러(color) 센서(240H)(예: RGB(red, green, blue) 센서), 생체 센서(240I), 온/습도 센서(240J), 조도 센서(240K), 또는 UV(ultra violet) 센서(240M) 중의 적어도 하나를 포함할 수 있다. 추가적으로 또는 대체적으로(additionally or alternatively), 센서 모듈(240)은, 예를 들면, 후각 센서(E-nose sensor), EMG 센서(electromyography sensor), EEG 센서(electroencephalogram sensor), ECG 센서(electrocardiogram sensor), IR(infrared) 센서, 홍채 센서 및/또는 지문 센서를 포함할 수 있다. 센서 모듈(240)은 그 안에 속한 적어도 하나 이상의 센서들을 제어하기 위한 제어 회로를 더 포함할 수 있다. 어떤 실시예에서는, 전자 장치(201)는 프로세서(210)의 일부로서 또는 별도로, 센서 모듈(240)을 제어하도록 구성된 프로세서를 더 포함하여, 프로세서(210)가 슬립(sleep) 상태에 있는 동안, 센서 모듈(240)을 제어할 수 있다.
입력 장치(250)는, 예를 들면, 터치 패널(touch panel)(252),(디지털) 펜 센서(pen sensor)(254), 키(key)(256), 또는 초음파(ultrasonic) 입력 장치(258)를 포함할 수 있다. 터치 패널(252)은, 예를 들면, 정전식, 감압식, 적외선 방식, 또는 초음파 방식 중 적어도 하나의 방식을 사용할 수 있다. 또한, 터치 패널(252)은 제어 회로를 더 포함할 수도 있다. 터치 패널(252)은 택타일 레이어(tactile layer)를 더 포함하여, 사용자에게 촉각 반응을 제공할 수 있다.
(디지털) 펜 센서(254)는, 예를 들면, 터치 패널의 일부이거나, 별도의 인식용 쉬트(sheet)를 포함할 수 있다. 키(256)는, 예를 들면, 물리적인 버튼, 광학식 키, 또는 키패드를 포함할 수 있다. 초음파 입력 장치(258)는 마이크(예: 마이크(288))를 통해, 입력 도구에서 발생된 초음파를 감지하여, 상기 감지된 초음파에 대응하는 데이터를 확인할 수 있다.
디스플레이(260)(예: 디스플레이(160))는 패널(262), 홀로그램 장치(264), 또는 프로젝터(266)를 포함할 수 있다. 패널(262)은, 도 1의 디스플레이(160)와 동일 또는 유사한 구성을 포함할 수 있다. 패널(262)은, 예를 들면, 유연하게(flexible), 투명하게(transparent), 또는 착용할 수 있게(wearable) 구현될 수 있다. 패널(262)은 터치 패널(252)과 하나의 모듈로 구성될 수도 있다. 홀로그램 장치(264)는 빛의 간섭을 이용하여 입체 영상을 허공에 보여줄 수 있다. 프로젝터(266)는 스크린에 빛을 투사하여 영상을 표시할 수 있다. 스크린은, 예를 들면, 전자 장치(201)의 내부 또는 외부에 위치할 수 있다. 한 실시예에 따르면, 디스플레이(260)는 패널(262), 홀로그램 장치(264), 또는 프로젝터(266)를 제어하기 위한 제어 회로를 더 포함할 수 있다.
인터페이스(270)는, 예를 들면, HDMI(high-definition multimedia interface)(272), USB(universal serial bus)(274), 광 인터페이스(optical interface)(276), 또는 D-sub(D-subminiature)(278)를 포함할 수 있다. 인터페이스(270)는, 예를 들면, 도 1에 도시된 통신 인터페이스(170)에 포함될 수 있다. 추가적으로 또는 대체적으로(additionally and alternatively), 인터페이스(270)는, 예를 들면, MHL(mobile high-definition link) 인터페이스, SD(secure digital) 카드/MMC(multi-media card) 인터페이스, 또는 IrDA(infrared data association) 규격 인터페이스를 포함할 수 있다.
오디오 모듈(280)은, 예를 들면, 소리(sound)와 전기 신호를 쌍방향으로 변환시킬 수 있다. 오디오 모듈(280)의 적어도 일부 구성요소는, 예를 들면, 도 1 에 도시된 입출력 인터페이스(145)에 포함될 수 있다. 오디오 모듈(280)은, 예를 들면, 스피커(282), 리시버(284), 이어폰(286), 또는 마이크(288) 등을 통해 입력 또는 출력되는 소리 정보를 처리할 수 있다.
카메라 모듈(291)은, 예를 들면, 정지 영상 및 동영상을 촬영할 수 있는 장치로서, 한 실시예에 따르면, 하나 이상의 이미지 센서(예: 전면 센서 또는 후면 센서), 렌즈, ISP(image signal processor), 또는 플래시(flash)(예: LED 또는 xenon lamp 등)를 포함할 수 있다.
전력 관리 모듈(295)은, 예를 들면, 전자 장치(201)의 전력을 관리할 수 있다. 전자 장치(201)는 배터리를 통해 전력을 공급받는 전자 장치일 수 있으나 이에 한정되지 않는다. 한 실시예에 따르면, 전력 관리 모듈(295)은 PMIC(power management integrated circuit), 충전 IC(charger integrated circuit), 또는 배터리 또는 연료 게이지(battery or fuel gauge)를 포함할 수 있다. PMIC는, 유선 및/또는 무선 충전 방식을 가질 수 있다. 무선 충전 방식은, 예를 들면, 자기공명 방식, 자기유도 방식 또는 전자기파 방식 등을 포함하며, 무선 충전을 위한 부가적인 회로, 예를 들면, 코일 루프, 공진 회로, 또는 정류기 등을 더 포함할 수 있다. 배터리 게이지는, 예를 들면, 배터리(296)의 잔량, 충전 중 전압, 전류, 또는 온도를 측정할 수 있다. 배터리(296)는, 예를 들면, 충전식 전지(rechargeable battery) 및/또는 태양 전지(solar battery)를 포함할 수 있다.
인디케이터(297)는 전자 장치(201) 또는 그 일부(예: 프로세서(210))의 특정 상태, 예를 들면, 부팅 상태, 메시지 상태 또는 충전 상태 등을 표시할 수 있다. 모터(298)는 전기적 신호를 기계적 진동으로 변환할 수 있고, 진동(vibration), 또는 햅틱(haptic) 효과 등을 발생시킬 수 있다. 도시되지는 않았으나, 전자 장치(201)는 모바일 TV 지원을 위한 처리 장치(예: GPU)를 포함할 수 있다. 모바일 TV 지원을 위한 처리 장치는, 예를 들면, DMB(digital multimedia broadcasting), DVB(digital video broadcasting), 또는 미디어플로(mediaFloTM) 등의 규격에 따른 미디어 데이터를 처리할 수 있다.
본 문서에서 기술된 구성요소들 각각은 하나 또는 그 이상의 부품(component)으로 구성될 수 있으며, 해당 구성요소의 명칭은 전자 장치의 종류에 따라서 달라질 수 있다. 다양한 실시예에서, 전자 장치는 본 문서에서 기술된 구성요소 중 적어도 하나를 포함하여 구성될 수 있으며, 일부 구성요소가 생략되거나 또는 추가적인 다른 구성요소를 더 포함할 수 있다. 또한, 다양한 실시예에 따른 전자 장치의 구성요소들 중 일부가 결합되어 하나의 개체(entity)로 구성됨으로써, 결합되기 이전의 해당 구성요소들의 기능을 동일하게 수행할 수 있다.
도 3은 다양한 실시예에 따른 프로그램 모듈의 블록도이다.
한 실시예에 따르면, 프로그램 모듈(310)(예: 프로그램(140))은 전자 장치(예: 전자 장치(101))에 관련된 자원을 제어하는 운영 체제(operating system(OS)) 및/또는 운영 체제 상에서 구동되는 다양한 어플리케이션(예: 어플리케이션 프로그램(147))을 포함할 수 있다. 운영 체제는, 예를 들면, 안드로이드(AndroidTM), iOSTM, 윈도우즈(WindowsTM), 심비안(SymbianTM), 타이젠(TizenTM), 또는 바다(Samsung bada osTM) 등이 될 수 있다.
프로그램 모듈(310)은 커널(320), 미들웨어(330), 어플리케이션 프로그래밍 인터페이스(application programming interface (API))(360), 및/또는 어플리케이션(370)을 포함할 수 있다. 프로그램 모듈(310)의 적어도 일부는 전자 장치 상에 프리로드(preload) 되거나, 외부 전자 장치(예: 전자 장치(102, 104), 서버(106) 등)로부터 다운로드(download) 가능하다.
커널(320)(예: 커널(141))은, 예를 들면, 시스템 리소스 매니저(321) 및/또는 디바이스 드라이버(323)를 포함할 수 있다. 시스템 리소스 매니저(321)는 시스템 리소스의 제어, 할당, 또는 회수 등을 수행할 수 있다. 한 실시예에 따르면, 시스템 리소스 매니저(321)는 프로세스 관리부, 메모리 관리부, 또는 파일 시스템 관리부 등을 포함할 수 있다. 디바이스 드라이버(323)는, 예를 들면, 디스플레이 드라이버, 카메라 드라이버, 블루투스 드라이버, 공유 메모리 드라이버, USB 드라이버, 키패드 드라이버, WiFi 드라이버, 오디오 드라이버, 또는 IPC(inter-process communication) 드라이버를 포함할 수 있다.
미들웨어(330)는, 예를 들면, 어플리케이션(370)이 공통적으로 필요로 하는 기능을 제공하거나, 어플리케이션(370)이 전자 장치 내부의 제한된 시스템 자원을 효율적으로 사용할 수 있도록 API(360)를 통해 다양한 기능들을 어플리케이션(370)으로 제공할 수 있다. 한 실시예에 따르면, 미들웨어(330)(예: 미들웨어(143))는 런타임 라이브러리(335), 어플리케이션 매니저(application manager)(341), 윈도우 매니저(window manager)(342), 멀티미디어 매니저(multimedia manager)(343), 리소스 매니저(resource manager)(344), 파워 매니저(power manager)(345), 데이터베이스 매니저(database manager)(346), 패키지 매니저(package manager)(347), 연결 매니저(connectivity manager)(348), 통지 매니저(notification manager)(349), 위치 매니저(location manager)(350), 그래픽 매니저(graphic manager)(351), 또는 보안 매니저(security manager)(352) 중 적어도 하나를 포함할 수 있다.
런타임 라이브러리(335)는, 예를 들면, 어플리케이션(370)이 실행되는 동안에 프로그래밍 언어를 통해 새로운 기능을 추가하기 위해 컴파일러가 사용하는 라이브러리 모듈을 포함할 수 있다. 런타임 라이브러리(335)는 입출력 관리, 메모리 관리, 또는 산술 함수에 대한 기능 등을 수행할 수 있다.
어플리케이션 매니저(341)는, 예를 들면, 어플리케이션(370) 중 적어도 하나의 어플리케이션의 생명 주기(life cycle)를 관리할 수 있다. 윈도우 매니저(342)는 화면에서 사용하는 GUI 자원을 관리할 수 있다. 멀티미디어 매니저(343)는 다양한 미디어 파일들의 재생에 필요한 포맷을 파악하고, 해당 포맷에 맞는 코덱(codec)을 이용하여 미디어 파일의 인코딩(encoding) 또는 디코딩(decoding)을 수행할 수 있다. 리소스 매니저(344)는 어플리케이션(370) 중 적어도 어느 하나의 어플리케이션의 소스 코드, 메모리 또는 저장 공간 등의 자원을 관리할 수 있다.
파워 매니저(345)는, 예를 들면, 바이오스(BIOS: basic input/output system) 등과 함께 동작하여 배터리(battery) 또는 전원을 관리하고, 전자 장치의 동작에 필요한 전력 정보 등을 제공할 수 있다. 데이터베이스 매니저(346)는 어플리케이션(370) 중 적어도 하나의 어플리케이션에서 사용할 데이터베이스를 생성, 검색, 또는 변경할 수 있다. 패키지 매니저(347)는 패키지 파일의 형태로 배포되는 어플리케이션의 설치 또는 업데이트를 관리할 수 있다.
연결 매니저(348)는, 예를 들면, WiFi 또는 블루투스 등의 무선 연결을 관리할 수 있다. 통지 매니저(349)는 도착 메시지, 약속, 근접성 알림 등의 사건(event)을 사용자에게 방해되지 않는 방식으로 표시 또는 통지할 수 있다. 위치 매니저(350)는 전자 장치의 위치 정보를 관리할 수 있다. 그래픽 매니저(351)는 사용자에게 제공될 그래픽 효과 또는 이와 관련된 사용자 인터페이스를 관리할 수 있다. 보안 매니저(352)는 시스템 보안 또는 사용자 인증 등에 필요한 제반 보안 기능을 제공할 수 있다. 한 실시예에 따르면, 전자 장치(예: 전자 장치(101))가 전화 기능을 포함한 경우, 미들웨어(330)는 전자 장치의 음성 또는 영상 통화 기능을 관리하기 위한 통화 매니저(telephony manager)를 더 포함할 수 있다.
미들웨어(330)는 전술한 구성요소들의 다양한 기능의 조합을 형성하는 미들웨어 모듈을 포함할 수 있다. 미들웨어(330)는 차별화된 기능을 제공하기 위해 운영 체제의 종류 별로 특화된 모듈을 제공할 수 있다. 또한, 미들웨어(330)는 동적으로 기존의 구성요소를 일부 삭제하거나 새로운 구성요소들을 추가할 수 있다.
API(360)(예: API(145))는, 예를 들면, API 프로그래밍 함수들의 집합으로, 운영 체제에 따라 다른 구성으로 제공될 수 있다. 예를 들면, 안드로이드 또는 iOS의 경우, 플랫폼 별로 하나의 API 셋을 제공할 수 있으며, 타이젠(tizen)의 경우, 플랫폼 별로 두 개 이상의 API 셋을 제공할 수 있다.
어플리케이션(370)(예: 어플리케이션 프로그램(147))은, 예를 들면, 홈(371), 다이얼러(372), SMS/MMS(373), IM(instant message)(374), 브라우저(375), 카메라(376), 알람(377), 컨택트(378), 음성 다이얼(379), 이메일(380), 달력(381), 미디어 플레이어(382), 앨범(383), 또는 시계(384), 건강 관리(health care)(예: 운동량 또는 혈당 등을 측정), 또는 환경 정보 제공(예: 기압, 습도, 또는 온도 정보 등을 제공) 등의 기능을 수행할 수 있는 하나 이상의 어플리케이션을 포함할 수 있다.
한 실시예에 따르면, 어플리케이션(370)은 전자 장치(예: 전자 장치(101))와 외부 전자 장치(예: 전자 장치(102, 104)) 사이의 정보 교환을 지원하는 어플리케이션(이하, 설명의 편의 상, "정보 교환 어플리케이션")을 포함할 수 있다. 정보 교환 어플리케이션은, 예를 들면, 외부 전자 장치에 특정 정보를 전달하기 위한 알림 전달(notification relay) 어플리케이션, 또는 외부 전자 장치를 관리하기 위한 장치 관리(device management) 어플리케이션을 포함할 수 있다.
예를 들면, 알림 전달 어플리케이션은 전자 장치의 다른 어플리케이션(예: SMS/MMS 어플리케이션, 이메일 어플리케이션, 건강 관리 어플리케이션, 또는 환경 정보 어플리케이션 등)에서 발생된 알림 정보를 외부 전자 장치(예: 전자 장치(102, 104))로 전달하는 기능을 포함할 수 있다. 또한, 알림 전달 어플리케이션은, 예를 들면, 외부 전자 장치로부터 알림 정보를 수신하여 사용자에게 제공할 수 있다.
장치 관리 어플리케이션은, 예를 들면, 전자 장치와 통신하는 외부 전자 장치(예: 전자 장치(102, 104))의 적어도 하나의 기능(예: 외부 전자 장치 자체(또는, 일부 구성 부품)의 턴-온/턴-오프 또는 디스플레이의 밝기(또는, 해상도) 조절), 외부 전자 장치에서 동작하는 어플리케이션 또는 외부 전자 장치에서 제공되는 서비스(예: 통화 서비스 또는 메시지 서비스 등)를 관리(예: 설치, 삭제, 또는 업데이트)할 수 있다.
한 실시예에 따르면, 어플리케이션(370)은 외부 전자 장치(예: 전자 장치(102, 104))의 속성(에 따라 지정된 어플리케이션(예: 모바일 의료 기기의 건강 관리 어플리케이션 등)을 포함할 수 있다. 한 실시예에 따르면, 어플리케이션(370)은 외부 전자 장치(예: 서버(106) 또는 전자 장치(102, 104))로부터 수신된 어플리케이션을 포함할 수 있다. 한 실시예에 따르면, 어플리케이션(370)은 프리로드 어플리케이션(preloaded application) 또는 서버로부터 다운로드 가능한 제3자 어플리케이션(third party application)을 포함할 수 있다. 도시된 실시예에 따른 프로그램 모듈(310)의 구성요소들의 명칭은 운영 체제의 종류에 따라서 달라질 수 있다.
다양한 실시예에 따르면, 프로그램 모듈(310)의 적어도 일부는 소프트웨어, 펌웨어, 하드웨어, 또는 이들 중 적어도 둘 이상의 조합으로 구현될 수 있다. 프로그램 모듈(310)의 적어도 일부는, 예를 들면, 프로세서(예: 프로세서(210))에 의해 구현(implement)(예: 실행)될 수 있다. 프로그램 모듈(310)의 적어도 일부는 하나 이상의 기능을 수행하기 위한, 예를 들면, 모듈, 프로그램, 루틴, 명령어 세트(sets of instructions) 또는 프로세스 등을 포함할 수 있다.
도 4는 본 발명의 다양한 실시 예에 따른 신호를 송수신하는 전자 장치를 나타낸 블럭도이다.
도 4를 참조하면, 본 발명의 다양한 실시 예에 따른 전자 장치(101)는 두 개의 업링크 반송파 결합(carrier aggregation: CA)과 세 개의 다운링크 CA를 지원할 수 있다.
전자 장치(101)는 제1 전원 변조기(411)와, 제2 전원 변조기를 포함하는 전원부(410)와, 제1 안테나(421)와 제2 안테나(422)를 포함하는 안테나부(420)와, RF 회로부(470)와, 통신 프로세서(480)를 포함할 수 있다. 상기 통신 프로세서(480)는 도 1의 프로세서(120)에서 수행되는 적어도 하나의 동작 또는 기능을 수행할 수 있다. 상기 RF 회로부(470)는 제1 전원 변조기(411)와, 제2 전원 변조기의 출력 전력에 기반하여 통신 프로세서(480)로부터 출력되는 기저대역 신호를 RF 대역 신호로 변환하고, 상기 RF 대역 신호의 이득을 제어하는 트랜시버(430)와 복수의 PA 그룹을 포함할 수 있다. 상기 전원부(410)는 두 개의 전원 변조기를 포함할 수도 있거나, 또는 세 개 이상의 전원 변조기를 포함할 수도 있다. 또한, 상기 RF 회로부(170)는 두 개의 PA 그룹을 포함할 수 있거나, 또는 세 개 이상의 PA 그룹을 포함할 수 있다. PA 그룹의 개수는 전원부(410)에 포함된 전원 변조기의 개수에 대응되게 포함될 수 있다. 또는, 전원부(410)에 포함된 전원 변조기의 개수는 PA 그룹의 개수에 대응되게 포함될 수 있다.
다양한 실시 예에 따르면, 상기 RF 회로부(470)는 도 1의 통신 인터페이스(170)에서 수행되는 적어도 하나의 동작 또는 기능을 수행할 수 있다. 상기 RF 회로부(470)는 적어도 하나의 PA(power amplifier)를 포함하는 제1 PA 그룹과 적어도 하나의 PA를 포함하는 제2 PA 그룹을 포함할 수 있다. 각각의 PA 그룹은 LB(low band), MB(middle band) 및 HB(high band)를 지원하는 PA를 포함할 수 있다. 예를 들면, 제1 PA 그룹의 PA들은 제1 RF LB부(451), 제1 RF MB부(453) 및 제1 RF HB부(455)에 포함될 수 있고, 제2 PA 그룹의 PA들은 제2 RF LB부(452), 제2 RF MB부(454) 및 제2 RF HB부(456)에 포함될 수 있다. 각각의 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 및 제2 RF HB부(456)는 송신되는 신호와 수신되는 신호를 분리하는 듀플렉서(duplexer)를 포함할 수 있다. 또한, 각각의 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 및 제2 RF HB부(456)는 듀플렉서, PA, 스위치 등을 포함하는 송수신 회로일 수 있다.
다양한 실시 예에 따르면, 상기 제1 PA 그룹의 PA들인 제1 RF LB부(451), 제1 RF MB부(453) 및 제1 RF HB부(455)에 포함된 PA들은 제1 전원 변조기(411)로부터 출력되는 전력을 이용하여 신호를 증폭하고, 상기 제2 PA 그룹의 PA들인 제2 RF LB부(452), 제2 RF MB부(454) 및 제2 RF HB부(456)에 포함된 PA들은 제2 전원 변조기(412)로부터 출력되는 전력을 이용하여 신호를 증폭할 수 있다. 상기 제1 전원 변조기(411)는 제1 PA 그룹에 포함된 적어도 하나의 PA로 전원을 공급하고, 상기 제2 전원 변조기(412)는 제2 PA 그룹에 포함된 적어도 하나의 PA로 전원을 공급함으로써, 제1 PA 그룹에 포함된 PA와 제2 PA 그룹에 포함된 PA를 동시에 사용할 수 있다. 이와 같이, 제1 PA 그룹에 포함된 PA와 제2 PA 그룹에 포함된 PA를 동시에 사용함으로써, 두 개의 상향 Component Carrier를 갖는 업링크 CA를 수행할 수 있다.
다양한 실시 예에 따르면, 상기 RF 회로부(470)는 경로 선택부(440)를 포함할 수 있다. 상기 경로 선택부(440)는 PA 그룹으로의 스위칭을 제공하고, 각 PA 그룹에 포함된 LB, MB 및 HB로의 스위칭을 제공하는 적어도 하나의 스위치를 포함할 수 있다. 예를 들면, 상기 RF 회로부(470)는 제1 RF LB부(451)와 제2 RF LB부(452)간의 스위칭을 제공하는 제1 스위치(441)와, 제1 RF MB부(453)와 제2 RF MB부(454)간의 스위칭을 제공하는 제2 스위치(442)와, 제1 RF HB부(451)와 제2 RF HB부(456)간의 스위칭을 제공하는 제3 스위치(443)를 포함할 수 있다. 상기 경로 선택부(440)에 포함되는 스위치의 개수는 PA 그룹의 개수에 대응되게 포함될 수 있다.
다양한 실시 예에 따르면, 상기 RF 회로부(470)는 LB와 MB 대역의 신호를 분리하는 다이플렉서(diplexer)(461)를 포함할 수 있다. 상기 다이플렉서(461)는 제1 안테나(421)로 수신되는 LB 대역의 신호와 MB 대역의 신호를 분리하거나 RF 회로부(470)로부터 전달되는 LB 대역의 신호와 MB 대역의 송신 신호들을 결합하여 안테나에 전달할 수 있다.
다양한 실시 예에 따르면, 상기 트랜시버(430)는 각각의 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 및 제2 RF HB부(456)와 기능적 또는 전기적으로 연결되는 적어도 하나의 PGA(programable gain amplifier), 적어도 하나의 LNA(low noise amplifier), 적어도 하나의 LPF(low pass filter), 적어도 하나의 스위치 및 적어도 하나의 믹서(mixer)를 포함할 수 있다. 상기 트랜시버(430)는 통신 프로세서(480)에서 출력되는 기저대역 신호를 상기 LPF로 통신 방식(예: GSM, WCDMA 또는 LTE 등)에 맞는 대역폭으로 여파하고, 믹서로 상기 기저대역 업컨버젼(upconversion)하고, 상기 업컨버젼된 신호를 스위치로 송신 PGA(Tx programable gain amplifier)와 선택적으로 연결하고, 상기 PGA의 이득을 조절하여 상기 업 컨버젼된 신호의 출력 전력을 변경할 수 있다. 상기 기저대역 신호는 I/Q(in phase/quadrature) 신호일 수 있다. 상기 믹서는 Quadrature 믹서일 수 있다. 상기 트랜시버(430)는 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 또는 제2 RF HB부(456) 중 적어도 하나를 통해 수신되는 신호를 LNA를 통해 증폭하고, 증폭된 신호를 믹서를 통해 기저대역 신호로 변환할 수 있다. 상기 믹서는 quadrature 믹서일 수 있고, 상기 기저대역 신호(예: I/Q(in phase/quadrature) 신호)는 LPF를 통해 통신 방식에 맞는 대역폭으로 여파 되어 통신 프로세서(480)로 전달되고, 상기 통신 프로세서(480)는 수신된 I/Q 신호를 복조할 수 있다. 또한, 상기 트랜시버(430)는 상기 통신 프로세서(480)로부터 변조된 기저대역 신호를 LPF를 통해 필터링하고, 믹서를 통해 LB, MB 또는 HB 중 적어도 하나의 대역 신호로 변환하고, 변환된 대역 신호를 송신 PGA를 통해 증폭한 후, 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 또는 제2 RF HB부(456) 에 포함된 적어도 하나의 PA 로 증폭하여 안테나(420)를 통해 송신할 수 있다.
다양한 실시 예에 따르면, 상기 통신 프로세서(480)는 상기 트랜시버(430)를 별도의 인터페이스를 통해 제어할 수 있다. 상기 통신 프로세서(480)는 선택된 통신 방법에 기반하여 상기 트랜시버(430)에 포함된 부품들의 동작을 제어할 수 있다. 상기 통신 프로세서(480)는 제1 RF LB부(451), 제1 RF MB부(453), 제1 RF HB부(455), 제2 RF LB부(452), 제2 RF MB부(454) 또는 제2 RF HB부(456) 중 적어도 하나에 포함된 부품들과 별도로 연결될 수 있거나 또는 MIPI(mobile industry processor interface)등의 인터페이스를 통해 공통으로 연결될 수 있으며, 이러한 연결을 통해 각각의 부품들을 제어할 수 있다. 또한, 제1 PA 그룹의 PA와 제2 PA 그룹의 PA가 동일한 밴드를 지원할 경우, 상기 통신 프로세서(480)는 MIMO(multiple input multiple output)를 수행할 수 있다. 예를 들면, 제1 RF MB부(453)과, 제2 RF MB부(454)가 모두 B2를 지원하는 경우, 상기 통신 프로세서(480)는 B2에서 업링크 MIMO를 수행할 수 있다.
다양한 실시 예에 따르면, 상기 통신 프로세서(480)는 선택된 밴드와 채널에 기반하여 믹서의 동작 주파수를 변경하고, 선택된 송신 출력에 기반하여 송신 PGA의 이득을 조절할 수 있다. 또한, 상기 통신 프로세서(480)는 RF 회로부(470)의 출력 전력에 기반하여 제1 전원 변조기(411) 또는 제2 전원 변조기(412) 중 적어도 하나를 제어할 수 있다. 상기 통신 프로세서(480)는 상기 제1 전원 변조기(411) 또는 상기 제2 전원 변조기(412) 중 적어도 하나에서 출력되는 전압을 제어할 수 있다. 상기 통신 프로세서(480)는 송신 신호의 포락선(envelope)에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹(envelope tracking: ET) 모드, 각 송신 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹(average power tracking: APT) 모드, 일정한 전압을 PA에 공급하는 바이패스(bypass) 모드 중 어느 하나를 이용하여 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전압을 제어할 수 있다. 상기 통신 프로세서(480)는 기지국(미도시)의 업링크 CA 요청에 따라 RF 회로부(470), 전원부(410)를 제어하여 업링크 CA를 수행할 수 있다. 또한, 상기 통신 프로세서(480)는 CA 밴드의 조합에 따라 경로 선택부(440)에 포함된 적어도 하나의 스위치를 제어하여 업링크 CA 또는 다운링크 CA를 수행할 수 있다.
다양한 실시 예에 따르면, 상기 안테나부(420)는 두 개의 안테나를 포함할 수 있거나 또는 세 개 이상의 안테나를 포함할 수 있다. 또한, 상기 안테나부(420)는 적어도 하나의 다이버시티 안테나를 더 포함할 수 있다. 상기 안테나부(420)는 제1 PA 그룹의 PA와 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나(421)와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나(421)를 포함할 수 있다. 제1 주파수 범위는 LB, 제2주파수 범위는 MB 그리고 제3주파수 범위는 HB 일 수 있다. 또한, 상기 안테나부(420)는 LB 및 MB를 지원하는 제3 안테나, 적어도 하나의 MB 및 HB를 지원하는 제4 안테나를 더 포함할 수 있으며, 상기 제3 안테나 및 상기 제4 안테나는 다이버시티 안테나로서 신호를 수신만 할 수 있다. 상기 경로 선택부(440)에 포함되는 각각의 스위치 또는 다이플렉서는 각 안테나와 송수신 경로들을 선택적으로 또는 동시에 연결하도록 구성될 수 있다. 그리고, 상기 LB는 600MHz~1GHz 주파수 대역을 포함할 수 있다. 상기 MB 는 1.5GHz~2.2 GHz 대역을 포함할 수 있다. 그리고, 상기 HB 는 1.8GHz~5GHz 대역을 포함할 수 있다. 상기 MB와 HB 의 대역은 일부 중첩될 수 있다.
도 4에서 실선은 통신에 사용되는 송수신 신호를 전송하는 신호선이고, 점선은 제어 신호를 전송하는 제어선이고, 굵은 선은 전원선이다. 전자 장치(101)는 MIPI(Mobile Industry Processor Interface) 또는 GPIO등을 이용하여 제어신호를 전송하거나 수신할 수 있다. 통신 프로세서(480)는 전원부(410)에 포함되는 제1 전원 변조기(411)과 제2 전원 변조기(412) 출력 전압을 제어할 수 있는 신호선으로 연결되어 있다.
본 발명의 다양한 실시 예에 따른 전자 장치(101)는 적어도 하나의 PA(power amplifier)를 포함하는 제1 PA 그룹과 적어도 하나의 PA를 포함하는 제2 PA 그룹을 포함하는 RF 회로부(170)와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나를 포함하는 안테나부(420)와, 상기 제1 PA 그룹에 연결되는 제1 전원 변조기와 상기 제2 PA 그룹에 연결되는 제2 전원 변조기를 포함하는 전원부(410)와, 상기 제1군의 PA 중 적어도 하나와 상기 제2군의 PA 중 적어도 하나는 동시에 신호의 송신이 가능하고, 상기 제1 전원 변조기와 상기 제2전원 변조기중 적어도 하나에 연결된 PA의 송신 전력에 적어도 일부 기반하여 출력전압을 변경하는 통신 프로세서(480)를 포함할 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, 상기 제1 PA 그룹 중 하나의 PA와 상기 제2 PA 그룹 중 하나의 PA를 통해 신호를 동시에 송신하며, 상기 PA는 LB(low band), MB(middle band) 또는 HB(high band) 중 어느 하나의 대역을 가질 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, 상기 제1 전원 변조기에서 출력되는 전력을 이용하여 상기 제1 PA 그룹 내의 PA를 사용하여 통신하고 있는 상태에서, 업링크 CA(carrier aggregation) 요청에 대응하여 상기 제2 전원 변조기를 활성화하고, 상기 제2 PA 그룹을 동작시켜 업링크 CA 동작을 수행하여 통신을 수행할 수 있다.
일 실시 예에 따르면, 상기 각각의 PA 그룹은, LB, MB 및 HB를 포함하며, 상기 LB는 600MHz~1GHz의 주파수를 갖는 PA이고, 상기 MB는 1.5GHz~2.2GHz의 주파수를 갖는 PA이고, 상기 HB는 1.8GHz~5GHz의 주파수를 갖는 PA일 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, 상기 신호의 포락선(envelope)에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어할 수 있다.
일 실시 예에 따르면, 상기 RF 회로부(170)는, 상기 통신 프로세서(480)에서 출력되는 신호의 컷오프(cutoff) 주파수를 변경하는 적어도 하나의 LPF(low pass filter), 상기 신호와 기저대역의 I/Q(in phase/quadrature) 신호를 업컨버젼(upconversion)하는 적어도 하나의 송신 믹서(Tx mixer), 상기 송신 믹서로부터 출력되는 신호를 송신 이득 조절기(Tx programable gain amplifier)로 스위칭하는 적어도 하나의 스위치 및 상기 결정된 전력에 따라 이득을 조절하는 상기 PA로 제공하는 적어도 하나의 송신 이득 조절기를 포함하는 RF(radio frequency)부(430)와, 상기 조절된 이득에 따라 상기 신호의 출력 전력을 증폭하는 PA, 송수신되는 신호를 분리하는 적어도 하나의 듀플렉서, LB와 MB를 분리하는 적어도 하나의 다이플렉서를 포함하는 경로 선택부(440)를 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 안테나는 상기 제1 주파수 범위에 해당되는 LB 및 상기 제2 주파수 범위에 해당되는 MB를 지원하고, 상기 제2 안테나는 제2 주파수 범위에 해당되는 MB 및 상기 제3 주파수 범위에 해당되는 HB를 지원할 수 있다.
일 실시 예에 따르면, 상기 제1 PA 그룹 내의 LB, MB 및 HB는 외부 장치와 통신 제어 신호 또는 데이터를 송수신하며, 상기 제2 PA 그룹 내의 LB, MB 및 HB는 상기 외부 장치와 데이터를 송수신할 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, I/Q 신호를 수신하고, 제1 송신 I/Q 및 제2 송신 I/Q 신호를 생성하고, 상기 통신 인터페이스를 제어하는 제어 신호와 상기 전원부의 적어도 하나의 전원 변조기를 제어하는 제2 제어 신호를 생성할 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, 상기 경로 선택부 제어 신호를 통해서 상기 제1 안테나를 상기 제1 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 전원 변조기 제어 신호 중 모드를 변경하는 제어 신호로 제1 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, RF 회로부(470)(예: Frontend) 제어 신호 중 하나인 스위치 제어 신호를 통해 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하고, Frontend 제어 신호 중 하나인 PA 제어 신호를 통해 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드 및 바이어스 전압을 설정하고 상기 전원 변조기 제어 신호 중 인에이블 신호로 상기 제1 전원 변조기의 인에이블/디스에이블(enable/disable)을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 인에이블로 설정할 수 있다.
일 실시 예에 따르면, 상기 통신 프로세서(480)는, 상기 경로 선택부 제어 신호를 통해서 상기 제2 안테나를 상기 제2 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 전원 변조기 제어 신호 중 모드를 변경하는 신호로 제2 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, Frontend 제어 신호 중 하나인 스위치 제어 신호를 통해 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하고, Frontend 제어 신호 중 하나인 PA 제어 신호를 통해 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드 및 바이어스 전압을 설정하고, 상기 전원 변조기 제어 신호 중 인에이블 신호로 상기 제2 전원 변조기의 인에이블/디스에이블을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송신 경로를 인에이블로 설정할 수 있다.
일 실시 예에 따르면, 상기 안테나부(420)는, LB 및 적어도 하나의 MB를 지원하는 제3 안테나, 적어도 하나의 MB 및 HB를 지원하는 제4 안테나 및 상기 제3 안테나를 통해 수신되는 신호를 LB 또는 MB로 스위칭하는 제1 스위치와, 상기 제4 안테나를 통해 수신되는 신호를 MB 또는 HB로 스위칭하는 제2 스위치를 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 안테나는 상기 전자 장치의 하부 영역에 배치되고, 상기 제2 안테나는 상기 제1 안테나의 좌측 또는 우측에 배치되고, 상기 제3 안테나는 상기 전자 장치의 상부 영역에 배치되고, 상기 제4 안테나는 상기 제3 안테나의 좌측 또는 우측에 배치될 수 있다.
또한, 본 발명의 다양한 실시 예에 따른 전자 장치(101)는 복수의 전원 변조기를 포함하는 전원부(410)와, 복수의 안테나를 포함하는 안테나부(420)와, LB(low band), MB(middle band) 및 HB(high band)를 포함하는 복수의 PA 그룹과, 각각의 PA 그룹으로의 스위칭 또는 각각의 PA 그룹에 포함되는 LB, MB 및 HB로의 스위칭을 수행하는 경로 선택부를 포함하는 RF 회로부(170)와, 상기 전원부에 포함된 각각의 전원 변조기로부터 출력되는 전력과 상기 경로 선택부에 포함된 각각의 스위치를 제어하여 상기 복수의 안테나를 통해 신호의 송수신을 제어하는 통신 프로세서(480)를 포함할 수 있다.
일 실시 예에 따르면, 상기 전원부(410)는, 상기 RF 회로부(170)에 구성되는 PA 그룹에 대응되도록 전원 변조기가 구성될 수 있다.
일 실시 예에 따르면, 상기 안테나부(420)는, 제1 PA 그룹의 PA와 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나와, LB 및 적어도 하나의 MB를 지원하는 제3 안테나, 적어도 하나의 MB 및 HB를 지원하는 제4 안테나를 포함할 수 있으며, 상기 제3 안테나 및 상기 제4 안테나는 다이버시티 안테나로서 신호를 수신만할 수 있다.
도 5a는 도 4의 전자 장치를 보다 상세히 나타낸 제1 예시도이고, 도 5b는 도 4의 전자 장치를 보다 상세히 나타낸 제2 예시도이고, 도 5c는 도 4의 전자 장치를 보다 상세히 나타낸 제3 예시도이다.
도 5a 내지 도 5c는 도 4의 예시도로서, 도 4와 중복되는 내용은 생략한다.
도 5a를 참조하면, 제1 RF LB부(451)는 LB PA(501)와 제1 듀플렉서(502)를 포함하고, 제2 RF LB부(452)는 LB PA(511)와 제2 듀플렉서(512)를 포함하고, 제1 RF MB부(453)는 MB PA(521)와 제3 듀플렉서(522)를 포함하고, 제2 RF MB부(454)는 MB PA(531)와 제4 듀플렉서(532)를 포함하고, 제1 RF HB부(455)는 HB PA(541)와 제5 듀플렉서(542)를 포함하고, 제2 RF HB부(456)는 HB PA(551)와 제6 듀플렉서(552)를 포함할 수 있다. 각각의 듀플렉서(502, 512, 522, 532, 542, 552)는 서로 다른 주파수 대역의 신호를 분리할 수 있다. 예를 들어, 하나의 통신 주파수 밴드에 포함되는 송신 주파수 대역 신호와 수신 주파수 대역 신호를 분리할 수 있다.
다양한 실시 예에 따르면, 경로 선택부(440)는 제1 RF LB부(451)와 제2 RF LB부(452)간에 스위칭하는 제1 스위치(561)와, 제1 RF MB부(453)와 제2 RF MB부(454)간에 스위칭하는 제2 스위치(562)와, 제2 RF MB부(454)와 제2 스위치(562)를 연결하거나 제2 RF MB부(454)와 제4 스위치를 연결하는 제3 스위치(563)와, 상기 제3 스위치(563)와 제2 안테나(422)의 연결, 제1 RF HB부(455)와 상기 제2 안테나(422)의 연결 및 제2 RF HB부(456)와 상기 제2 안테나(422)간의 연결을 스위칭하는 제4 스위치(564)를 포함할 수 있다.
다양한 실시 예에 따르면, 상기 제1 안테나(421)는 복수의 PA와 선택적으로 연결될 수 있고, 복수의 PA는 적어도 두 쌍의 PA를 포함할 수 있다. 상기 두 쌍의 PA 중 한 쌍은 첫 번째 주파수 대역을 지원할 수 있고, 나머지 한 쌍은 두 번째 주파수 대역을 지원할 수 있다. 예를 들면, 제1 안테나(421)는 LB를 지원하는 한 쌍의 PA와 MB를 지원하는 한 쌍의 PA와 선택적으로 연결될 수 있다. 상기 제1 안테나(421)와 듀플렉서 간에는 다이플렉서(461)가 구성될 수 있으며, 상기 다이플렉서(461)는 제1 안테나(421)로 송신되거나 수신되는 신호를 LB 대역의 신호와 MB 대역의 신호로 분리할 수 있다.
다양한 실시 예에 따르면, 상기 제2 안테나(422)는 복수의 PA와 선택적으로 연결될 수 있고, 적어도 한쌍의 PA 와 다른 하나의 PA를 포함할 수 있다. 상기 한 쌍의 PA는 세 번째 주파수 대역을 지원하고 다른 하나의 PA 는 두 번째 주파수 대역을 지원할 수 있다. 예를 들어 한 쌍의 PA 는 HB를 지원하고, 다른 하나의 PA 는 MB를 지원할 수 있다. 제2 안테나(422)와 PA 사이에 있는 듀플렉서는 해당 주파수 대역을 지원하는 하나의 밴드에서 송신되는 신호와 수신되는 신호를 분리할 수 있다. 예를 들면, LB PA 측에 있는 듀플렉서는 LB 대역 중 하나의 밴드인 B5 일 수 있다. 그리고, 같은 주파수 대역을 지원하는 한 쌍을 구성하는 PA 는 서로 다른 전원 변조기를 사용할 수 있다. 예를 들어 한 쌍의 PA중 하나는 제1 전원 변조기(411)에 연결되고, 다른 하나는 제2 전원 변조기(412)에 연결될 수 있다. PA들(501, 521, 541)은 PCC(primary component carrier)의 PA로 사용될 수 있고, 다른 PA들(511, 531, 551)은 SCC(secondary component carrier)의 PA로 사용될 수 있다. 또는, 반대로 상기 PA들(501, 521, 541)은 SCC의 PA로 사용될 수 있고, 다른 PA들(511, 531, 551)은 PCC의 PA로 사용될 수 있다.
다양한 실시 예에 따르면, LB 및 HB를 통해 업링크 CA를 수행하고 LB 가 PCC 라고 하고, LB/MB/HB를 통해 다운링크 CA를 수행한다고 가정할 경우의 동작은 다음과 같다. LB의 PA 쌍을 연결한 스위치(561)는 제1 RF LB부(451)의 PA(501) 에 제1 안테나(421)를 연결한다. 제1 전원 변조기(411)를 제1 RF LB부(451)의 PA(501)가 점유하고 있기 때문에, 다른 제1 RF MB부(453)의 PA(521) 및 제1 RF HB부(455)의 PA(541)는 사용이 불가능하다. 따라서, SCC는 제2 RF HB부(456)의 PA(551)를 제2 안테나(422)로 연결한다. 위 동작으로 LB 및 HB 업링크 CA 가 수행 가능하다. 그리고, LB, MB, HB 다운링크 CA 를 지원하기 위해 제1 RF MB부(456) 또는 제2 RF MB부(454)에 포함된 듀플렉서 중 하나를 제1 안테나(421)에 연결한다. MB의 경우 수신만 수행하게 되기 때문에 전원 변조기에 대한 제약이 없을 수 있다.
아래 [표 1]은 두 개의 업링크 CA 및 세 개의 다운링크 CA의 밴드 조합에 따른 스위칭 동작 구성을 나타낸다.
Uplink CA Downlink CA 제1 스위치 제2 스위치 제3 스위치 제4 스위치
LB/HB LB/MB/HB 제1 RF LB 제2 RF MB 제1 안테나 제2 RF HB
LB/MB LB/MB/HB 제1 RF LB 제2 RF MB 제1 안테나 제2 RF HB
MB/HB LB/MB/HB 제2 RF LB 제1 RF MB Don't care 제2 RF HB
LB/HB LB/MB/HB 제2 RF LB 제2 RF MB 제1 안테나 제1 RF HB
LB/MB LB/MB/HB 제2 RF LB 제1 RF MB Don't care 제2 RF HB
MB/HB LB/MB/HB 제2 RF LB 제2 RF MB 제1 안테나 제1 RF HB
MB/MB MB/MB/HB 제2 RF LB 제1 RF MB 제2 안테나 제2 RF MB
[표 1]에서 굵은 문자로 되어 있는 밴드가 PCC 역할을 하는 밴드이고, 굵지 않은 문자로 되어 있는 밴드가 SCC 역할을 하는 밴드이다.
도 5a 및 [표 1]에 도시된 바와 같이, 예를 들면, 제1 스위치(561)가 제1 RF LB부(451)에 연결되고, 제2 스위치(561)가 제2 RF MB부(454)에 연결되고, 제3 스위치(563)가 제1 안테나(421)에 연결되고, 제4 스위치(564)가 제2 RF HB부(455)에 연결되면, 제1 예로, 업링크 CA는 제1 RF LB부(451)와 제2 RF HB부(456)를 통해 수행되고, 다운링크 CA는 제1 RF LB부(451), 제2 RF MB부(453) 및 제2 RF HB부(452)를 통해 수행될 수 있거나, 제2 예로, 업링크 CA는 제1 RF LB부(451)와 제2 RF MB부(454)를 통해 수행되고, 다운링크 CA는 제1 RF LB부(451), 제2 RF MB부(453) 및 제2 RF HB부(452)를 통해 수행될 수 있다. 상술한 바와 같이, 제1 스위치 내지 제4 스위치의 스위칭 조합에 따라, 본 발명은 다양한 경우의 두 개의 업링크 CA와 세 개의 다운링크 CA를 수행할 수 있다.
도 5b를 참조하면, 도 5b는 도 5a 대비 스왑 스위치(570)를 제외하면 동일하기 때문에, 스위칭 조합에 따른 설명은 생략한다. 상기 스왑 스위치(570)는 다이플렉서(461)와 제1 RF MB부(453)를 연결하거나, 상기 다이플렉서(461)와 제2 RF MB부(454)를 연결할 수 있다. 또한, 상기 스왑 스위치(570)는 제4 스위치(564)와 제1 RF MB부(453)를 연결하거나, 상기 제4 스위치(564)와 제2 RF MB(454)부를 연결할 수 있다. 상기 스왑 스위치(570)는 도 5a의 제2 스위치(562)와 제3 스위치(563)를 통합한 스위치일 수 있다.
도 5c를 참조하면, 도 5c는 도 5b의 제4 스위치(564) 대신 다이플렉서(581)로 대체하고, 제5 스위치(565)를 추가한 예시도이다. 상기 다이플렉서(581)는 제2 안테나(422)로 송신되거나 수신되는 신호를 MB에 따른 신호와 HB에 따른 신호로 분리할 수 있다. 상기 다이플렉서(581)는 제2 안테나(422)와 스왑 스위치(570) 간에 구성되거나, 상기 제2 안테나(422)와 제5 스위치(565) 간에 구성될 수 있다. 상기 제5 스위치(565)는 상기 다이플렉서(581)와 제1 RF HB부(455)를 연결하거나 상기 다이플렉서(581)와 제2 RF HB부(456)를 연결할 수 있다.
도 6은 본 발명의 다양한 실시 예에 따른 업링크 CA를 수행하는 과정을 나타낸 순서도이다.
이하, 도 6을 참조하여, 본 발명의 다양한 실시 예에 따른 업링크 CA를 수행하는 과정을 상세히 설명하면 다음과 같다.
다양한 실시 예에 따르면, 전자 장치(101)는 제1 전원 변조기를 통해 제1 PA 그룹내의 PA를 통해 통신을 수행할 수 있다(610). 전자 장치(101)는 전원부(410)에 구성된 제1 전원 변조기(411)에서 출력되는 전력을 이용하여 제1 PA 그룹내의 PA를 통해 통신을 수행할 수 있다. 전자 장치(101)는 상기 제1 전원 변조기(411)에서 출력되는 전력 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 설정된 모드에 따른 전력을 제1 PA 그룹 내의 PA(예: LB, MB 또는 HB를 지원하는 PA 중 어느 하나)로 제공할 수 있다.
다양한 실시 예에 따르면, 업링크 CA 요청이 발생되는 경우(612), 전자 장치(101)는 제2 전원 변조기를 활성화할 수 있다(614). 전자 장치(101)는 상기 동작(610)에서 통신이 수행되는 동안에, 업링크 CA 요청이 발생되는지 판단할 수 있다. 전자 장치(101)는 상기 제1 PA 그룹과 다른 적어도 하나의 PA 그룹(예: 제2 PA 그룹) 내의 PA를 통해 통신의 수행을 허락하는 신호를 기지국으로부터 수신할 수 있다. 전자 장치(101)는 상기 업링크 CA 요청이 발생되면, 두 개의 업링크 CA를 통해 통신이 수행될 수 있음을 판단할 수 있다. 전자 장치(101)는 두 개의 업링크 CA를 통해 통신을 수행하고자 하는 경우, 전원부(410) 내의 제2 전원 변조기를 활성화할 수 있다. 전자 장치(101)는 상기 제2 전원 변조기(412)에서 출력되는 전력 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 설정된 모드에 따른 전력을 제2 PA 그룹 내의 PA(예: LB, MB 또는 HB를 지원하는 PA 중 어느 하나)로 제공할 수 있다. 전자 장치(101)는 상기 포락선 트래킹 모드, 상기 평균 전력 트래킹 모드 또는 상기 바이패스 모드 중 적어도 하나를 이용하여 제1 전원 변조기(411) 또는 제2 전원 변조기(412) 중 적어도 하나에서 출력되는 전력을 제어할 수 있다.
다양한 실시 예에 따르면, 전자 장치(101)는 제2 PA 그룹내의 PA를 통해 업링크 CA 동작을 수행하여 통신을 수행할 수 있다(616). 전자 장치(101)는 제1 PA 그룹 내의 PA를 통해 통신을 수행하고 있는 상태에서, 제2 PA 그룹의 PA를 추가로 동작시켜 업링크 CA 동작을 수행하여 통신을 수행할 수 있다. 전자 장치(101)는 제1 PA 그룹 내의 PA와 제2 PA 그룹 내의 PA를 이용하여 두 개의 상향 Component Carrier를 포함하는 업링크 CA를 수행할 수 있다.
본 발명의 다양한 실시 예에 따른 전자 장치(101)에서 신호를 송수신하는 방법은, 전원부에 구성된 제1 전원 변조기에서 출력되는 전력을 이용하여 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 동작과, 업링크 CA(carrier aggregation) 요청을 감지하는 동작과, 상기 감지된 요청에 대응하여 상기 전원부에 구성되는 제2 전원 변조기를 활성화하여 상기 제2 PA 그룹의 PA를 동작시키는 동작과, 상기 통신을 수행하고 있는 상태에서 상기 제2 PA 그룹 내의 PA를 통해 신호의 송신을 수행하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 제1 안테나를 선택적으로 연결하는 동작과, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 제2 안테나를 선택적으로 연결하는 동작을 더 포함할 수 있다.
일 실시 예에 따르면, 상기 신호의 송수신을 제어하는 동작은, 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어하는 과정을 포함할 수 있다.
일 실시 예에 따르면, 상기 신호의 송수신을 제어하는 동작은, 상기 제1 PA 그룹 중 하나의 PA와 상기 제2 PA 그룹 중 하나의 PA를 통해 신호를 동시에 송신하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 전력을 제어하는 동작은, 상기 신호의 포락선(envelope)에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 제2 PA 그룹을 동작시키는 동작은, I/Q 신호의 수신에 대응하여 제1 송신 I/Q 및 제2 송신 I/Q 신호를 생성하는 동작과, 상기 제2 PA 그룹을 동작시켜 신호의 송수신을 제어하는 제어 신호와 상기 전원부의 적어도 하나의 전원 변조기를 제어하는 제어 신호를 생성하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 제2 PA 그룹을 동작시키는 동작은, 상기 신호의 컷오프(cutoff) 주파수를 변경하는 동작과, 상기 신호와 기저대역의 I/Q(in phase/quadrature) 신호를 업컨버젼(upconversion)하는 동작과, 상기 업컨버젼된 신호를 송신 PGA(Tx programable gain amplifier)로 스위칭하는 동작과, 상기 신호의 이득을 조절하는 동작과, 상기 조절된 이득에 기반하여 상기 제2 PA 그룹 내의 PA를 통해 상기 신호의 출력 전력을 변조하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 제어 신호를 생성하는 동작은, 상기 생성된 제어 신호를 통해서 상기 제1 안테나를 상기 제1 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하는 동작과, 상기 제1 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 enable/disable을 설정하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 enable로 설정하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 제어 신호를 생성하는 동작은, 상기 생성된 제어 신호를 통해서 상기 제2 안테나를 상기 제2 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하는 동작과, 상기 제2 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블을 설정하는 동작과, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송신 경로를 인에이블로 설정하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 신호의 송수신을 제어하는 동작은, 각각의 PA 그룹으로의 스위칭 또는 각각의 PA 그룹에 포함되는 LB, MB 및 HB로 스위칭하는 동작과, 상기 전원부에 포함된 각각의 전원 변조기로부터 출력되는 전력을 제어하는 동작과, 경로 선택부에 포함된 각각의 스위치를 제어하여 복수의 안테나를 통해 신호의 송수신을 제어하는 제어하는 동작을 포함할 수 있다.
일 실시 예에 따르면, 상기 업링크 요청을 감지하는 동작은, 상기 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 도중에, 상기 제2 PA 그룹 내의 PA를 통해 통신의 수행을 허락하는 신호를 기지국으로부터 수신하는 동작과, 상기 수신된 신호에 기반하여 상기 제2 PA 그룹 내의 PA를 통해 상기 기지국과 통신을 수행하는 동작을 포함할 수 있다.
도 7은 본 발명의 일 실시 예에 따른 통신 상태에서 전력을 제어하는 과정을 나타낸 순서도이다.
이하, 도 7을 참조하여, 본 발명의 일 실시 예에 따른 통신 상태에서 전력을 제어하는 과정을 상세히 설명하면 다음과 같다.
다양한 실시 예에 따르면, PCC 및 SCC를 통해 통신이 수행되면(710), 전자 장치(101)는 PCC에 할당된 제1 전원 변조기를 제어하고, SCC에 할당된 제2 전원 변조기를 제어하여 동시에 통신을 수행할 수 있다(712). PCC내의 PA 및 SCC내의 PA를 통해 통신이 수행되는 경우, 전자 장치(101)는 제1 전원 변조기에서 출력되는 전력을 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드에 따라 제어하고, 제어된 전력을 PCC 내의 PA(예: LB, MB 또는 HB를 지원하는 PA 중 어느 하나)로 제공할 수 있다. 또한, 전자 장치(101)는 제2 전원 변조기에서 출력되는 전력을 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드에 따라 제어하고, 제어된 전력을 SCC 내의 PA(예: LB, MB 또는 HB를 지원하는 PA 중 어느 하나)로 제공할 수 있다. 전자 장치(101)는 각각의 전원 변조기에서 제어된 출력을 해당 PA 그룹 내의 PA로 제공하여 두 개의 업링크 CA 및 세 개의 다운링크 CA를 동시에 수행할 수 있다. 이와 같이, 전자 장치(101)는 상기 포락선 트래킹 모드, 상기 평균 전력 트래킹 모드 또는 상기 바이패스 모드 중 적어도 하나를 이용하여 제1 전원 변조기 또는 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어할 수 있다. 또는, 세 개 이상의 전원 변조기가 구성되고, 세 개 이상의 PA 그룹이 구성되는 경우, 전자 장치(101)는 각각의 전원 변조기에서 출력되는 전력을 제어하여 PCC 또는 SCC 중 어느 하나로 제공할 수 있다.
다양한 실시 예에 따르면, PCC만을 이용해 데이터 통신을 수행하고자 하는 경우(714), 전자 장치(101)는 PCC에 할당된 제1 전원 변조기를 제어하여 통신을 수행할 수 있다(716). PCC 및 SCC 중 PCC만을 이용해 통신을 수행하고자 하는 경우, 전자 장치(101)는 PCC에 할당된 제1 전원 변조기를 제어하여 통신을 수행하고, SCC에 할당된 제2 전원 변조기를 비활성화하여 SCC로 전력이 공급되지 않도록 설정할 수 있다.
다양한 실시 예에 따르면, PCC만을 이용해 데이터 통신을 수행하지 않는 경우(714), 전자 장치(101)는 SCC만을 이용해 데이터 통신을 수행하는지 판단할 수 있다(718).
다양한 실시 예에 따르면, 상기 과정(718)에서 SCC만을 이용해 데이터 통신을 수행하는 경우, 상향 제어 채널의 활성화 여부를 판단할 수 있다(720). PCC만을 이용해 데이터 통신을 수행하지 않고, SCC만을 이용해 데이터 통신을 수행하고자 하는 경우, 전자 장치(101)는 상향 제어 채널을 활성화할지 판단할 수 있다.
다양한 실시 예에 따르면, 상기 과정(720)에서 상기 상향 제어 채널을 활성화하지 않는 경우, 전자 장치(101)는 SCC에 할당된 제2 전원 변조기를 제어하여 데이터 통신을 수행할 수 있다(722). 전자 장치(101)는 상기 상향 제어 채널이 활성화되면, 전원부(410) 내의 제1전원 변조기 및 제2 전원 변조기를 활성화하여 PCC를 통해 상향 제어 채널 신호의 전송을 수행하고, SCC 를 통해 데이터 통신을 수행 할 수 있다.
다양한 실시 예에 따르면, 상기 과정(720)에서 상기 상향 제어 채널을 활성화하는 경우, 전자 장치(101)는 PCC에 할당된 제1 전원 변조기를 제어하고, SCC에 할당된 제2 전원 변조기를 제어하여 동시에 통신을 수행할 수 있다(724). 상기 PCC은 PCC(primary component carrier)라 칭할 수 있고, SCC은 SCC(secondary component carrier)라 칭할 수 있다.
도 8의 (a)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 포락선 트래킹 모드에 따라 제어하는 예시도이고, 도 8의 (b)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 평균 전력 트래킹 모드에 따라 제어하는 예시도이고, 도 8의 (c)는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 바이패스 모드에 따라 제어하는 예시도이다.
도 8의 (a)를 참조하면, 포락선 트래킹(envelope tracking) 모드는 송신신호의 포락선(810)에 따라 PA에 공급되는 전압을 제어하여 공급하는 모드이다. PA 출력 전력에 맞추어 전압이 공급되기 때문에 전력 사용 효율이 높아진다. 다시 말하면 PA의 사용 효율이 높아진다. 다만, 송신 신호의 포락선(810)에 따라 전압을 바꾸는 동작은 추가적인 소모 전류가 요구되기 때문에, 전원 생성 효율은 낮아질 수 있다. 이에 따라 포락선 트래킹 모드는 PA의 사용 효율에 의해 저감되는 전류가 전원 생성 효율에 의해 추가적으로 소모되는 전류보다 높을 때 사용될 수 있다. 예를 들면, 포락선 트래킹 모드는 PA 출력이 높을 때(예: high power, 20dBm 이상) 사용될 수 있다.
도 8의 (b)를 참조하면, 평균 전력 트래킹(average power racking) 모드는 송신 출력 전력의 평균치(820)에 맞추어 PA에 전압을 제어하여 공급하는 모드이다. 평균 전력 트래킹 모드는 포락선 트래킹 모드에 비해 PA 사용 효율은 낮으나 전원 생성 효율은 포락선 트래킹 모드보다 높을 수 있다. 이러한 이유로 중간 대역의 PA 출력(예: low power, 20dBm 미만)서 평균 전력 트래킹 모드를 사용하면 효과적으로 소모되는 전류를 저감할 수 있다.
도 8의 (c)를 참조하면, 바이패스 모드는 송신 출력에 상관없이 일정한 전압(830)(예: 배터리 전압)을 공급하기 위한 모드이다. 포락선 트래킹 모드나 평균 전력 트래킹 모드를 사용해도 PA의 사용효율의 개선이 미미하거나 오히려 APT/ET Mode 구동에 의한 소모전류가 더 추가될 수 있는 경우, 예를 들면 PA 의 출력 전압이 배터리 전압에 가까울 경우에 사용할 수 있다. 다른 실시예에 따르면 바이패스 모드는 사용하지 않을 수 있다.
다양한 실시 예에 따르면, 전자 장치(101)는 상술한 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드에 따라 각각의 전원 변조기에서 출력되는 전력을 제어하여 해당 PA로 제공할 수 있다.
도 9는 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 제어하는 과정을 나타낸 순서도이다.
이하, 도 9를 참조하여, 본 발명의 일 실시 예에 따른 전원 변조기의 출력 전력을 제어하는 과정을 상세히 설명하면 다음과 같다.
다양한 실시 예에 따르면, 높은 송신 전력으로 신호를 송신하는 경우(910), 전자 장치(101)는 포락선 트래킹 모드로 전압을 제어할 수 있다(912). 전자 장치는 포락선 트래킹 모드를 따라 전원 변조기의 출력 전력을 제어할 수 있다.
다양한 실시 예에 따르면, 전자 장치(101)는 송신되는 신호의 포락선에 기반한 아날로그 신호로 전압을 제어할 수 있다(914). 상기 과정(910)에서 높은 송신 전력으로 신호를 송신하지 않고, 중간 송신 전력으로 신호를 송신하는 경우, 전자 장치(101)는 평균 전력 트래킹 모드로 전압을 제어할 수 있다(918).
다양한 실시 예에 따르면, 전자 장치(101)는 송신 전력의 평균 전력에 기반한 디지털 신호로 전압을 제어할 수 있다(920). 상기 과정(916)에서 중간 송신 전력으로 신호를 송신하지 않는 경우, 전자 장치(101)는 바이패스 모드로 전압을 제어할 수 있다(922).
상술한 바와 같이, 전자 장치(101)는 PA의 송신 전력에 따라 전원 변조기의 출력 전압을 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나(또는 적어도 하나)로 조절하여 해당 PA에 제공할 수 있다. 이를 위해, 전자 장치(101)는 전원 변조기에서 출력되는 전압을 제어하기 위한 제어 신호를 생성한다. 예를 들면, PA 가 높은 송신 전력으로 동작시 프로세서(120)는 MIPI 를 통해 해당 전원 변조기의 모드를 포락선 트래킹 모드로 변경한다. 프로세서(120)는 송신 신호의 포락선에 비례하는 전압신호(예:아날로그의 형태)를 생성하여 전압 변조기에 전달한다. 전원 변조기는 전압 신호에 일부 기반하여 출력 전압을 결정할 수 있다. 프로세서(120)는 출력 전압에 대한 추가 정보를 MIPI를 통해 전원 변조기로 전달할 수 있다. 그리고, 전원 변조기가 평균 전력 트래킹 모드로 동작되는 경우, 프로세서(120)은 MIPI를 통해 전압변조기의 모드를 평균 전력 트래킹 모드로 변경하고, 송신 출력 전력 평균에 기반한 전압 제어 신호는 MIPI를 통해 전원 변조기로 전달될 수 있다. 전원 변조기는 전달된 전압 제어 신호에 기반하여 전압을 출력한다. 다른 실시 예에 따르면, 평균 전력 트래킹 모드 및 포락선 트래킹 모드에 따른 출력 전압 제어 신호는 둘 다 아날로그일 수 있거나 또는 둘 다 디지털일 수 있다. 상기 포락선 트래킹 모드에서 전원 변조기를 아날로그 형태로 전압 제어할 수 있고, 평균 전력 트래킹 모드에서 전원 변조기를 디지털 형태로 전압 제어할 수 있다.
도 10은 본 발명의 일 실시 예에 따른 전자 장치의 회로도를 나타낸 예시도이다.
도 10을 참조하면, 전자 장치(101)는 통신 프로세서(480), RF 회로부(470), 안테나부(420) 및 전원부(410)를 포함할 수 있다. 상기 전원부(410)는 제1 전원 변조기(411) 및 제2 전원 변조기(412)를 포함할 수 있다. 상기 RF 회로부(470)는 트랜시버(430), 제1 RF(1070) 및 제2 RF(1080)를 포함할 수 있다. 비록 도시되지 않았으나, 상기 전자 장치(101)는 LB 및 적어도 하나의 MB를 지원하는 제3 안테나, 적어도 하나의 MB 및 HB를 지원하는 제4 안테나 및 수신 회로를 포함하는 다이버시티부(미도시) 더 포함할 수 있다. 상기 제3 안테나와 제4 안테나는 다이버시티 안테나일 수 있다.
다양한 실시 예에 따르면, 상기 제1 전원 변조기(411)는 제1 RF(1070)에 포함되거나 별도로 구성될 수 있고, 상기 제2 전원 변조기(412)는 제2 RF(1080)에 포함되거나 별도로 구성될 수 있다. 그리고, 제1 전원 변조기(411) 및 제2 전원 변조기(412)는 포락선 트래킹 모드에 따라 출력되는 전력을 제어하기 위한 포락선 트래킹 전력 제어 회로(411a, 412a)와, 평균 전력 트래킹 모드에 따라 출력되는 전력을 제어하기 위한 평균 전력 트래킹 전력 제어 회로(411b, 412b)를 포함할 수 있다. 그리고, 제1 전원 변조기(411) 및 제2 전원 변조기(412)는 통신 프로세서(480)로부터 MIPI를 통한 제어 신호를 수신하기 위한 인터페이스를 포함할 수 있다.
다양한 실시 예에 따르면, 통신 프로세서(480)는 I/Q 신호를 수신하고, 제1 송신 I/Q 및 제2 송신 I/Q 신호를 생성하며, 상기 RF 회로부(470)를 제어하는 제1 제어 신호와 상기 전원부(410)의 적어도 하나의 전원 변조기를 제어하는 제2 제어 신호를 생성할 수 있다.
다양한 실시 예에 따르면, 상기 통신 프로세서(480)는 상기 생성된 제1 제어 신호를 통해서 상기 제1 안테나를 상기 제1 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 제1 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF, 듀플렉서 또는 쿼드플렉서(quadplexer) 중 어느 하나에 선택적으로 연결하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블(enable/disable)을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 인에이블로 설정할 수 있다.
다양한 실시 예에 따르면, 상기 통신 프로세서(480)는 상기 생성된 제2 제어 신호를 통해서 상기 제2 안테나를 상기 제2 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 제2 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF, 듀플렉서 또는 쿼드플렉서 중 어느 하나에 선택적으로 연결하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송신 경로를 인에이블로 설정할 수 있다.
다양한 실시 예에 따르면, 상기 통신 프로세서(480)는 기저 대역 신호(예: I/Q 신호)를 RF 회로부(470)를 통해 수신하고, 수신된 I/Q 신호를 복조할 수 있다. 그리고, 통신 프로세서(480)는 데이터를 변조한 I/Q 신호를 RF 회로부(470)로 전달한다. 상기 RF 회로부(470)로 전달된 I/Q 신호는 LPF를 거쳐 믹서를 통해 RF 대역 신호로 변환된다. 변환된 RF 대역 신호는 PGA를 통해 증폭된 후, PA로 전달된다.
다양한 실시 예에 따르면, RF 회로부(470)는 트랜시버(430), 제1 RF(1070) 및 제2 RF(1080)를 포함할 수 있는데, 상기 트랜시버(430)는 상기 통신 프로세서(480)로부터 MIPI를 통한 제어 신호를 수신하기 위한 인터페이스를 포함할 수 있고, 제1 RF(1070)의 PA 및 제2 RF(1080)내의 PA들과 신호를 송수신하기 위한 LPF, 믹서, PGA, LNA 및 스위치를 포함할 수 있다. 또한, 상기 트랜시버(430)는 통신 프로세서(480)에서 출력되는 신호의 컷오프(cutoff) 주파수를 변경하는 적어도 하나의 LPF, 상기 신호와 기저대역의 I/Q 신호를 업컨버젼(upconversion)하는 적어도 하나의 송신 믹서(Tx mixer), 상기 송신 믹서로부터 출력되는 신호를 송신 이득 조절기로 스위칭하는 적어도 하나의 스위치 및 상기 제어된 전력에 따라 이득을 조절하는 상기 PA로 제공하는 적어도 하나의 송신 이득 조절기를 포함할 수 있다. 또한, 상기 트랜시버(430)는 제1 RF(1070) 및 제2 RF(1080)에서 공통으로 사용하는 적어도 하나의 Tx PLL(phase-locked loop)과 적어도 하나의 Rx PLL을 포함하는 공용부(431)를 포함할 수 있다.
다양한 실시 예에 따르면, RF 회로부(470)는 적어도 하나의 PA를 포함하는 제1 PA 그룹(예: 제1 RF)과 적어도 하나의 PA를 포함하는 제2 PA 그룹(예: 제2 RF)을 포함할 수 있다. 상기 PA는 LB, MB 또는 HB를 지원하는 PA 중 어느 하나일 수 있으며, 상기 LB는 600MHz~1GHz의 주파수를 갖는 PA이고, 상기 MB는 1.5GHz~2.2GHz의 주파수를 갖는 PA이고, 상기 HB는 1.8GHz~5GHz의 주파수를 갖는 PA일 수 있다. RF 회로부(470)는 상기 트랜시버(430)에서 조절된 이득에 따라 신호의 출력 신호를 증폭하는 PA, 송수신되는 신호를 분리하는 적어도 하나의 듀플렉서, LB와 MB의 신호를 분리하는 적어도 하나의 다이플렉서를 포함하는 경로 선택부를 포함할 수 있다.
다양한 실시 예에 따르면, PA 와 듀플렉서를 모듈화 할 수 있다. 예를 들면, 제2 RF(1080)의 HB 2(1020)는 PA(1021) 와 듀플렉서(1022)를 포함하는 모듈 형태로 구성될 수 있으며, PAD(Power Amplifier include Duplexer)라 칭할 수 있다. 그리고, 다른 주파수 대역을 지원하는 복수의 PA와 스위치를 모듈화 할 수 있다. 예를 들면, 제2 RF(1080)의 LB/MB2(1010)는 LB 대역을 지원하는 PA(1011)와 MB 대역을 지원하는 PA(1012)와 출력포트와 선택적으로 연결하는 스위치(1013, 1014)를 포함하는 모듈 형태로 구성될 수 있으며, MMMB(Multi Mode Multi Band) PA 라 칭할 수 있다. 각 PA 들은 다양한 통신 표준(예; LTE, UMTS,)의 신호를 증폭할 수 있다.
다양한 실시 예에 따르면, PA 와 스위치 듀플렉서를 모듈화 할 수 있다. 예를 들면, 제 1RF(1070)의 HB1(1030)은 제1 안테나(421)를 내부 듀플렉서(1033), 또는 외부 입력과 선택적으로 연결하는 스위치(1037), 다른 밴드를 지원하는 복수의 BPF(1034), PA들(1031, 1032), PA와 듀플렉서를 선택적으로 연결하는 스위치(1035, 1036)를 포함하여 모듈화할 수 있다. 그리고, 제 1RF(1070)의 MB1(1040)은 제2 안테나(422)를 외부 입력과 선택적으로 연결하는 스위치(1037), 쿼드플렉서(1043), PA(1041), PA(1041)와 쿼드플렉서(1043)를 선택적으로 연결하는 스위치(1042), 제2 안테나와 쿼드플렉서(1043)을 선택적으로 연결하는 스위치(1044)를 포함하여 모듈화할 수 있다. 그리고, 제 1RF(1070)의 LB1(1050)은 제2 안테나(422)를 내부 듀플렉서(1053), 또는 외부 입력과 선택적으로 연결하는 스위치(1037), PA(1051), PA와 듀플렉서를 선택적으로 연결하는 스위치(1052), 제2 안테나와 듀플렉서(1053)을 선택적으로 연결하는 스위치(1055)를 포함하여 모듈화할 수 있다.
예를 들면, TDD를 지원할 경우 BPF를 트랜시버(430)의 Rx 포트(LNA와 연결된) 또는 PA에 선택적으로 연결하는 스위치를 더 포함할 수 있다. 예를 들면, 제1 RF(1070)의 HB 1(1030)에서 TDD 인 B41(1034)을 지원하는 회로가 더 포함될 수 있으며, PAMID(Power Amplifier Module include Duplexer)라 칭할 수 있다.
다양한 실시 예에 따르면, 각 모듈은 각각 LB/MB/HB를 지원하는 모듈로 제작될 수 있다. 모듈화를 통해 부품 수를 줄일 수 있다. 예를 들면, 유사한 주파수 대역의 부품들을 모듈화하여 유사한 주파수 특성을 갖는 부품을 공유할 수 있다. 그리고 실장 면적도 줄일 수 있다. 또한 전자 장치간 공용화 설계가 가능하다. 예를 들면, LB 1(1050)은 B5/B8 대역, MB 1(1040)은 B1/B3대역, HB 1(1030)은 B7/B41 대역의 부품을 모아 모듈화(PAMID)할 수 있다. 또한, 각 모듈의 사용에 있어서 다른 조합도 구성이 가능하다. 예를 들어 전부 PAMID로 구성할 수도 있고 PAMID, MMMB, PAD 조합으로도 구성할 수 있으며, 이것은 설계 효용성에 따라 결정할 수 있다.
다양한 실시 예에 따르면, 통신 프로세서(480)에서 생성되는 제어 신호(예: frontend 제어 신호)는 MIPI를 통한 PAMID 내의 스위치 및 PA 제어 신호, MMMB 내의 스위치 및 PA 제어 신호, PAD 내의 PA 제어 신호, 제1 전원 변조기 제어 신호, 제2 전원 변조기 제어 신호, 스위치 제어 신호, 스위치 각각을 제어하는 신호를 포함할 수 있다. GPIO 등으로 별도의 제어신호로 통신 프로세서(120)와 연결될 수 있다.
상기 PAMID 내의 스위치 제어신호는 선택된 밴드에 따라 듀플렉서를 송수신 경로에 연결하거나, 외부입력과 PAMID 의 입출력 포트(예: 안테나 연결부)에 연결할 수 있다. 상기 PAMID 내의 PA 제어신호는 결정된 송신 전력/사용 여부에 따라 PA 의 전력 모드, 바이어스(bias) 전압, 인에이블/디스에이블 등의 제어를 수행할 수 있다. PA의 전력 모드는 결정된 송신 출력이 높으면 고 전력 모드, 낮으면 저 전력 모드로 설정할 수 있다. 저 전력 모드 에서는 저출력의 증폭기를 사용하여 신호를 출력할 수 있다. 고 전력 모드에서는 PA 내장된 고출력의 증폭기를 사용하여 신호를 출력할 수 있다. 저출력 증폭기와 고출력 증폭기는 직렬로 연결될 수 있다. 직렬 종단에 고출력 증폭기가 배치될 수 있다. 고 전력 모드에서는 직렬로 같이 동작하여 두 증폭기의 이득을 합한 이득을 가질 수 있다. 직렬로 연결된 상태에서 저 전력 모드에서는 고 전력 증폭기를 바이패스하여 사용할 수 있다. 바이패스 전압은 결정된 출력전력/이득에 따라 제어될 수 있다.
상기 MMMB 내의 스위치 제어신호는 선택된 대역에 따라 출력포트에 선택적으로 연결할 수 있다. 상기 MMMB 내 PA 제어신호는 결정된 송신 전력/사용 여부에 따라 PA 의 전력 모드, 바이어스 전압, 인에이블/디스에이블 등의 제어를 수행할 수 있다. 그리고, PAD 내의 PA 제어신호는 결정된 송신 전력/사용 여부에 따라 PA의 전력 모드, 바이어스 전압, 인에이블/디스에이블 등의 제어를 수행한다
상기 제1 전원 변조기 및 상기 제2 전원 변조기 제어신호는 전원 변조기를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드의 동작을 선택할 수 있다. 출력 전압 및 전류 및 평균 전력 트래킹 모드/포락선 트래킹 모드 동작 관련 제어를 수행할 수 있다. 포락선 트래킹 모드로 제1 및 제2 전원 변조기가 동작되도록 제어할 때, 제1 Tx 포락선 및 제2 Tx 포락선에 비례하는 제어 전압을 DAC를 통해 포락선 트래킹 전력 제어 회로에 제공할 수 있다. 상기 포락선 트래킹 전력 제어 회로는 제공받은 제어전압에 따라 출력 전압을 제어할 수 한다. 통신 프로세서(480)에서 출력되는 신호의 포락선은 아래 <수학식 1>을 통해 얻을 수 있다.
Figure pat00001
전원 변조기가 평균 전력 트래킹 모드로 동작시, 통신 프로세서(480)는 제어신호(MIPI)를 통해 출력전력의 평균에 기반하여 해당하는 전압 값을 평균 전력 트래킹 전력 제어 회로에 전달할 수 있다. 평균 전력 트래킹 전력 제어 회로는 수신된 전압값에 따라 출력 전압을 제어할 수 있다. 다른 실시예에 따르면, 통신 프로세서(480)는 제어신호를 통해 출력전력의 평균을 평균 전력 트래킹 전력 제어 회로에 전달하고, 평균 전력 트래킹 전력 제어 회로는 출력전력의 평균에 기반하여 해당하는 전압을 출력할 수 있다.
상기 제1 및 제2 전원 변조기는 각각 연결된 PA 의 출력전력에 따라 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드로 동작할 수 있다. 예를 들면, 제1 전원 변조기에 연결된 PA는 고 전력으로 동작되고, 제2 전원 변조기에 연결된 PA가 중간 전력으로 동작되면, 제1 전원 변조기는 포락선 트래킹 모드로 동작되고, 제2 전원 변조기는 평균 전력 트래킹 모드로 동작될 수 있다.
상기 스위치에 연결된 스위치 제어신호는 업링크 CA 방식에 따라 MB 쿼드플렉서를 HB 1 PAMID의 외부입력이나, MB 1 PAMID 외부입력에 선택적으로 연결하도록 제어할 수 있다.
그리고, 상기 트랜시버(430)는 통신 프로세서(480)에 의해 생성되는 LNA 제어신호, Tx PGA 제어 신호, LNA 선택 제어 신호, Rx 믹서 제어 신호, Tx 믹서 제어신호, LPF 제어 신호, Rx PGA 제어신호, Tx PLL 제어신호(미도시), Rx PLL 제어신호(미도시)를 통신 프로세서(480)로부터 수신할 수 있다. 도면상의 제어신호표시는 편의상 동종의 부품에 공통으로 연결된 것으로 표시했으나, 이는 실시 예일 뿐, 각각 별도로 연결되며 제어될 수 있다.
상기 LNA 제어신호는 LNA의 인에이블/디스에이블/바이패스 등의 제어를 수행할 수 있다. 상기 Tx PGA 제어 신호는 결정된 송신 전력에 따라 Tx PGA의 이득을 변경할 수 있고, 사용여부에 따라 인에이블/디스에이블 제어를 수행할 수 있다. 상기 Tx PGA 선택 신호는 통신하는 주파수 대역에 기반하여 해당 주파수 대역을 지원하는 Tx PGA 를 Tx 믹서에 연결할 수 있다. LNA 선택 제어 신호는 통신하는 주파수 대역에 기반하여 해당 주파수 대역을 지원하는 LNA를 믹서에 연결할 수 있다. Tx 믹서 제어 신호는 인에이블/디스에이블 또는 믹서 이득등을 제어할 수 있다. Tx 믹서는 Tx PLL로부터 생성된 송신 주파수 신호와 기저대역의 I/Q 신호를 혼합하여 송신 신호를 생성할 수 있다. Rx 믹서 제어 신호는 인에이블/디스에이블 또는 믹서 이득 등을 제어할 수 있다. Rx 믹서는 Rx PLL로부터 생성된 수신 주파수 신호와 수신 신호를 혼합하여 기저대역의 I/Q신호를 생성할 수 있다. 그리고, LPF 제어 신호는 사용하는 통신 표준(예, LTE,WCDMA,GSM 등)에 따라 컷 오프(Cutoff) 주파수를 변경할 수 있다. 상기 Rx PGA 제어신호는 수신된 신호의 강도에 따라 Rx PGA의 이득을 제어할 수 있다. 사용 여부에 따라 인에이블/디스에이블 제어를 할 수 있다.
그리고, 공용부(431)는 제1 RF(1070)와 제2 RF(1080)에서 공용으로 사용하는 제1 Tx PLL 제어신호, 제2 Tx PLL 제어신호, 제1 Rx PLL 제어신호, 제2 Rx PLL 제어신호 및 제3 Rx PLL 제어신호를 생성할 수 있다. Tx PLL 제어신호는 통신하는 주파수 대역의 송신 주파수 신호를 생성할 수 있다. 생성된 송신 주파수 신호는 믹서에 공급된다. 전자 장치가 업링크 CA를 지원할 경우 트랜시버(430)는 복수의 Tx PLL를 포함할 수 있고, Tx 믹서들에 선택적으로 복수의 송신 주파수를 공급할 수 있다. 예를 들면, 도 10은 두 개의 업링크 CA를 지원하기 때문에, 제1 Tx PLL과 제2 Tx PLL이 포함되어 있다. Rx PLL 제어신호는 통신하는 주파수 대역의 수신 주파수 신호를 생성할 수 있다. 생성된 수신 주파수 신호는 믹서에 공급된다. 전자 장치가 다운링크 CA를 지원할 경우 트랜시버(430)는 복수의 Rx PLL 있고 Rx 믹서들에 선택적으로 복수의 수신 주파수를 공급할 수 있다. 도 10은 세 개의 다운링크 CA를 지원하기 때문에, 제1 Rx PLL, 제2 Rx PLL, 및 제3 Rx PLL이 포함되어 있다. 다른 실시예에 따르면 PLL 은 Rx/Tx 구별 없이 사용될 수 있다.
도 11은 본 발명의 두 개의 다이버시티 안테나를 포함하여 업링크 CA를 수행하는 블럭도이다.
도 11을 참조하면, 도 11은 도 5a의 구조에 다이버시티부(1130)를 추가하여 2 개의 업링크 CA와 4th order diversity를 동시에 수행할 수 있다. 도 5a와 중복되는 내용은 생략한다. 상기 다이버시티부(1130)는 제3 안테나(1131), 제4 안테나(1136)를 포함할 수 있다. 상기 제3 안테나(1131)는 제1 대역 통과 필터(1133) 및 LB(1151)를 포함하는 RF 다이버시티 LB부(1139a)와 제2 대역 통과 필터(1134) 및 제1 MB(1135)를 포함하는 제1 RF 다이버시티 MB부(1139b)와 연결될 수 있고, 상기 제4 안테나(1136)는 제3 대역 통과 필터(1137) 및 제2 MB(1138)를 포함하는 제2 RF 다이버시티 MB부(1139c)와 제4 대역 통과 필터(1154) 및 HB(1152)를 포함하는 제2 RF 다이버시티 HB부(1139d)와 연결될 수 있다. 그리고, 상기 다이버시티부(1130)는 기지국으로부터 수신되는 신호의 품질을 향상시키기 위해 기지국으로부터 신호를 수신하는 기능을 수행할 수 있다. 상기 다이버시티부(1130)는 제3 안테나(1131)로 수신되는 신호의 대역에 따라 RF 다이버시티 LB부(1139a)와 제1 RF 다이버시티 MB부(1139b)에 신호를 전달하는 제2 다이플렉서(1132)를 포함할 수 있다. 상기 RF 다이버시티 LB부(1139a)는 제2 다이플렉서(1132)에서 출력되는 신호를 LNA로 제공하는 제1 대역 통과 필터(1133)를 포함할 수 있고, 제1 RF 다이버시티 MB부(1139b)는 상기 제2 다이플렉서(1132)에서 출력되는 신호를 제1 MB(1135)로 제공하는 제2 대역 통과 필터(1134)를 포함할 수 있다. 또한, 상기 다이버시티부(1130)는 제4 안테나(1136)로 수신되는 신호의 대역에 따라 제2 MB(1138)와 HB(1152)로 스위칭하는 제5 스위치(1153) 를 포함할 수 있다.
신호를 수신하는 경우를 설명하면, 먼저, 제1 스위치(1146)가 제1 다이플렉서(1142)와 제1 RF(1110)의 제1 듀플렉서(1115)를 연결하고, 제2 스위치(1147)가 제1 다이플렉서(1142)와 제1 RF(1110)의 제2 듀플렉서(1116)를 연결하고, 제3 스위치(1144)가 제2 안테나(1143)와 제4 스위치(1148)를 연결하고, 제4 스위치(1148)가 제3 스위치(1144)와 제2 RF(1120)의 제2 듀플렉서(1126)를 연결하고, 제5 스위치(1153)가 제4 안테나(1136)와 제3 대역 통과 필터(1137)를 연결한다고 가정한다. 이 경우, 수신되는 신호는 제1 안테나(1141), 제1 다이플렉서(1142), 제1 RF의 제1 듀플렉서(1115)를 통과하는 제1 예와, 상기 제1 안테나(1141), 제1 다이플렉서(1142), 제1 RF의 제2 듀플렉서(1116)를 통과하는 제2 예와, 상기 제2 안테나(1143), 제3 스위치(1144), 제4 스위치(1148), 제2 RF의 제2 듀플렉서(1126)를 통과하는 제3 예, 다이버시티부(1130)의 제3 안테나(1131), 제2 다이플렉서(1132), 제2 대역 통과 필터(1134)를 통과하는 제4 예, 다이버시티부(1130)의 제4 안테나(1136), 제5 스위치(1153), 제3 대역 통과 필터(1137)를 통과하는 제5 예가 있다. 전자 장치(101)는 상기 제1 예, 제2 예, 제3 예, 제4 예 및 제5 예를 동시에 수행하여 신호를 동시에 수신할 수 있다.
그리고, 신호를 송신하는 경우를 설명하면, 트랜시버로부터 출력되는 신호는 제1 전원 변조기(1111)에서 출력되는 전압을 통해, 제1 RF(1110)의 LB PA(1112), 제1 RF의 제1 대역 통과 필터(1115), 제1 스위치(1146), 제1 다이플렉서(1142)를 거쳐 제1 안테나(1141)를 통해 송신되는 제1 예, 제2 전원 변조기(1121)에서 출력되는 전압을 통해, 제2 RF(1120)의 MB PA(1123), 제2 RF의 제2 대역 통과 필터(1126), 제4 스위치(1148) 및 제3 스위치(1144)를 거쳐 제1 안테나(1141)를 통해 송신되는 제2 예가 있다. 전자 장치(101)는 상기 제1 예 및 제2 예를 동시에 수행하여 신호를 동시에 송신할 수 있다.
도 12는 본 발명의 두 개의 다이버시티 안테나를 포함하여 업링크 CA를 수행하는 블럭도이다.
도 12를 참조하면, 도 12는 도 11의 구조에서 제1 RF(1210)의 MB(1207)에 연결된 듀플렉서를 쿼드플렉서(1209)로 변경하고, 제2 RF(1220)의 MB(1210)에 연결된 듀플렉서를 쿼드플렉서(1212)로 변경하고, 다이버시티부(1130)의 듀플렉서(1134)를 MB 1(1202)와 MB 2(1203)을 지원하는 제2 듀플렉서(1209)로 변경하고, 다이버시티부(1130)의 듀플렉서(1137)를 MB 3(1205)와 MB 4(1206)을 지원하는 제3 듀플렉서(1209)로 변경하였으며, 도 11과 중복되는 내용은 생략한다. 도12 구조를 통해서는 MB 및 HB 통한 업링크 CA 동작 시 제1 안테나(1141)는 제1RF 의 MB 의 송수신부에 의해 점유되고 제2 안테나(1143)가 제2RF부의 HB 송수신부에 의해 점유되어 같은 밴드내에 4개의 수신경로가 필요한 4th order diversity (4개의 수신 경로를 갖는 diversity)지원이 불가능할 수 있다. 또는 MB 내의 제1밴드 및 MB 내의 제2밴드를 통한 업링크 CA 동작 시 제1 안테나(1141)는 제1RF 의 MB 내의 제1밴드 송수신부에 의해 점유되고 제2 안테나(1143)가 제2RF부의 MB 내의 제2밴드 송수신부에 의해 점유되어 같은 밴드 내에 4개의 수신경로가 필요한 4th order diversity 지원이 불가능할 수 있다. 상기 쿼드플렉서(1209, 1212)는 MB내의 제1 밴드와 제2 밴드를 지원할 수 있다. 그리고, 제1 RF(1210)의 MB PA(1207)와 쿼드플렉서(1209) 사이에 추가된 스위치(예: 제5 스위치(1208))와 제2 RF(1220)의 MB(1210)와 쿼드플렉서(1212) 사이에 추가된 스위치(예: 제6 스위치(1211))는 MB를 제1 밴드 송신단과 제2 밴드 송신단을 선택적으로 연결할 수 있다. 제3 안테나(1131)와, 제4 안테나(1136)를 포함하는 다이버시티부(1130)에서도 MB내의 제1 밴드 수신 대역과 제2 밴드 수신 대역이 신호를 분리하는 듀플렉서(1209)가 추가되고, MB 용 LNA(1203, 1206)도 각 안테나별로 하나씩 추가될 수 있다. 이러한 구조를 통해 각 안테나 별로 제1 밴드와 제2 밴드의 동시 수신이 가능하다. 따라서, MB 내의 제1 밴드(예: MB 1) 및 제2 밴드(예: MB 2)를 이용하여 업링크 CA 및 다운링크 CA를 수행하는 도중에 제1 밴드와 제2 밴드의 4th order diversity가 가능하다.
그리고, 경우에 따라 제4 안테나(1136)에 추가된 듀플렉서와 LNA 구조 대신 BPF와 LNA로 구성될 수 있다. 이 경우 제1 밴드 또는 제2 밴드 하나만 4th order diversity로 동작할 수 있고, 나머지 하나는 최대 3rd order diversity 동작할 수 있다. 아래 [표 2]는 스위칭 연결 방법으로 제1 RF의 MB, 제2 RF의 MB를 이용한 업링크 CA 및 제1 RF의 LB, 제1 RF의 MB 및 제2 RF의 MB를 이용한 다운링크 CA의 밴드 조합에 따른 스위칭 동작 구성을 나타낸다.
UL CA DL CA 제1 SW 제2 SW 제3 SW 제4 SW 제5 SW 제6 SW 제7 SW
MB/HB LB/MB/MB 제1 MB 제2 MB 제2 안테나 제2 MB 제1 밴드(MB 1) 제1 MB PA 제2 밴드(MB 2) 제2 MB PA MB 듀플렉서
[표 2]에서 굵은 문자로 되어 있는 밴드가 제1 RF(예: PCC) 역할을 하는 밴드이고, 굵지 않은 문자로 되어 있는 밴드가 제2 RF(예: SCC) 역할을 하는 밴드이다.
신호를 수신하는 경우를 설명하면, 먼저, 제1 스위치(1246)가 제1 다이플렉서와 제1 RF(1210)의 제1 듀플렉서를 연결하고, 제2 스위치(1247)가 제1 다이플렉서와 제1 RF(1210)의 쿼드플렉서(1209)를 연결하고, 제3 스위치(1144)가 제2 안테나(1143)와 제4 스위치(1248)와 연결되고, 제4 스위치(1248)가 상기 제3 스위치(1144)와 제2 RF(1220)의 쿼드플렉서(1212)를 연결하고, 제5 스위치(1253)가 제4 안테나(1136)와 다이버시티부(1130)의 제3 듀플렉서를 연결한다고 가정한다. 이 경우, 수신되는 신호는 제1 안테나(1141), 제1 다이플렉서(1142), 제1 RF(1210)의 제1 듀플렉서(1115)를 통과하는 제1 예와, 상기 제1 안테나(1141), 제1 다이플렉서(1142), 제1 RF의 쿼드플렉서(1209)를 통과하는 제2 예와, 상기 제2 안테나(1143), 제3 스위치(1144), 제4 스위치(1248), 제2 RF의 쿼드플렉서(1212)를 통과하는 제3 예, 다이버시티부(1130)의 제3 안테나(1131), 다이플렉서(1132), 제2 듀플렉서(1209)를 통과하는 제4 예, 다이버시티부(1130)의 제4 안테나(1136), 제5 스위치(1253), 제3 듀플렉서(1137)를 통과하는 제5 예가 있다. 전자 장치(101)는 상기 제1 예, 제2 예, 제3 예, 제4 예 및 제5 예를 동시에 수행하여 신호를 동시에 수신할 수 있다. 제1 RF의 쿼드플렉서(1209)를 통과하는 제2 예, 제2 RF의 쿼드플렉서(1212) 를 통과하는 제3예, 제2 듀플렉서를 통과하는 제4 예, 제3 듀플렉서를 통과하는 제5 예는 MB 내의 제1밴드와 제2밴드를 동시에 수신 할 수 있다. 예를 들어 MB내의 제1밴드는 각 안테나로부터 4개의 신호를 수신하고 MB 내의 제2밴드도 각 안테나로부터 4개의 신호를 수신할 수 있다.
그리고, 신호를 송신하는 경우를 설명하면, 트랜시버로부터 출력되는 신호는 제1 전원 변조기(1211)에서 출력되는 전압을 제1 RF(1210)의 MB PA(1207)에 공급하여 증폭된 송신 신호를 제1 RF의 쿼드플렉서(1209), 제2 스위치(1247), 제1 다이플렉서(1142)를 거쳐 제1 안테나(1141)를 통해 송신되는 제1 예, 제2 전원 변조기(1221)에서 출력되는 전압을 제2 RF(1220)의 MB PA(1210)에 공급하여 증폭된 신호를 제2 RF의 쿼드플렉서(1212), 제4 스위치(1248) 및 제3 스위치(1144)를 거쳐 제2 안테나(1143)를 통해 송신되는 제2 예가 있다. 전자 장치(101)는 상기 제1 예 및 제2 예를 동시에 수행하여 신호를 동시에 송신할 수 있다. 상기 제1 및 제2 RF(1210, 1220)의 쿼드플렉서(1209, 1212)는 두 개의 밴드의 신호를 분리하여 동시에 수신할 수 있도록 한다.
도 13은 본 발명의 일 실시 예에 따른 두 개의 업링크 CA를 제어하는 과정을 나타낸 순서도이다.
이하, 도 13을 참조하여 본 발명의 일 실시 예에 따른 두 개의 업링크 CA를 제어하는 과정을 상세히 설명하면 다음과 같다.
다양한 실시 예에 따르면, 전자 장치(101)는 I/Q 신호를 수신하고(1310), 두 개의 업링크 CA를 위한 제1 I/Q 및 제2 I/Q 신호를 생성할 수 있다(1312). 상기 전자 장치(101)는 상기 I/Q 신호를 기지국으로부터 수신할 수 있다. 상기 전자 장치(101)는 기저 대역 신호(예: I/Q 신호)를 RF 회로부(470)를 통해 수신하고, 수신된 I/Q 신호를 복조할 수 있다. 전자 장치(예: 통신 프로세서(480))는 I/Q 신호를 RF 회로부(470)로 전달할 수 있다. 상기 RF 회로부(470)로 전달된 I/Q 신호는 LPF를 거쳐 믹서를 통해 RF 대역 신호로 변환된다. 변환된 RF 대역 신호는 PGA를 통해 증폭된 후, RF 송신 블록으로 전달된다.
전자 장치(101)는 통신 인터페이스 및 전원부를 제어하기 위한 제어 신호를 생성할 수 있다(1314). 전자 장치(예: 통신 프로세서(480))는 통신 인터페이스 및 전원부를 제어하기 위한 제어 신호를 생성하여 상기 통신 인터페이스 및 전원부로 전달할 수 있다. 상기 통신 프로세서(480)는 I/Q 신호를 수신하여 상기 RF 회로부(470)를 제어하는 제1 제어 신호와 상기 전원부(410)의 적어도 하나의 전원 변조기를 제어하는 제2 제어 신호를 생성할 수 있다. 상기 통신 프로세서(480)는 MIPI를 통한 PAMID 내의 스위치 및 PA 제어 신호, MMMB 내의 스위치 및 PA 제어 신호, PAD 내의 PA 제어 신호, 제1 전원 변조기 제어 신호, 제2 전원 변조기 제어 신호, 스위치 제어 신호, 스위치 각각을 제어하는 신호를 생성하여 RF 회로부(470)로 전달할 수 있다. 상기 PAMID 내의 스위치 제어신호는 선택된 밴드에 따라 듀플렉서를 송수신 경로에 연결하거나, 외부입력과 PAMID 의 입출력 포트(예: 안테나 연결부)에 연결할 수 있는 신호이다. 상기 PAMID 내의 PA 제어신호는 결정된 송신 전력 및 사용 여부에 따라 PA 의 전력 모드, 바이어스(bias) 전압, 인에이블/디스에이블 등의 제어를 수행할 수 있다. 상기 MMMB 내의 스위치 제어신호는 선택된 대역에 따라 출력포트에 선택적으로 연결할 수 있는 신호이다. 상기 MMMB 내 PA 제어신호는 결정된 송신 전력 및 사용 여부에 따라 PA 의 전력 모드, 바이어스 전압, 인에이블/디스에이블 등의 제어를 수행할 수 있는 신호이다. 그리고, PAD 내의 PA 제어신호는 결정된 송신 전력 및 사용 여부에 따라 PA의 전력 모드, 바이어스 전압, 인에이블/디스에이블 등의 제어를 수행할 수 있는 신호이다. 또한, 상기 통신 프로세서(480)는 LNA 제어신호, Tx PGA 제어 신호, LNA 선택 제어 신호, Rx 믹서 제어 신호, Tx 믹서 제어신호, LPF 제어 신호, Rx PGA 제어신호, Tx PLL 제어신호(미도시), Rx PLL 제어신호(미도시)를 생성하여 RF 회로부(470)로 전달할 수 있다. 상기 LNA 제어신호는 LNA의 인에이블/디스에이블/바이패스 등의 제어를 수행할 수 있다. 상기 Tx PGA 제어 신호는 결정된 송신 전력에 따라 Tx PGA의 이득을 변경할 수 있고, 사용여부에 따라 인에이블/디스에이블 제어를 수행할 수 있다. 상기 Tx PGA 선택 신호는 통신하는 주파수 대역에 기반하여 해당 주파수 대역을 지원하는 Tx PGA 를 Tx 믹서에 연결할 수 있다. LNA 선택 제어 신호는 통신하는 주파수 대역에 기반하여 해당 주파수 대역을 지원하는 LNA를 믹서에 연결할 수 있다. Tx 믹서 제어 신호는 인에이블/디스에이블 또는 믹서 이득등을 제어할 수 있다. Tx 믹서는 Tx PLL로부터 생성된 송신 주파수 신호와 기저대역의 I/Q 신호를 혼합하여 송신 신호를 생성할 수 있다. Rx 믹서 제어 신호는 인에이블/디스에이블 또는 믹서 이득 등을 제어할 수 있다. Rx 믹서는 Rx PLL로부터 생성된 수신 주파수 신호와 수신 신호를 혼합하여 기저대역의 I/Q신호를 생성할 수 있다. 그리고, LPF 제어 신호는 사용하는 통신 표준(예, LTE, WCDMA, GSM 등)에 따라 컷 오프(Cutoff) 주파수를 변경할 수 있다. 상기 Rx PGA 제어신호는 수신된 신호의 강도에 따라 Rx PGA의 이득을 제어할 수 있다. 사용 여부에 따라 인에이블/디스에이블 제어를 할 수 있다.
전자 장치(101)는 생성된 제어 신호를 통해서 제1 안테나를 제1 RF의 LB, MB 및 HB 중 어느 하나를 지원하는 PA와 회로 연결할 수 있다(1316). RF 회로부(470)는 통신 프로세서(480)에서 생성되는 적어도 하나의 제어 신호를 전달받을 수 있다. 전자 장치(101)는 상기 제어 신호를 이용하여 통신 인터페이스 내의 트랜시버에 구성되는 소자들과, 경로 선택부(440)의 적어도 하나의 스위치를 제어하여 제1 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나 를 제1 안테나와 연결시킬 수 있다. 전자 장치(101)는 제1 RF의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 인에이블로 설정할 수 있다.
전자 장치(101)는 전원부의 제1 전원 변조기의 모드를 설정할 수 있다(1318). 전자 장치(101)는 상기 과정(1314)에서 생성된 적어도 하나의 제어 신호를 이용하여 전원부의 제1 전원 변조기의 전력 출력 모드를 제어할 수 있다. 전자 장치(101)는 송신되는 신호의 포락선에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나(또는 적어도 하나)를 이용하여 상기 제1 전원 변조기에서 출력되는 전력을 제어할 수 있다.
전자 장치(101)는 제1 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나를 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 선택하여 연결할 수 있다(1320). 전자 장치(101)는 상기 제어 신호를 이용하여 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 선택하고, 제1 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나를 상기 선택된 어느 하나에 연결할 수 있다. PA의 전력 모드는 결정된 송신 출력이 높으면 고 전력 모드, 낮으면 저 전력 모드로 설정할 수 있다. 저 전력 모드 에서는 저출력의 증폭기를 사용하여 신호를 출력할 수 있다. 고 전력 모드에서는 PA 내장된 고출력의 증폭기를 사용하여 신호를 출력할 수 있다. 저출력 증폭기와 고출력 증폭기는 직렬로 연결될 수 있다. 직렬 종단에 고출력 증폭기가 배치될 수 있다. 고 전력 모드에서는 직렬로 같이 동작하여 두 증폭기의 이득을 합한 이득을 가질 수 있다. 직렬로 연결된 상태에서 저 전력 모드에서는 고 전력 증폭기를 바이패스하여 사용할 수 있다. 바이패스 전압은 결정된 출력전력/이득에 따라 제어될 수 있다.
전자 장치(101)는 상기 제어 신호를 이용하여 제1 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나가 신호를 송신할 수 있도록 송수신 경로를 인에이블로 설정할 수 있다(1322). 전자 장치는 선택된 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 통해서 신호가 송수신될 수 있도록 송수신 경로를 인에이블로 설정할 수 있다.
전자 장치(101)는 생성된 제어 신호를 통해서 제2 안테나를 제2 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나와 회로 연결할 수 있다(1324). 전자 장치(101)는 상기 제어 신호를 이용하여 통신 인터페이스 내의 트랜시버에 구성되는 소자들과, 경로 선택부(440)의 적어도 하나의 스위치를 제어하여 제2 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나를 제2 안테나와 연결시킬 수 있다. 전자 장치(101)는 제2 RF의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 인에이블로 설정할 수 있다.
전자 장치(101)는 전원부의 제2 전원 변조기의 모드를 설정할 수 있다(1326). 전자 장치(101)는 상기 과정(1314)에서 생성된 적어도 하나의 제어 신호를 이용하여 전원부의 제2 전원 변조기의 전력 출력 모드를 제어할 수 있다. 전자 장치(101)는 송신되는 신호의 포락선에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나(또는 적어도 하나)를 이용하여 상기 제2 전원 변조기에서 출력되는 전력을 제어할 수 있다.
전자 장치(101)는 제2 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나를 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 선택하여 연결할 수 있다(1328). 전자 장치(101)는 상기 제어 신호를 이용하여 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 선택하고, 제2 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나를 상기 선택된 어느 하나에 연결할 수 있다.
전자 장치(101)는 상기 제어 신호를 이용하여 제2 RF의 LB, MB 및 HB를 지원하는 PA 중 어느 하나가 신호를 송수신할 수 있도록 송수신 경로를 인에이블로 설정할 수 있다(1322). 전자 장치는 선택된 BPF, 듀플렉서 및 쿼드플렉서 중 어느 하나를 통해서 신호가 송수신될 수 있도록 송수신 경로를 인에이블로 설정할 수 있다. 도 13에서 a, b는 1 또는 2이며, a와 b가 같을 수 있다. 그리고, x, y는 L(low), M(mid), H(high) 중 하나이며, x와 y는 같을 수 있다. 다운링크 CA 동작시 수신부가 더 활성화될 수 있다.
도 14는 본 발명의 일 실시 예에 따른 두 개의 업링크 CA를 지원하고 HB를 포함하는 구조를 나타낸 예시도이다.
도 14를 참조하면, 도 14는 도 11의 구조에서 제1 RF HB가 제1 안테나(1441)에 연결되도록 제2 스위치를 SP3T(1443)로 변경하였다. 다이버시티부(1430)에서는 HB LNA(1435)와 HB BPF(1434)를 추가하고, 제3 안테나(1431)와 상기 스위치(1433)를 통해 연결되도록 구성하였다. 이러한 구조를 통해 두 개의 업링크 CA 및 두 개의 다운링크 CA로 동작가능하고, HB에서 4 개의 안테나를 통해 신호를 수신할 수 있다.
신호를 수신하는 경우를 설명하면, 수신되는 신호는 제1 안테나(1441), 제1 다이플렉서(1442), 제1 RF(1410)의 제1 듀플렉서(1415)를 통과하는 제1 예와, 제1 안테나(1441), 제1 다이플렉서(1442), 제2 스위치(1443), 제1 RF(1410)의 듀플플렉서(1417)를 통과하는 제2 예와, 제2 안테나(1444), 스위치(1445), 제2 RF(1420)내의 제3 듀플렉서(1427)를 통과하는 제3 예, 다이버시티부(1430)내의 다이플렉서(1451), 스위치(1433), 듀플렉서(1434)를 통과하는 제4 예, 제4 안테나(1436), 스위치(1437), 듀플렉서(1438)를 통과하는 제5 예가 있다. 전자 장치(101)는 상기 제1 예, 제2 예, 제3 예, 제4 예 및 제5 예를 동시에 수행하여 HB에서 4개의 안테나로부터 신호를 동시에 수신할 수 있다.
그리고, 신호를 송신하는 경우를 설명하면, 트랜시버로부터 출력되는 신호는 제1 전원 변조기(1411)에서 출력되는 전압을 제1 RF(1410)의 LB PA(1412)에 공급하여 증폭된 송신 신호를 제1 RF의 제1 듀플렉서(1415), 제1 스위치(1246), 다이플렉서(1442)를 거쳐 제1 안테나(1441)를 통해 송신되는 제1 예, 제2 전원 변조기(1421)에서 출력되는 전압을 제2 RF(1420)의 HB PA(1424)에 공급하여 증폭된 신호를 제2 RF의 제3 듀플렉서(1427) 및 제5 스위치(1445)를 거쳐 제2 안테나(1444)를 통해 송신되는 제2 예가 있다. 전자 장치(101)는 상기 제1 예 및 제2 예를 동시에 수행하여 신호를 동시에 송신할 수 있다.
도 15a는 본 발명의 일 실시 예에 따른 트랜시버와 통신 프로세서를 추가하여 도시한 예시도이고 도 15b는 본 발명의 일 실시 예에 따른 업링크 CA를 수행하는 동안 HB를 이용한 업링크 MIMO를 수행하는 예시도이다.
도 15a를 참조하면, 도 15a는 도 14의 다이버시티부(1430)를 생략하고, 통신 프로세서(480)와 트랜시버(1550)를 추가하였다. 신호를 수신하는 경우를 설명하면, 제1 안테나(1541), 제1 다이플렉서(1543), 제1 RF(1510)의 제1 듀플렉서(1516)를 통과하는 신호는 제1 RF(1550)의 LNA(1517)를 통해 저잡음 증폭된 후, 믹서(1518)를 거쳐 LPF(1519)에서 저대역 통과된 후, Rx PGA(1520)를 거쳐 통신 프로세서(480)로 전송되는 제1 예와, 제1 안테나(1541), 제1 다이플렉서(1543), 스위치(1544), 제1 RF(1510)의 제3 듀플렉서(1521)를 통과하는 신호는 제1 RF(1550)의 LNA(1522)를 통해 저잡음 증폭된 후, 믹서(1523)를 거쳐 LPF(1524)에서 저대역 통과된 후, Rx PGA(1520)를 거쳐 통신 프로세서(480)로 전송되는 제2 예와, 제2 안테나(1542), 스위치(1545), 제2 RF(1530)내의 제3 듀플렉서(1536)를 통과하는 신호는 제2 RF(1550)의 LNA(1537)를 통해 저잡음 증폭된 후, 믹서(1538)를 거쳐 LPF(1539)에서 저대역 통과된 후, Rx PGA(1540)를 거쳐 통신 프로세서(480)로 전송되는 제3 예가 있다. 전자 장치(101)는 상기 제1 예 내지 제3 예를 동시에 수행하여 신호를 동시에 수신할 수 있다.
그리고, 신호를 송신하는 경우를 설명하면, 통신 프로세서(480)에서 전송되는 신호는 제1 RF(1510)의 LPF(1512)에서 저대역 통과된 후, 믹서(1513), Tx PGA(1514)를 통과하고, 제1 전원 변조기(1411)에서 출력되는 전압을 제1 RF(1510)의 LB PA(1515)에 공급하여 증폭된 송신 신호를 제1 안테나(1541)를 통해 송신되는 제1 예와, 제2 RF(1530)의 LPF(1532)에서 저대역 통과된 후, 믹서(1533), Tx PGA(1537)를 통과하고, 제2 전원 변조기(1531)에서 출력되는 전압을 제2 RF(1530)의 HB PA (1535)에 공급하여 증폭된 송신 신호를 제2 안테나(1542)를 통해 송신되는 제2 예가 있다. 전자 장치(101)는 상기 제1 예 및 제2 예를 동시에 수행하여 신호를 동시에 송신할 수 있다.
도 15b를 참조하면, 도 15b는 도 15a에서 제1 RF(1510)의 HB PA(1551)가 추가적으로 동작한다. 제1 RF(1510)의 HB PA(1551)는 제1 안테나(1541)를 통해 제2 RF(1530)의 HB PA (1535)가 제2 안테나(1542)로 송신하는 데이터 스트림과 다른 데이터 스트림을 송신하여 업링크 MIMO를 수행할 수 있다.
LB 와 HB 의 수행도중에 CA 상기 업링크 MIMO 동작을 하게 되면 LB PA(1515)와 HB PA(1551)이 하나의 전원 변조기로 전원을 공급 받을 수 있다. 예를 들면, 포락선 트래킹 모드의 경우, 가장 높은 출력을 내는 PA의 포락선을 기준으로 전원 변조기의 출력 전압을 아래 [수학식 2]를 통해 결정할 수 있다.
Figure pat00002
상기 [수학식 2]에서
Figure pat00003
는 n번째 PA의 송신 포락선이 반영된 전원 변조기의 제어 전압이고,
Figure pat00004
는 복수의 PA에 전원을 공급하기 위해 각 PA에서 결정한 제어 전압 중 제어 전압을 선택한 전원 변조기의 제어 전압니다. 그리고, Offset은 안정적인 전원 공급을 위해 추가될 수 있다. 평균 전력 트래킹 모드에서도 동일하게 가장 높은 평균 출력 전력을 기준으로 전원 변조기의 출력 전압을 제어하여 하나의 전원 변조기로 복수의 PA에 전원을 공급할 수 있다.
도 16a는 본 발명의 실시 예에 따른 제1 RF 및 제2 RF를 하나의 스위치를 통해 제1 안테나 및 제2 안테나와 임의로 연결하는 예시도이고, 도 16b는 본 발명의 일 실시 예에 따른 제1 RF의 LB와 연결되는 제1 안테나와 LB의 다이버시티부에 연결된 제3 안테나의 연결을 스왑하기 위해 스위치를 추가한 예시도이고, 도 16c는 도 16b에서 추가된 스위치를 통해 제1 RF의 LB와 연결되는 제1 안테나와 LB의 다이버시티부에 연결된 제3 안테나의 연결을 스왑한 예시도이고, 도 16d는 도 16b에서 제1 안테나와 제3 안테나를 선택적으로 연결하는 스위치 대신 다이플렉서를 사용한 예시도이다.
도 16a를 참조하면, 도 16a는 제1 RF(1510)의 MB 및 HB와 제2 RF의 MB 및 HB가 제1 안테나 및 제2 안테나와 임의로 연결될 수 있는 스위치(1610)를 추가한 것이다. 그리고, 상기 스위치(1610)의 기능을 수행하기 위한 다른 스위치의 조합도 가능하며, 다이버시티부(1540)에도 HB LNA (1541)를 추가하여, 제3 안테나와 스위치를 통해 연결되도록 구성하였다. 상기 제1 RF(1510)의 LB PA, MB PA, HB PA 와 제2 RF(1530)의 LB PA, MB PA, HB PA 는 각각 하나의 통신 인터페이스로 구성될 수 있으나, 본 발명은 이것으로 제한하지 않고, 해당 주파수 대역에 포함되는 복수의 통신 인터페이스로 구성될 수 있다. 그리고, 스위치(1610)를 통해 복수의 PA들과 제1 안테나 또는 제2 안테나는 선택적으로 연결될 수 있다. 다이버시티부(1540) 역시 LB, MB 1, MB 2, HB1 및 HB2의 LNA와 대역 통과 필터가 각 하나씩 포함되어 있으나, 이는 단지 실시 예일 뿐, 해당 주파수 대역에 포함되는 복수의 PA가 포함될 수 있다.
도 16b를 참조하면, 도 16b는 제1 RF(1510)에 연결된 제1 안테나(1621)와 다이버시티부(1540)에 연결된 제3 안테나(1627)의 연결을 스위치(1623)를 통해 스왑할 수 있으며, 스왑 메인부 스위치(DPDT)와 다이버시티부(1540)에 스위치(SPDT)가 포함될 수 있다. 그리고, 제1 안테나(1621)와 제1 RF(1510)의 LB(1628)가 연결되고, 제3 안테나(1627)가 LB(1626)에 연결될 수 있다.
도 16c를 참조하면, 스위치(1625)의 스위칭 상태에 따라 제3 안테나(1627)와 제1 RF의 LB에 연결되고, 제1 안테나(1621)가 다이버시티부(1540)의 다른 LB 수신부(LB Downlink)에 연결될 수 있다. 상기 제1 안테나(1621)와 상기 LB 수신부에 BPF가 추가될 수 있다.
도 16d를 참조하면, 제2 안테나(1629)와 제4 안테나(1630)를 선택적으로 연결하는 스위치 대신 다이플렉서(1631, 1624)를 사용할 수 있다. 제1 RF의 MB 회로 또는 제2 RF의 MB 회로를 제1 안테나 또는 제2 안테나를 선택적으로 연결하기 위해 스위치(1628)가 더 포함될 수 있다.
도 17은 본 발명의 다양한 실시예에 따른 전자 장치(101)의 사시도이다.
도 17을 참조하면, 전자 장치(101)의 전면(1707)에는 디스플레이(1701)가 설치될 수 있다. 디스플레이(1701)의 상측으로는 상대방의 음성을 수신하기 위한 스피커 장치(1702)가 설치될 수 있다. 디스플레이(1701)의 하측으로는 상대방에게 전자 장치 사용자의 음성을 송신하기 위한 마이크로폰 장치(1703)가 설치될 수 있다.
일 실시예에 따르면, 스피커 장치(1702)가 설치되는 주변에는 전자 장치(1700)의 다양한 기능을 수행하기 위한 부품(component)들이 배치될 수 있다. 부품들은 적어도 하나의 센서 모듈(1704)을 포함할 수 있다. 이러한 센서 모듈(1704)은, 예컨대, 조도 센서(예: 광센서), 근접 센서, 적외선 센서, 초음파 센서 중 적어도 하나를 포함할 수 있다. 일 실시예에 따르면, 부품은 카메라 장치(1705)를 포함할 수도 있다. 일 실시예에 따르면, 부품은 전자 장치(101)의 상태 정보를 사용자에게 인지시켜주기 위한 LED 인디케이터(1706)를 포함할 수도 있다.
다양한 실시예에 따르면, 전자 장치(101)는 금속 베젤(1710)(예: 금속 하우징의 적어도 일부 영역으로 기여될 수 있음)을 포함할 수 있다. 일 실시예에 따르면, 금속 베젤(1710)은 전자 장치(101)의 테두리를 따라 배치될 수 있으며, 테두리와 연장되는 전자 장치(101)의 후면의 적어도 일부 영역까지 확장되어 배치될 수도 있다. 일 실시예에 따르면, 금속 베젤(1710)은 전자 장치(101)의 테두리를 따라 전자 장치의 두께로 정의되며, 루프 형태로 형성될 수 있다. 그러나 이에 국한되지 않으며, 금속 베젤(1710)은 전자 장치(300)의 두께 중 적어도 일부에 기여하는 방식으로 형성될 수도 있다. 일 실시예에 따르면, 금속 베젤(1710)은 전자 장치(101)의 테두리 중 적어도 일부 영역에만 배치될 수도 있다. 일 실시예에 따르면, 금속 베젤(1710)은 적어도 하나의 분절부(1715, 1716)를 포함할 수 있다. 일 실시예에 따르면, 각각의 분절부(1715, 1716)에 의해 분절된 단위 베젤부들은 적어도 하나의 주파수 대역에서 동작하는 안테나 방사체로 활용될 수 있다.
다양한 실시예에 따르면, 금속 베젤(1710)은 테두리를 따라 루프 형상을 가지며, 전자 장치(101)의 두께의 전부 또는 일부로 기여되는 방식으로 배치될 수 있다. 일 실시예에 따르면, 전자 장치(101)를 정면에서 보았을 경우, 금속 베젤(1710)은 우측 베젤부(1711), 좌측 베젤부(1712), 상측 베젤부(1713) 및 하측 베젤부(1714)가 형성될 수 있다. 여기서, 상술한 하측 베젤부(1714)는 한 쌍의 분절부(1716)에 의해 형성된 단위 베젤부로써 기여될 수 있다.
다양한 실시예에 따르면, 메인 안테나 장치는 전자 장치(101)의 하부 영역(예: 메인부 안테나 영역)에 배치될 수 있다. 일 실시예에 따르면, 한 쌍의 분절부(1716)에 의해 하측 베젤부(1714)는 메인 안테나 방사체로 사용될 수 있다. 일 실시예에 따르면, 하측 베젤부(1714)는 급전 위치에 따라 적어도 두 개의 작동 주파수 대역에서 동작하는 안테나 방사체로 기여될 수 있다. 예를 들어 하측 베젤부(1714)는 LB, MB, HB 또는 MB, HB대역을 지원하는 안테나의 일부 일수 있다.
다양한 실시예에 따르면, 본 발명의 안테나 장치는 단순히 예시적인 구성일 뿐, 하측 베젤부(1714)의 상술한 기능들이 또 다른 분절부(1715)에 의해 분절된 상측 베젤부(1713)에서 대체하여 수행되거나 함께 수행될 수도 있다. 이러한 경우, 도 17의 다이버시티부 안테나 영역이 다이버시티 MIMO 용 안테나로 활용될 수 있다. 예를 들어 상측 베젤부(1714)는 LB, H/MB 대역 또는 H/MB 대역을 지원하는 다이버시티 안테나의 일부 일 수 있다.
다양한 실시예에 따르면, 우측 베젤부(1711) 또는 좌측 베젤부(1712) 역시 급전되어 안테나로서 동작할 수 있다. 예를 들어 우측 베젤부(1711) 또는 좌측 베젤부(1712) 는 H/MB 또는 LB, H/MB 대역을 지원하는 안테나의 일부 일수 있다. 메인부 안테나 영역에 포함된 우측 베젤부(1711)또는 좌측 베젤부(1712)를 포함하는 안테나는 메인 안테나(예: 제1 안테나)로 동작할 수 있다. 다이버시티부 안테나 영역에 포함된 우측 베젤부(1711)또는 좌측 베젤부(1712)를 포함하는 안테나는 다이버시티 안테나로 동작할 수 있다.
도 18은 본 발명의 일 실시 예에 따른 복수의 안테나를 전자 장치에 실장한 예시도이다.
도 18을 참조하면, 제1 안테나(1810)는 LB 와 H/MB 대역을 지원하고 제2 안테나(1820)는 H/MB 대역을 지원한다. 여기서 하나의 안테나만 LB 대역을 지원하는 이유는 LB 대역이 비교적 파장이 길어 안테나 크기도 커서 복수의 LB를 지원하는 안테나를 단말의 메인부에 추가로 포함 시키기 어렵기 때문이다. 만약 포함시킨다고 하더라도 거리가 근접하여 Correlation/Isolation 문제가 발생할 수 있다. 파장이 길면 다이버시티 또는 MIMO 동작에 필요한 안테나 분리 거리(antenna separation distance)도 길어지기 때문이다.
도 18과 같이 다이버시티부를 추가적으로 갖는 경우 제3 안테나(1830), 제4안테나(1840)를 포함한다. 상기의 Correlation/Isolation 특성을 높이기 위해 통상 메인부의 제1 및 제2 안테나(1810, 1820)는 단말의 하단부에 포함되며 다이버시티부의 제3 및 제4 안테나(1830, 1840)는 전자 장치의 상단에 포함될 수 있다. 제3 안테나(1830)는 LB 와 H/MB 대역을 지원하고 제4 안테나(1840)는 H/MB 대역을 지원한다. 이를 통해 복수의 LB 대역 안테나를 포함하더라도 전자 장치 내에서 가능한 안테나 분리 거리를 확보 할 수 있다.
상기와 같은 구조로 H/MB대역 에서는 4개의 안테나로 수신이 가능하고 LB 대역에서는 2개의 안테나로 수신이 가능할 수 있다. 즉 H/MB 대역에서는 4th order diversity/MIMO 수행이 가능하고 LB 대역에서는 2nd Order diversity/MIMO 가 가능할 수 있다.
도 19는 본 발명의 다양한 실시예에 따른 안테나 장치의 구성도이다.
다양한 실시예에 따르면, 도 19의 금속 베젤은 도 17의 금속 베젤과 유사하거나 다른 금속 베젤의 한 실시예이다.
도 19를 참고하면, 금속 베젤은 전면에서 보았을 때, 우측 베젤부(1931), 좌측 베젤부(1932), 하측 베젤부(1934), 상측 베젤부(1933)를 포함할 수 있다. 한 실시예에 따르면, 하측 베젤부(1934)는 일정 간격으로 형성된 한 쌍의 분절부(1936)에 의해 우측 베젤부(1931) 및 좌측 베젤부(1932)와 분리된 상태를 유지할 수 있다. 상측 베젤부(1933)는 일정 간격으로 형성된 한 쌍의 분절부(1935)에 의해 우측 베젤부(1931) 및 좌측 베젤부(1932)와 분리된 상태를 유지할 수 있다. 한 실시예에 따르면, 한 쌍의 분절부는 유전체 재질로 형성될 수 있다. 한 실시예에 따르면, 한 쌍의 분절부는 금속 재질의 금속 베젤에 합성 수지 재질의 소재가 이중 사출되거나 인서트 몰딩되는 방식으로 형성될 수 있다. 그러나 이에 국한되지 않으며, 한 쌍의 분절부는 절연성을 갖는 다양한 재질의 소재가 적용될 수도 있다.
다양한 실시예에 따르면, 측 베젤부는 소정의 제1 급전편이 하측 베젤부와 일체로 형성될 수 있으며, 제1 급전편은 기판(PCB)의 제1 급전부에 의해 급전될 수 있다. 한 실시예에 따르면, 하측 베젤부의 제1 급전편은 기판이 전자 장치에 설치되는 동작만으로 기판의 제1 급전부에 연결되거나, 별도의 전기적 연결 부재(예: C 클립 등)에 의해 전기적으로 연결될 수 있다.
다양한 실시예에 따르면, 기판에는 제1 급전 패드가 배치될 수 있으며, 제1급전 패드는 하측 베젤부의 제1 급전편과 전기적으로 연결될 수 있다. 한 실시예에 따르면, 제1 급전 패드에서 제1 급전부까지 제1전기적 경로(예: 배선 라인)가 형성될 수 있다. 하측 베젤부 는 LB, H/MB 또는 H/MB 대역을 지원하는 메인부의 제1 안테나(1810)의 일부 일 수 있다. 좌측 베젤부(1932) 과 우측 베젤부(1931)도 동일한 방법으로 급전될 수 있다. 좌측 베젤부(1932) 과 우측 베젤부(1931)는 LB, H/MB 또는 H/MB 대역을 지원하는 메인부의 제2 안테나(1820)의 일부 일 수 있다.
다양한 실시예에 따르면, 하측 베젤부(1934)는 급전편과 일정 간격으로 이격된 위치에 제1 접지편이 하측 베젤부(1934)와 일체로 형성될 수 있으며, 제1 접지편은 기판(PCB)의 제1 접지부에 접지될 수 있다. 한 실시예에 따르면, 하측 베젤부의 제1 접지편은 기판이 전자 장치에 설치되는 동작만으로 기판의 제1 접지부에 접지되거나, 별도의 전기적 연결 부재(예: C 클립 등)에 의해 전기적으로 연결될 수 있다.
다양한 실시예에 따르면, 기판에는 제1 접지 패드가 배치될 수 있으며, 제1접지 패드는 하측 베젤부의 제1 접지편과 전기적으로 연결될 수 있다. 한 실시예에 따르면, 제1 접지 패드에서 제1 접지부까지 제2 전기적 경로(예: 배선 라인)가 형성될 수 있다.
다양한 실시예에 따르면, 우측 베젤부(1931)는 소정의 제2 급전편이 하측 베젤부(1934)와 일체로 형성될 수 있으며, 제2 급전편은 기판(PCB)의 제2 급전부에 의해 급전될 수 있다. 한 실시예에 따르면, 하측 베젤부(1934)의 제2 급전편은 기판이 전자 장치에 설치되는 동작만으로 기판의 제2 급전부에 연결되거나, 별도의 전기적 연결 부재(예: C 클립 등)에 의해 전기적으로 연결될 수 있다.
다양한 실시예에 따르면, 기판에는 제2 급전 패드가 배치될 수 있으며, 제2 급전 패드는 하측 베젤부(1934)의 제2 급전편과 전기적으로 연결될 수 있다. 한 실시예에 따르면, 제2 급전 패드에서 제2 급전부까지 제3전기적 경로(예: 배선 라인)가 형성될 수 있다. 우측 베젤부 는 LB, H/MB 또는 H/MB 대역을 지원하는 메인부의 제2 안테나(1820)의 일부 일 수 있다.
다양한 실시예에 따르면, 우측 베젤부(1931)는 분절부와 일정 간격으로 이격된 위치에 제2 접지편이 우측 베젤부와 일체로 형성될 수 있으며, 제2 접지편은 기판(PCB)의 제2 접지부에 접지될 수 있다. 한 실시예에 따르면, 우측 베젤부(1931)의 제2 접지편은 기판이 전자 장치에 설치되는 동작만으로 기판의 제2 접지부에 접지되거나, 별도의 전기적 연결 부재(예: C 클립 등)에 의해 전기적으로 연결될 수 있다.
다양한 실시예에 따르면, 기판에는 제2접지 패드가 배치될 수 있으며, 제2 접지 패드는 우측 베젤부(1931)의 제2 접지편과 전기적으로 연결될 수 있다. 한 실시예에 따르면, 제2접지 패드에서 제2 접지부까지 제4전기적 경로(예: 배선 라인)가 형성될 수 있다.
메인부의 급전부와 급전 PAD, 접지부와 접지패드는 SUB PCB 상에 배치될 수 있다. 메인부와 다이버시티부의 RF회로(1910, 1920)는 MAIN PCB 상에 배치될 수 있다. MAIN PCB 와 SUB PCB 는 FPCB로 연결될 수 있다. SUB PCB 와 FPCB는 일체형 일 수 있다.
SUB PCB는 수직 선상에서 Main PCB 보다 낮게 단말에 배치될 수 있다. 이를 통하여 SUB PCB 에 포함된 부품이 안테나와 더 이격될 수 있다. 그리고 USB Connector, 스피커부와 같은 비교적 두꺼운 부품들도 SUB PCB 상에 배치가 가능하다.
메인부 RF 회로의 송수신 신호 또는 수신 신호는 동축선들에 의해 SUB PCB의 제1 및 제2 급전부에 전달 될 수 있다.
다양한 실시예에 따르면, 메인부 RF 회로의 송수신 신호 또는 수신 신호는 FPCB로 SUB PCB의 제1 및 제2 급전부에 전달될 수 있다.
다이버시티부는 제3 안테나(1830)와 제4 안테나(1840)를 포함한다. 제3 안테나(1830)는 상측 베젤부(1933)를 일부 포함할 수 있으며 제4 안테나(1840)는 좌측 베젤부(1932) 또는 우측 베젤부(1931)를 포함할 수 있다.
제3 안테나(1830)는 LB, H/MB 또는 H/MB 대역을 지원하고 제4 안테나(1840)는 H/MB 또는 LB, H/MB 대역을 지원할 수 있다. 다이버시티부의 급전부와 급전패드, 접지부와 접지패드들은 Main PCB 상에 배치될 수 있다. 다이버시티부에서 급전부와 급전패드를 연결하는 전기적 경로들과 접지부와 접지패드를 연결하는 전기적 경로들은 Main PCB 상에 배치될 수 있다.
다양한 실시예에 따르면, 안테나간 신호의 분리를 위해 메인부에서 우측 베젤부(1931)를 제2 안테나로 사용하면 다이버시티부는 반대 방향인 좌측 베젤부(1932)를 제4 안테나로 사용할 수 있다. 또는 메인부에서 좌측 베젤부(1932)를 제2 안테나로 사용하면 안테나부는 반대 방향인 우측 베젤부(1931)를 제4 안테나로 사용할 수 있다.
도 20a 내지 도 20t는 본 발명의 일 실시 예에 따른 업링크 CA를 제공하기 위한 블록도를 나타낸 다양한 예시도이다. 도 20a 내지 도 20t에 도시된 각각의 구성 요소들(예: 제1 RF, 제2 RF, 제1 전원 변조기, 제2 전원 변조기, 제1 안테나, 제2 안테나, 다이플렉서, 경로 선택부)은 적어도 하나 이상의 업링크 CA를 제공하는 실시 예에 따라 새로 추가되거나 누락될 수 있을 뿐만 아니라, 수행하는 기능이 같을 수도 있고 다를 수도 있다.
이하에서는 도 20a 내지 도 20t에 도시된 각각의 구성 요소에 대해 설명하고, 각각의 예시도에 대해 설명한다.
다양한 실시 예에 따르면, 제1 RF는 제1 PA 그룹이라 칭할 수 있으며, 제2 RF는 제2 PA 그룹이라 칭할 수 있다. 각각의 PA 그룹은 LB PA, MB PA 및 HB PA 를 포함할 수 있다. 예를 들면, 제1 RF는 제1 RF LB부, 제1 RF MB부 및 제1 RF HB부를 포함하고, 제2 RF는 제2 RF LB부, 제2 RF MB부 및 제2 RF HB부를 포함할 수 있다. 상기 제1 RF 및 제2 RF 각각은 송신되는 신호를 증폭하는 적어도 하나의 PA(예: LB PA, MB PA, HB PA), 송신되는 신호와 수신되는 신호를 분리하는 듀플렉서, 상기 송신되는 신호와 수신되는 신호를 분리하거나, 상기 수신되는 신호를 대역에 따라 제1 밴드 및 제2 밴드로 구분하는 쿼드플렉서, 송수신되는 신호를 스위칭하는 스위치를 포함할 수 있다. 또한, 상기 제1 RF 및 제2 RF 각각은 신호를 송수신하기 위한 경로를 제공할 수 있다. 상기 제1 RF 및 상기 제2 RF는 전원 변조기로부터 출력되는 전력을 이용하여 신호를 증폭할 수 있다.
다양한 실시 예에 따르면, 전원 변조기는 제1 RF 및 제2 RF에 포함된 적어도 하나의 PA로 전원을 공급함으로써, 전자 장치(101)는 제1 RF에 포함된 PA와 제2 RF에 포함된 PA를 동시에 사용하여 두 개의 업링크 CA를 수행할 수 있다. 상기 전원 변조기는 통신 프로세서(480)의 제어 하에, 신호의 포락선에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 출력되는 전압을 제어하고, 제1 RF 및 제2 RF에 포함된 적어도 하나의 PA로 전원을 공급할 수 있다. 전원 변조기는 통신 프로세서(480)의 제어 하에, 신호의 포락선에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 출력되는 전력을 제어할 수 있다.
다양한 실시 예에 따르면, 다이플렉서는 송신되는 신호와 수신되는 신호를 분리할 수 있으며, 각 안테나와 송수신 경로들을 선택적으로 연결하도록 구성될 수 있다. 상기 다이플렉서는 제1 안테나로 송신되거나 수신되는 신호를 LB에 따른 신호와 MB에 따른 신호로 분리할 수 있고, 제2 안테나로 송신되거나 수신되는 신호를 LB에 따른 신호와 HB에 따른 신호로 분리할 수 있다.
다양한 실시 예에 따르면, 경로 선택부는 적어도 하나의 스위치, 적어도 하나의 듀플렉서, 적어도 하나의 다이플렉서, 적어도 하나의 쿼드플렉서를 포함할 수 있다. 경로 선택부는 이러한 구성을 통해서 각 안테나와 각 RF간의 경로를 제공하거나, 각 안테나를 각 RF에 포함된 LB PA, MB PA 및 HB PA 로의 스위칭을 제공할 수 있다. 또한, 경로 선택부는 스위치, 다이플렉서, 듀플렉서, 쿼드플렉서 중 적어도 하나를 이용하여 각 안테나와 송수신 경로들을 선택적으로 연결할 수 있다.
다양한 실시 예에 따르면, 안테나는 외부 전자 장치(예: 기지국)으로부터 신호를 수신하거나 기지국으로 신호를 송신할 수 있다. 상기 안테나는 경로 선택부로부터 출력되는 신호를 외부 전자 장치로 전송하거나, 외부 전자 장치로부터 수신되는 신호를 경로 선택부로 전달할 수 있다. 전자 장치(101)는 복수의 안테나를 구비할 수 있다.
도 20a는 본 발명의 일 실시 예에 따른 서로 다른 주파수 대역을 갖는 제1 RF 및 제2 RF가 하나의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이고, 도 20b는 도 20a의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20a을 참조하면, 제1 RF(2051)과 제2 RF(2052)는 제1 전원 변조기(2010)로부터 제공되는 전원을 공급받으며, 신호를 다이플렉서(2031)를 거쳐 안테나(2030)를 통해 송수신할 수 있다. 상기 제1 RF(2051)과 제2 RF(2052)가 지원하는 주파수 범위는 다를 수 있으며, 제1 전원 변조기(2010)에서 출력되는 전압은 아래 [수학식 3]를 통해 계산될 수 있다.
Figure pat00005
상기 [수학식 3]에서
Figure pat00006
는 n번째 PA의 송신 포락선이 반영된 전원 변조기의 제어 전압이고,
Figure pat00007
는 복수의 PA에 전원을 공급하기 위해 각 PA에서 결정한 제어 전압 중 제어 전압을 선택한 전원 변조기의 제어 전압니다. 그리고, Offset은 안정적인 전원 공급을 위해 추가될 수 있다. 전원 변조기는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051) 및 제2 RF(2052)를 통해서 신호를 동시에 송신하는 경우, 전력 사용 효율이 높아질 수 있다. 제1 RF(2051) 및 제2 RF(2052)에서 출력되는 신호는 다이플렉서(2031)를 거쳐 안테나(2030)으로 송신되고, 상기 안테나(2030)를 통해 수신되는 신호는 다이플렉서(2031)를 거쳐 제1 RF(2051) 및 제2 RF(2052) 중 적어도 하나로 전달될 수 있다.
도 20b는 도 20a의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF(2051)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF(2052)로 전압을 제공할 수 있다. 제1 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051)로 전압을 제공할 수 있다. 제2 전원 변조기(2020)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051) 및 제2 RF(2052)에서 출력되는 신호는 다이플렉서(2031)를 거쳐 안테나(2030)로 송신될 수 있고, 상기 안테나(2030)를 통해 수신되는 신호는 다이플렉서(2031)를 거쳐 제1 RF(2051) 및 제2 RF(2052) 중 적어도 하나로 전달될 수 있다. 동작하는 PA 별로 각각 전원 변조기를 사용하여 도 20a 구조보다 업링크 CA 동작에서 전력 사용 효율이 높을 수 있다.
도 20c는 본 발명의 일 실시 예에 따른 중첩되는 주파수 대역을 갖는 제1 RF 및 제2 RF가 하나의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이고, 도 20d는 도 20c의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20c 및 도 20d를 참조하면, 제1 RF(2051) 및 제2 RF(2052)는 지원하는 주파수 범위가 중첩될 수 있거나 같을 수 있다. 전원 변조기는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051) 및 제2 RF(2052)에서 출력되는 신호는 스플리터/컴바이너(2032)를 거쳐 안테나(2030)로 송신되고, 상기 안테나(2030)를 통해 수신되는 신호는 스플리터/컴바이너(2032)를 거쳐 제1 RF(2051) 및 제2 RF(2052) 중 적어도 하나로 전달될 수 있다.
도 20d는 도 20c의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF(2051)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051) 및 제2 RF(2052)에서 출력되는 신호는 스플리터/컴바이너(2032)를 거쳐 안테나(2030)로 송신될 수 있고, 상기 안테나(2030)를 통해 수신되는 신호는 스플리터/컴바이너(2032)를 거쳐 제1 RF(2051) 및 제2 RF(2052) 중 적어도 하나로 전달될 수 있다.
도 20e는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF가 각각의 안테나에 연결되어 있는 업링크 CA 구조를 나타낸 예시도이고, 도 20f는 도 20e의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20e 및 도 20f를 참조하면, 제1 RF(2051)는 제1 안테나(2030)에 연결되고, 제2 RF(2052)는 제2 안테나(2040)에 연결될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 제1 안테나(2030)를 통해 송신될 수 있고, 및 제2 RF(2052)에서 출력되는 신호는 제2 안테나(2040)를 통해 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF(2051)로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제2 RF(2052)로 전달될 수 있다.
도 20f는 도 20e의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF(2051)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 제1 안테나(2030)로 송신될 수 있고, 제2 RF(2052)에서 출력되는 신호는 제2 안테나(2040)로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF(2051)로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제2 RF(2051)로 전달될 수 있다.
도 20g는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF를 두 개의 안테나에 선택적으로 연결 가능한 업링크 CA 구조를 나타낸 예시도이고, 도 20h는 도 20g의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20g 및 도 20h를 참조하면, 제1 RF(2051)는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결되고, 제2 RF(2052)는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나를 통해 송신될 수 있고, 및 제2 RF(2052)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달될 수 있다. 제1 안테나(2030) 및 제2 안테나(2040)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다. 상기 경로 선택부(2060)는 적어도 하나의 스위치, 적어도 하나의 듀플렉서, 적어도 하나의 다이플렉서, 적어도 하나의 쿼드플렉서를 포함할 수 있다. 상기 경로 선택부(2060)는 이러한 구성을 통해서 각 안테나와 각 RF 회로간의 경로를 제공할 수 있다. 또한, 상기 경로 선택부(2060) 스위치, 필터, 다이플렉서, 듀플렉서, 쿼드플렉서, 스플리터, 트라이플렉서 중 적어도 하나를 포함할 수 있으며, 각 안테나와 송수신 경로들을 선택적으로 또는 동시에 연결할 수 있다.
도 20h는 도 20g의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF(2051)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있고, 제2 RF(2052)에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달될 수 있다.
도 20i는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF가 각각 복수의 입출력 포트를 포함하며 두 개의 안테나에 선택적으로 연결 가능한 업링크 CA 구조를 나타낸 예시도이고, 도 20j는 도 20g의 제1 RF를 제1 전원 변조기에 연결하고, 제2 RF를 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20i 및 도 20j를 참조하면, 제1 RF(2051) 및 제2 RF(2052)는 각각 복수의 입출력 포트를 포함할 수 있으며, 상황에 따라 복수의 입출력 포트를 선택적으로 안테나에 연결할 수 있다. 각각의 포트는 적어도 하나 이상의 BAND 를 지원하는 RF 송신 또는 수신 회로가 연결 될 수 있다. 예를 들어 제1RF(2051) 의 제1포트는 제1 밴드를 지원하는 듀플렉서, PA 를 포함하는 회로와 연결되고 제1RF(2051)의 제2포트는 제2 밴드를 지원하는 듀플렉서, PA 를 포함하는 회로와 연결될 수 있다. 제1 RF(2051)는 입출력 포트를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결되고, 제2 RF(2052)는 입출력 포트를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 입출력 포트를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나를 통해 송신될 수 있고, 및 제2 RF(2052)에서 출력되는 신호는 입출력 포트를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 입출력 포트를 통해 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달될 수 있다. 제1 안테나(2030) 및 제2 안테나(2040)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다.
도 20j는 도 20i의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF(2051)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있고, 제2 RF(2052)에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달될 수 있다.
도 20k는 본 발명의 일 실시 예에 따른 제1 RF내의 회로들을 LB, M/HB로 모듈화하고, 제2 RF내의 회로들을 LB, M/HB로 모듈화한 업링크 CA 구조를 나타낸 예시도이고, 도 20l은 도 20k의 제1 RF내의 PA들을 제1 전원 변조기에 연결하고, 제2 RF내의 PA들을 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20k 및 도 20l를 참조하면, 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054)는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결될 수 있다. LB와 M/HB를 지원하는 트랜시버가 각각 하나의 RF 모듈로 형성될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054) 중 적어도 하나로 전달될 수 있다. 제1 안테나(2030) 및 제2 안테나(2040)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다.
도 20l는 도 20k의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF LB부(2051), 제1 RF M/HB부(2052)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF LB부(2053) 및 제2 RF M/HB부(2054)로 전압을 제공할 수 있다. 제1 RF LB부(2051) 및 제1 RF M/HB부(2052) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있고, 제2 RF LB부(2053) 및 제2 RF M/HB부(2054) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF M/HB부(2052), 제2 RF LB부(2053) 및 제2 RF M/HB부(2054) 중 어느 하나로 전달될 수 있다.
도 20m은 본 발명의 일 실시 예에 따른 제1 RF내의 PA를 LB, MB, HB로 모듈화하고, 제2 RF내의 PA를 LB, MB, HB로 모듈화한 업링크 CA 구조를 나타낸 예시도이고, 도 20n은 도 20m의 제1 RF내의 PA들을 제1 전원 변조기에 연결하고, 제2 RF 내의 PA들을 제2 전원 변조기에 연결한 구조를 나타낸 예시도이다.
도 20m 및 도 20n를 참조하면, 제1 RF LB부(2051), 제1 RF MHB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결될 수 있다. LB, MB, HB를 지원하는 트랜시버가 각각 하나의 RF 모듈로 형성될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF LB부(2051), 제1 RF MHB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF MHB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 적어도 하나로 전달될 수 있다. 제1 안테나(2030) 및 제2 안테나(2040)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다.
도 20n는 도 20m의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF LB부(2051), 제1 RF MB부(2055) 및 제1 RF HB부(2056)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2055) 및 제1 RF HB부(2056) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있고, 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달될 수 있다.
도 20o는 본 발명의 일 실시 예에 따른 제1 RF 및 제2 RF의 각 PA들을 네 개의 안테나에 연결한 업링크 CA 구조를 나타낸 예시도이고, 도 20p는 도 20o의 제1 RF내의 각 PA들을 제1 전원 변조기에 연결하고, 제2 RF내의 각 PA들을 제2 전원 변조기에 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20o 및 도 20p를 참조하면, 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)는 경로 선택부(2060)를 통해 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 어느 하나에 선택적으로 연결될 수 있다. LB, MB, HB를 지원하는 트랜시버가 각각 하나의 RF 모듈로 형성될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 어느 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 어느 하나를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 적어도 하나로 전달될 수 있다. 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 적어도 두 개의 안테나의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다.
도 20p는 도 20o의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF LB부(2051), 제1 RF MB부(2055) 및 제1 RF HB부(2056)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2055) 및 제1 RF HB부(2056) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 어느 하나로 송신될 수 있고, 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달되고, 상기 제2 안테나(2035)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달될 수 있다. 그리고, 상기 제3 안테나(2040)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달되고, 상기 제4 안테나(2045)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2055), 제1 RF HB부(2056), 제2 RF LB부(2053), 제2 RF MB부(2057) 및 제2 RF HB부(2058) 중 어느 하나로 전달될 수 있다. 상기 제1 안테나(2030), 제2 안테나(2035), 제3 안테나(2040) 및 제4 안테나(2045)의 주파수 범위 및 실장 위치는 설계 또는 요구되는 사양에 따라 변경될 수 있다.
도 20q는 본 발명의 일 실시 예에 따른 n 개의 RF, m 개의 안테나 및 k 개의 전원 변조기를 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20q를 참조하면, 제1 RF(2051) 및 제2 RF(2052)는 경로 선택부(2060)를 통해 제1 안테나(2031), 제2 안테나(2032) 내지 제m 안테나(2033) 중 어느 하나에 선택적으로 연결되고, 제1 전원 변조기(2010)로부터 전력을 제공받는다. 제3 RF(2053) 및 제4 RF(미도시)는 경로 선택부(2060)를 통해 제1 안테나(2031), 제2 안테나(2032) 내지 제m 안테나(2033) 중 어느 하나에 선택적으로 연결되고, 제2 전원 변조기(2011)로부터 전력을 제공받는다. 마찬가지로, 제n-1 RF(미도시) 및 제n RF(2054)는 경로 선택부(2060)를 통해 제1 안테나(2031), 제2 안테나(2032) 내지 제m 안테나(2033) 중 어느 하나에 선택적으로 연결되고, 제k 전원 변조기(2012)로부터 전력을 제공받는다. 하나의 전원 변조기는 적어도 하나의 RF에 전원을 공급할 수 있다. k개의 전원 공급부는 독립적으로 각 RF에 전원을 공급할 수 있으며, kth 업링크 CA를 수행할 경우 전력 사용 효율이 좋을 수 있다. 각 RF의 주파수 범위와 각 안테나의 주파수 범위는 설계 또는 요구 사양에 따라 변경될 수 있다.
제2 RF(2052)는 경로 선택부(2060)를 통해 제1 안테나(2031) 내지 제m 안테나(2033) 중 어느 하나에 선택적으로 연결될 수 있다. 제1 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF(2051) 및 제2 RF(2052)로 전압을 제공할 수 있다. 제1 RF(2051)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2031) 내지 제m 안테나(2033) 중 어느 하나를 통해 송신될 수 있고, 및 제2 RF(2052)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2031) 내지 제m 안테나(2033) 중 어느 하나를 통해 송신될 수 있다. 예를 들면, 상기 제1 안테나(2031)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF(2051) 제2 RF(2052) 중 어느 하나로 전달되고, 상기 제2 안테나(2032)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제3 RF(2053) 제4 RF(미도시) 중 어느 하나로 전달될 수 있다. 제1 안테나(2031) 내지 제m 안테나(2033)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다. 상기 경로 선택부(2060)는 적어도 하나의 스위치, 적어도 하나의 듀플렉서, 적어도 하나의 다이플렉서, 적어도 하나의 쿼드플렉서를 포함할 수 있다. 상기 경로 선택부(2060)는 이러한 구성을 통해서 각 안테나와 각 RF간의 경로를 제공하거나, 각 안테나를 각 RF에 포함된 LB PA, MB PA 및 HB PA 로의 스위칭을 제공할 수 있다. 또한, 상기 경로 선택부(2060)는 스위치, 필터, 다이플렉서, 듀플렉서, 쿼드플렉서, 스플리터, 트라이 중 적어도 하나를 포함할 수 있으며, 각 안테나와 송수신 경로들을 선택적으로 연결할 수. 그리고, 상기 제m 안테나(2033)를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제n RF(2054) 제n-1 RF(미도시) 중 어느 하나로 전달될 수 있다.
도 20r은 본 발명의 일 실시 예에 따른 제1 RF에서 LB 및 MB를 지원하고, 제2 RF에서 MB 및 HB를 지원한 경우의 업링크 CA 구조를 나타낸 예시도이고, 도 20s는 도 20r의 제1 RF내의 LB 및 MB를 제1 전원 변조기에 연결하고, 제2 RF내의 MB 및 HB를 제2 전원 변조기에 연결한 업링크 CA 구조를 나타낸 예시도이다.
도 20r 및 도 20s를 참조하면, 제1 RF부에는 HB 주파수 대역을 지원하지 않고, 제2 RF부는 LB 대역을 지원하지 않을 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF LB부(2053) 및 제2 RF HB부(2054)는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나에 선택적으로 연결될 수 있다. 전원 변조기(2010)는, 예를 들면, 포락선 트래킹 모드, 평균 전력 트래킹 모드, 바이패스 모드 중 어느 하나를 통해서 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF LB부(2053) 및 제2 RF HB부(2054)로 전압을 제공할 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF LB부(2053) 및 제2 RF HB부(2054)에서 출력되는 신호는 경로 선택부(2060)를 통해 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 송신될 수 있다. 상기 제1 안테나(2030) 및 제2 안테나(2040) 중 적어도 하나를 통해 수신되는 신호는 경로 선택부(2060)를 통해 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF LB부(2053) 및 제2 RF HB부(2054) 중 적어도 하나로 전달될 수 있다. 제1 안테나(2030) 및 제2 안테나(2040)의 주파수 지원 대역은 적어도 일부 충접된 영역을 포함할 수 있다.
도 20s는 도 20r의 구조에 제2 전원 변조기(2020)를 추가하였다. 제1 전원 변조기(2010)는 제1 RF LB부(2051) 및 제1 RF MB부(2052)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF MB부(2053) 및 제2 RF HB부(2054)로 전압을 제공할 수 있다. 제1 RF LB부(2051) 및 제1 RF MB부(2052) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있고, 제2 RF MB(2053) 및 제2 RF HB(2054) 중 어느 하나에서 출력되는 신호는 제1 안테나(2030) 및 제2 안테나(2040) 중 어느 하나로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF MB부(2053) 및 제2 RF HB부(2054) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제1 RF LB부(2051), 제1 RF MB부(2052), 제2 RF MB부(2053) 및 제2 RF HB부(2054) 중 어느 하나로 전달될 수 있다.
도 20t는 도 20s의 경로 선택부를 구체화한 예시도이다.
도 20t를 참조하면, 제1 RF부와 제2 RF부는 주파수 대역이 다를 수 있다. 제1 RF LB부(2051), 제1 RF MB부(2052) 및 제2 RF LB부(2053)는 스위치(2092) 및 다이플렉서(2091)를 통해 제1 안테나(2030)에 연결될 수 있다. 제2 RF HB부(2054)는 제2 안테나(2040)에 연결될 수 있다. 제1 전원 변조기(2010)는 제1 RF LB부(2051) 및 제1 RF MB부(2052)로 전압을 제공하고, 제2 전원 변조기(2020)는 제2 RF MB부(2053) 및 제2 RF HB부(2054)로 전압을 제공할 수 있다.
제1 RF LB부(2051), 제1 RF MB부(2052) 및 제2 RF MB부(2053) 중 어느 하나에서 출력되는 신호는 스위치(2092) 및 다이플렉서(2091)를 통해 제1 안테나(2030)로 송신될 수 있고, 제2 RF HB부(2053)에서 출력되는 신호는 제2 안테나(2030)로 송신될 수 있다. 상기 제1 안테나(2030)를 통해 수신되는 신호는 스위치(2092) 및 다이플렉서(2091)를 통해 제1 RF LB부(2051), 제1 RF MB부(2052) 및 제2 RF MB부(2053) 중 어느 하나로 전달되고, 상기 제2 안테나(2040)를 통해 수신되는 신호는 제2 RF HB부(2054)로 전달될 수 있다.
본 문서에서 사용된 용어 "모듈"은, 예를 들면, 하드웨어, 소프트웨어 또는 펌웨어(firmware) 중 하나 또는 둘 이상의 조합을 포함하는 단위(unit)를 의미할 수 있다. "모듈"은, 예를 들면, 유닛(unit), 로직(logic), 논리 블록(logical block), 부품(component), 또는 회로(circuit) 등의 용어와 바꾸어 사용(interchangeably use)될 수 있다. "모듈"은, 일체로 구성된 부품의 최소 단위 또는 그 일부가 될 수 있다. "모듈"은 하나 또는 그 이상의 기능을 수행하는 최소 단위 또는 그 일부가 될 수도 있다. "모듈"은 기계적으로 또는 전자적으로 구현될 수 있다. 예를 들면,"모듈"은, 알려졌거나 앞으로 개발될, 어떤 동작들을 수행하는 ASIC(application-specific integrated circuit) 칩, FPGAs(field-programmable gate arrays) 또는 프로그램 가능 논리 장치(programmable-logic device) 중 적어도 하나를 포함할 수 있다.
다양한 실시예에 따른 장치(예: 모듈들 또는 그 기능들) 또는 방법(예: 동작들)의 적어도 일부는, 예컨대, 프로그램 모듈의 형태로 컴퓨터로 읽을 수 있는 저장매체(computer-readable storage media)에 저장된 명령어로 구현될 수 있다. 상기 명령어가 프로세서(예: 통신 프로세서(480))에 의해 실행될 경우, 상기 하나 이상의 프로세서가 상기 명령어에 해당하는 기능을 수행할 수 있다. 컴퓨터로 읽을 수 있는 저장매체는, 예를 들면, 메모리(130)가 될 수 있다.
컴퓨터로 판독 가능한 기록 매체는, 하드디스크, 플로피디스크, 마그네틱 매체(magnetic media)(예: 자기테이프), 광기록 매체(optical media)(예: CD-ROM(compact disc read only memory), DVD(digital versatile disc), 자기-광 매체(magneto-optical media)(예: 플롭티컬 디스크(floptical disk)), 하드웨어 장치(예: ROM(read only memory), RAM(random access memory), 또는 플래시 메모리 등) 등을 포함할 수 있다. 또한, 프로그램 명령에는 컴파일러에 의해 만들어지는 것과 같은 기계어 코드뿐만 아니라 인터프리터 등을 사용해서 컴퓨터에 의해서 실행될 수 있는 고급 언어 코드를 포함할 수 있다. 상술한 하드웨어 장치는 다양한 실시예의 동작을 수행하기 위해 하나 이상의 소프트웨어 모듈로서 작동하도록 구성될 수 있으며, 그 역도 마찬가지다.
다양한 실시예에 따르면, 명령들을 저장하고 있는 저장 매체에 있어서, 상기 명령들은 적어도 하나의 프로세서에 의하여 실행될 때에 상기 적어도 하나의 프로세서로 하여금 적어도 하나의 과정을 수행하도록 설정된 것으로서, 상기 적어도 하나의 동작은, 전원부에 구성된 제1 전원 변조기에서 출력되는 전력을 이용하여 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 동작과, 업링크 CA(carrier aggregation) 요청을 감지하는 동작과, 상기 감지된 요청에 대응하여 상기 전원부에 구성되는 제2 전원 변조기를 활성화하여 상기 제2 PA 그룹을 동작시키는 동작과, 상기 통신을 수행하고 있는 상태에서 상기 제2 PA 그룹 내의 PA를 통해 신호의 송수신을 제어하는 동작을 포함할 수 있다.
다양한 실시예에 따른 모듈 또는 프로그램 모듈은 전술한 구성요소들 중 적어도 하나 이상을 포함하거나, 일부가 생략되거나, 또는 추가적인 다른 구성요소를 더 포함할 수 있다. 다양한 실시예에 따른 모듈, 프로그램 모듈 또는 다른 구성요소에 의해 수행되는 동작들은 순차적, 병렬적, 반복적 또는 휴리스틱(heuristic)한 방법으로 실행될 수 있다. 또한, 일부 동작은 다른 순서로 실행되거나, 생략되거나, 또는 다른 동작이 추가될 수 있다. 그리고 본 문서에 개시된 실시예는 개시된, 기술 내용의 설명 및 이해를 위해 제시된 것이며, 본 문서에서 기재된 기술의 범위를 한정하는 것은 아니다. 따라서, 본 문서의 범위는, 본 문서의 기술적 사상에 근거한 모든 변경 또는 다양한 다른 실시예를 포함하는 것으로 해석되어야 한다.
120: 프로세서 170: 통신 인터페이스
410: 전원부 411: 제1 전원 변조기
412: 제2 전원 변조기 420: 안테나부
421: 제1 안테나 422: 제2 안테나
430: RF부 440: 경로 선택부
441: 제1 스위치 442: 제2 스위치
443: 제3 스위치 451: 제1 RF LB
452: 제2 RF LB 453: 제1 RF MB
454: 제2 RF MB 455: 제1 RF HB
456: 제2 RF HB 461: 다이플렉서

Claims (27)

  1. 전자 장치에 있어서,
    적어도 하나의 PA(power amplifier)를 포함하는 제1 PA 그룹과 적어도 하나의 PA를 포함하는 제2 PA 그룹을 포함하는 송수신부와,
    상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나를 포함하는 안테나부와,
    상기 제1 PA 그룹에 연결되는 제1 전원 변조기와 상기 제2 PA 그룹에 연결되는 제2 전원 변조기를 포함하는 전원부와,
    상기 제1 군의 PA 중 적어도 하나와 상기 제2 군의 PA 중 적어도 하나는 동시에 신호의 송신이 가능하고, 상기 제1 전원 변조기와 상기 제2 전원 변조기중 적어도 하나에 연결된 PA의 송신 전력에 적어도 일부 기반하여 출력전압을 변경하는 통신 프로세서를 포함하는 전자 장치.
  2. 제1 항에 있어서,
    상기 통신 프로세서는, 상기 제1 PA 그룹 중 하나의 PA와 상기 제2 PA 그룹 중 하나의 PA를 통해 신호를 동시에 송신하며,
    상기 PA는 LB(low band), MB(middle band) 또는 HB(high band) 중 어느 하나의 대역을 갖는 것을 특징으로 하는 전자 장치.
  3. 제1 항에 있어서,
    상기 통신 프로세서는, 상기 제1 전원 변조기에서 출력되는 전력을 이용하여 상기 제1 PA 그룹 내의 PA를 사용하여 통신하고 있는 상태에서, 업링크 CA(carrier aggregation) 요청에 대응하여 상기 제2 전원 변조기를 활성화하고, 상기 제2 PA 그룹을 동작시켜 업링크 CA 동작을 수행하여 통신을 수행하는 전자 장치.
  4. 제1 항에 있어서,
    상기 각각의 PA 그룹은, LB PA, MB PA 및 HB PA 를 포함하며,
    상기 LB PA 는 600MHz~1GHz의 주파수이고, 상기 MB PA 는 1.5GHz~2.2GHz의 주파수이고, 상기 HB PA 는 1.8GHz~5GHz의 주파수인 것을 특징으로 하는 전자 장치.
  5. 제1 항에 있어서,
    상기 통신 프로세서는, 상기 신호의 포락선(envelope)에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어하는 전자 장치.
  6. 제1 항에 있어서,
    상기 송수신부는,
    상기 통신 프로세서에서 출력되는 신호의 컷오프(cutoff) 주파수를 변경하는 적어도 하나의 LPF(low pass filter), 상기 신호와 기저대역의 I/Q(in phase/quadrature) 신호를 업컨버젼(upconversion)하는 적어도 하나의 송신 믹서(Tx mixer), 상기 송신 믹서로부터 출력되는 신호를 송신 이득 조절기(Tx programable gain amplifier)로 스위칭하는 적어도 하나의 스위치 및 상기 제어된 전력에 따라 이득을 조절하는 상기 PA로 제공하는 적어도 하나의 송신 이득 조절기를 포함하는 RF(radio frequency)부와,
    상기 조절된 이득에 따라 상기 신호의 출력 전력을 변조하는 PA, 송수신되는 신호를 분리하는 적어도 하나의 듀플렉서, LB와 MB를 분리하는 적어도 하나의 다이플렉서를 포함하는 경로 선택부를 포함하는 전자 장치.
  7. 제1 항에 있어서,
    상기 제1 안테나는 상기 제1 주파수 범위에 해당되는 LB 및 상기 제2 주파수 범위에 해당되는 MB를 지원하고, 상기 제2 안테나는 제2 주파수 범위에 해당되는 MB 및 상기 제3 주파수 범위에 해당되는 HB를 지원하는 전자 장치.
  8. 제1 항에 있어서,
    상기 제1 PA 그룹 내의 LB PA, MB PA 및 HB PA 는 외부 장치와 통신 제어 신호를 송수신하며, 상기 제2 PA 그룹 내의 LB PA, MB PA 및 HB PA 는 상기 외부 장치와 데이터를 송수신하는 전자 장치.
  9. 제1 항에 있어서,
    상기 통신 프로세서는, I/Q 신호를 수신하여 제1 송신 I/Q 및 제2 송신 I/Q 신호를 생성하고, 상기 송수신부를 제어하는 제1 제어 신호와 상기 전원부의 적어도 하나의 전원 변조기를 제어하는 제2 제어 신호를 생성하는 전자 장치.
  10. 제9 항에 있어서,
    상기 통신 프로세서는, 상기 생성된 제1 제어 신호를 통해서 상기 제1 안테나를 상기 제1 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 제1 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블(enable/disable)을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 인에이블로 설정하는 전자 장치
  11. 제9 항에 있어서,
    상기 통신 프로세서는, 상기 생성된 제2 제어 신호를 통해서 상기 제2 안테나를 상기 제2 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하고, 상기 제2 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블을 설정하고, 상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송신 경로를 인에이블로 설정하는 전자 장치.
  12. 제1 항에 있어서,
    상기 안테나부는,
    LB PA 및 적어도 하나의 MB PA 를 지원하는 제3 안테나, 적어도 하나의 MB PA 및 HB PA 를 지원하는 제4 안테나 및 상기 제3 안테나를 통해 수신되는 신호를 LB PA 또는 MB PA 로 스위칭하는 제1 스위치와, 상기 제4 안테나를 통해 수신되는 신호를 MB PA 또는 HB PA 로 스위칭하는 제2 스위치를 포함하는 전자 장치.
  13. 제12 항에 있어서,
    상기 제1 안테나는 상기 전자 장치의 하부 영역에 배치되고, 상기 제2 안테나는 상기 제1 안테나의 좌측 또는 우측에 배치되고, 상기 제3 안테나는 상기 전자 장치의 상부 영역에 배치되고, 상기 제4 안테나는 상기 제3 안테나의 좌측 또는 우측에 배치되는 전자 장치.
  14. 전자 장치에 있어서,
    복수의 전원 변조기를 포함하는 전원부와,
    복수의 안테나를 포함하는 안테나부와,
    LB(low band), MB(middle band) 및 HB(high band)를 지원하는 PA를 포함하는 복수의 PA 그룹과, 각각의 PA 그룹으로의 스위칭 또는 각각의 PA 그룹에 포함되는 LB PA, MB PA 및 HB PA 로의 스위칭을 수행하는 경로 선택부를 포함하는 송수신부와,
    상기 전원부에 포함된 각각의 전원 변조기로부터 출력되는 전력과 상기 경로 선택부에 포함된 각각의 스위치를 제어하여 상기 복수의 안테나를 통해 신호의 송수신을 제어하는 통신 프로세서를 포함하는 전자 장치.
  15. 제14 항에 있어서,
    상기 전원부는, 상기 송수신부에 구성되는 PA 그룹에 대응되도록 전원 변조기가 구성되는 전자 장치.
  16. 제14 항에 있어서,
    상기 안테나부는, 제1 PA 그룹의 PA와 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제1 안테나와, 상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 선택적으로 연결되는 제2 안테나와, LB PA 및 적어도 하나의 MB PA 를 지원하는 제3 안테나, 적어도 하나의 MB PA 및 HB PA 를 지원하는 제4 안테나를 포함하며,
    상기 제3 안테나 및 상기 제4 안테나는 다이버시티 안테나로서 신호를 수신만하는 전자 장치.
  17. 전자 장치에서 신호를 송수신하는 방법에 있어서,
    전원부에 구성된 제1 전원 변조기에서 출력되는 전력을 이용하여 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 동작과,
    업링크 CA(carrier aggregation) 요청을 감지하는 동작과,
    상기 감지된 요청에 대응하여 상기 전원부에 구성되는 제2 전원 변조기를 활성화하여 상기 제2 PA 그룹을 동작시키는 동작과,
    상기 통신을 수행하고 있는 상태에서 상기 제2 PA 그룹 내의 PA를 통해 신호의 송수신을 제어하는 동작을 포함하는 방법.
  18. 제17 항에 있어서,
    상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제1 주파수 범위 또는 제2 주파수 범위를 지원하는 PA와 제1 안테나를 선택적으로 연결하는 동작과,
    상기 제1 PA 그룹의 PA와 상기 제2 PA 그룹의 PA 중 제2 주파수 범위 또는 제3 주파수 범위를 지원하는 PA와 제2 안테나를 선택적으로 연결하는 동작을 더 포함하는 방법.
  19. 제17 항에 있어서,
    상기 신호의 송수신을 제어하는 동작은,
    상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어하는 과정을 포함하는 방법.
  20. 제11 항에 있어서,
    상기 신호의 송수신을 제어하는 동작은,
    상기 제1 PA 그룹 중 하나의 PA와 상기 제2 PA 그룹 중 하나의 PA를 통해 신호를 동시에 송신하는 동작을 포함하는 방법.
  21. 제19 항에 있어서,
    상기 전력을 제어하는 동작은,
    상기 신호의 포락선(envelope)에 따라 전압을 조절하여 PA에 공급하는 포락선 트래킹 모드, 각 PA의 출력 전력의 평균치에 대응되게 전압을 조절하여 PA에 공급하는 평균 전력 트래킹 모드, 일정한 전압을 PA에 공급하는 바이패스 모드 중 어느 하나를 이용하여 상기 제1 전원 변조기 또는 상기 제2 전원 변조기 중 적어도 하나에서 출력되는 전력을 제어하는 동작을 포함하는 방법.
  22. 제17 항에 있어서,
    상기 제2 PA 그룹을 동작시키는 동작은,
    I/Q 신호의 수신에 대응하여 제1 송신 I/Q 및 제2 송신 I/Q 신호를 생성하는 동작과,
    상기 제2 PA 그룹을 동작시켜 신호의 송수신을 제어하는 제어 신호와 상기 전원부의 적어도 하나의 전원 변조기를 제어하는 제어 신호를 생성하는 동작을 포함하는 방법.
  23. 제17 항에 있어서,
    상기 제2 PA 그룹을 동작시키는 동작은,
    상기 신호의 컷오프(cutoff) 주파수를 변경하는 동작과,
    상기 신호와 기저대역의 I/Q(in phase/quadrature) 신호를 업컨버젼(upconversion)하는 동작과,
    상기 업컨버젼된 신호를 송신 PGA(Tx programable gain amplifier)로 스위칭하는 동작과,
    상기 신호의 이득을 조절하는 동작과,
    상기 조절된 이득에 기반하여 상기 제2 PA 그룹 내의 PA를 통해 상기 신호의 출력 전력을 변조하는 동작을 포함하는 방법.
  24. 제22 항에 있어서,
    상기 제어 신호를 생성하는 동작은,
    상기 생성된 제어 신호를 통해서 상기 제1 안테나를 상기 제1 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하는 동작과,
    상기 제1 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 enable/disable을 설정하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송수신 경로를 enable로 설정하는 동작을 포함하는 방법.
  25. 제22 항에 있어서,
    상기 제어 신호를 생성하는 동작은,
    상기 생성된 제어 신호를 통해서 상기 제2 안테나를 상기 제2 PA 그룹 내의 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 연결하는 동작과,
    상기 제2 전원 변조기의 전원 모드를 포락선 트래킹 모드, 평균 전력 트래킹 모드 또는 바이패스 모드 중 어느 하나의 모드로 설정하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나를 BPF(band pass filter), Duplexer 또는 Quadplexer 중 어느 하나에 선택적으로 연결하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나의 전력 모드, 바이어스 전압 및 상기 제1 전원 변조기의 인에이블/디스에이블을 설정하는 동작과,
    상기 연결된 LB, MB 또는 HB를 지원하는 PA 중 어느 하나에 따른 송신 경로를 인에이블로 설정하는 동작을 포함하는 방법.
  26. 제17 항에 있어서,
    상기 신호의 송수신을 제어하는 동작은,
    각각의 PA 그룹으로의 스위칭 또는 각각의 PA 그룹에 포함되는 LB, MB 및 HB를 지원하는 PA로 스위칭하는 동작과,
    상기 전원부에 포함된 각각의 전원 변조기로부터 출력되는 전력을 제어하는 동작과,
    경로 선택부에 포함된 각각의 스위치를 제어하여 복수의 안테나를 통해 신호의 송수신을 제어하는 제어하는 동작을 포함하는 방법.
  27. 제17 항에 있어서,
    상기 업링크 요청을 감지하는 동작은,
    상기 제1 PA 그룹 내의 PA를 통해 통신을 수행하는 도중에, 상기 제2 PA 그룹 내의 PA를 통해 통신의 수행을 허락하는 신호를 기지국으로부터 수신하는 동작과,
    상기 수신된 신호에 기반하여 상기 제2 PA 그룹 내의 PA를 통해 상기 기지국과 통신을 수행하는 동작을 포함하는 방법.
KR1020160026989A 2016-03-07 2016-03-07 신호를 송수신하는 전자 장치 및 방법 KR102468952B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020160026989A KR102468952B1 (ko) 2016-03-07 2016-03-07 신호를 송수신하는 전자 장치 및 방법
MYPI2018703135A MY188229A (en) 2016-03-07 2017-03-07 Electronic device and method for transmitting and receiving signals
EP17763546.3A EP3425808B1 (en) 2016-03-07 2017-03-07 Electronic device and method for transmitting and receiving signals
PCT/KR2017/002461 WO2017155285A1 (ko) 2016-03-07 2017-03-07 신호를 송수신하는 전자 장치 및 방법
US16/080,482 US11362689B2 (en) 2016-03-07 2017-03-07 Electronic device and method for transmitting and receiving signals
US17/825,089 US11616518B2 (en) 2016-03-07 2022-05-26 Electronic device and method for transmitting and receiving signals

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160026989A KR102468952B1 (ko) 2016-03-07 2016-03-07 신호를 송수신하는 전자 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20170104238A true KR20170104238A (ko) 2017-09-15
KR102468952B1 KR102468952B1 (ko) 2022-11-22

Family

ID=59790708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160026989A KR102468952B1 (ko) 2016-03-07 2016-03-07 신호를 송수신하는 전자 장치 및 방법

Country Status (5)

Country Link
US (2) US11362689B2 (ko)
EP (1) EP3425808B1 (ko)
KR (1) KR102468952B1 (ko)
MY (1) MY188229A (ko)
WO (1) WO2017155285A1 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019203518A1 (ko) * 2018-04-17 2019-10-24 삼성전자 주식회사 듀플렉서의 수신 주파수 대역의 조정과 관련하여 컷오프 주파수를 조정할 수 있는 다이플렉서를 포함하는 전자 장치
WO2020213995A1 (en) * 2019-04-19 2020-10-22 Samsung Electronics Co., Ltd. Method for controlling power in 5g communication and electronic device therefor
WO2021206271A1 (ko) * 2020-04-10 2021-10-14 엘지전자 주식회사 5g 안테나를 구비하는 전자 기기
US11336242B2 (en) 2019-09-18 2022-05-17 Samsung Electronics Co., Ltd. Supply modulating circuit including switching circuit and wireless communication device including the supply modulating circuit
WO2022154411A1 (ko) * 2021-01-13 2022-07-21 삼성전자 주식회사 전자 장치 및 복수의 안테나들을 포함하는 전자 장치에서 송신 신호의 전력을 제어하는 방법
WO2023055041A1 (ko) * 2021-10-01 2023-04-06 삼성전자주식회사 듀얼 커넥티비티를 제공하기 위한 전자 장치
WO2023090689A1 (ko) * 2021-11-16 2023-05-25 삼성전자주식회사 Rf 신호 증폭을 위한 전력 공급 제어 방법 및 이를 수행하는 통신 장치
WO2023243957A1 (ko) * 2022-06-13 2023-12-21 삼성전자주식회사 복수의 채널들을 통해 통신을 수행하기 위한 전자 장치 및 방법
WO2024014781A1 (ko) * 2022-07-12 2024-01-18 삼성전자 주식회사 전자 장치 및 그 전자 장치에서의 무선 통신을 위한 방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10158330B1 (en) 2017-07-17 2018-12-18 Qorvo Us, Inc. Multi-mode envelope tracking amplifier circuit
KR102352553B1 (ko) * 2017-10-25 2022-01-18 삼성전자주식회사 복수의 안테나들을 포함하는 전자 장치와 이의 동작 방법
KR102411200B1 (ko) * 2017-10-30 2022-06-17 엘지전자 주식회사 공기조화기
CN111316567B (zh) * 2017-11-23 2022-03-18 苹果公司 用于无线通信的装置和方法
CN111699725A (zh) * 2018-02-14 2020-09-22 惠普发展公司,有限责任合伙企业 天线的发射功率调节
WO2019176538A1 (ja) * 2018-03-15 2019-09-19 株式会社村田製作所 フロントエンド回路、フロントエンドモジュール、通信装置及びマルチプレクサ
CN108988875B (zh) * 2018-07-23 2020-12-08 Oppo广东移动通信有限公司 射频系统、天线切换控制方法及相关产品
US11233481B2 (en) 2019-02-18 2022-01-25 Qorvo Us, Inc. Modulated power apparatus
US11374482B2 (en) 2019-04-02 2022-06-28 Qorvo Us, Inc. Dual-modulation power management circuit
US11424719B2 (en) 2019-04-18 2022-08-23 Qorvo Us, Inc. Multi-bandwidth envelope tracking integrated circuit
US11349436B2 (en) 2019-05-30 2022-05-31 Qorvo Us, Inc. Envelope tracking integrated circuit
US11539289B2 (en) 2019-08-02 2022-12-27 Qorvo Us, Inc. Multi-level charge pump circuit
US11985515B2 (en) 2019-11-04 2024-05-14 Qualcomm Incorporated Methods and apparatuses for dynamic antenna array reconfiguration and signaling in millimeter wave bands
US11309922B2 (en) * 2019-12-13 2022-04-19 Qorvo Us, Inc. Multi-mode power management integrated circuit in a small formfactor wireless apparatus
US11349513B2 (en) 2019-12-20 2022-05-31 Qorvo Us, Inc. Envelope tracking system
CN112422148B (zh) * 2019-12-31 2022-03-25 Oppo广东移动通信有限公司 射频模组及电子设备
US11539330B2 (en) 2020-01-17 2022-12-27 Qorvo Us, Inc. Envelope tracking integrated circuit supporting multiple types of power amplifiers
US20210226681A1 (en) * 2020-01-21 2021-07-22 Qualcomm Incorporated Techniques for antenna subset selection in upper millimeter wave bands
US11716057B2 (en) 2020-01-28 2023-08-01 Qorvo Us, Inc. Envelope tracking circuitry
US11728774B2 (en) 2020-02-26 2023-08-15 Qorvo Us, Inc. Average power tracking power management integrated circuit
US11588449B2 (en) 2020-09-25 2023-02-21 Qorvo Us, Inc. Envelope tracking power amplifier apparatus
US11909385B2 (en) 2020-10-19 2024-02-20 Qorvo Us, Inc. Fast-switching power management circuit and related apparatus
US20220131567A1 (en) * 2020-10-23 2022-04-28 Samsung Electronics Co., Ltd. Communication circuitry performing communication using a plurality of frequency bands and electronic device comprising the communication circuitry
CN112436846B (zh) * 2020-12-02 2022-03-15 Oppo广东移动通信有限公司 射频L-PA Mid器件、射频收发系统及通信设备
CN114650069A (zh) * 2020-12-18 2022-06-21 Oppo广东移动通信有限公司 射频模组及其控制方法、电子设备
US11677535B2 (en) * 2021-03-30 2023-06-13 Google Llc Concurrent communication in multiple TDD bands
CN113676209B (zh) * 2021-08-12 2022-07-15 Oppo广东移动通信有限公司 放大器模组、射频系统及通信设备
CN115001538B (zh) * 2022-08-03 2022-10-25 南京尤尼泰信息科技有限公司 一种用于供电与多信号共线同传的传输设备及传输方法
CN117767992A (zh) * 2022-09-16 2024-03-26 华为技术有限公司 一种芯片、电路板组件及通信装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140038675A1 (en) * 2011-05-02 2014-02-06 Rf Micro Devices, Inc. Front end radio architecture (fera) with power management
US20140227982A1 (en) * 2013-02-08 2014-08-14 Rf Micro Devices, Inc. Front end circuitry for carrier aggregation configurations

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2565030C2 (ru) 2009-02-09 2015-10-10 Интердиджитал Пэйтент Холдингз, Инк. Устройство и способ управления мощностью восходящей линии связи для беспроводного приемопередатчика, использующего множество несущих
US8265572B2 (en) * 2009-12-21 2012-09-11 Ubidyne, Inc. Multiple envelope tracking system for an active antenna array
US8947302B2 (en) * 2010-11-05 2015-02-03 Apple Inc. Antenna system with antenna swapping and antenna tuning
US9537555B2 (en) 2011-02-22 2017-01-03 Qualcomm Incorporated Uplink transmit antenna selection in carrier aggregation
GB2488380B (en) * 2011-06-24 2018-04-04 Snaptrack Inc Envelope tracking system for mimo
US8634782B2 (en) 2011-10-14 2014-01-21 Qualcomm Incorporated Multi-antenna wireless device with power combining power amplifiers
HUE029292T2 (en) 2011-12-20 2017-02-28 ERICSSON TELEFON AB L M (publ) Transmitter, receiver, communication device, process and computer program
US9320062B2 (en) 2012-04-17 2016-04-19 Qualcomm Incorporated Communication in a heterogeneous network with carrier aggregation
KR101853238B1 (ko) 2012-09-26 2018-04-27 엘지전자 주식회사 상향링크 제어 채널에 대한 전송 전력 제어 방법 및 장치
US9078211B2 (en) * 2012-10-11 2015-07-07 Rf Micro Devices, Inc. Power management configuration for TX MIMO and UL carrier aggregation
KR102180573B1 (ko) * 2013-10-22 2020-11-18 삼성전자주식회사 신호를 수신하는 방법 및 전자 장치
US9813090B2 (en) 2013-12-19 2017-11-07 Nec Corporation Transmission device and transmission method
KR102196752B1 (ko) 2014-08-12 2020-12-30 삼성전자주식회사 캐리어 통합 신호를 송수신하는 장치
US10153736B2 (en) * 2014-08-17 2018-12-11 Skyworks Solutions, Inc. Circuits and methods for 2G amplification using 3G/4G linear path combination
US10177838B2 (en) * 2014-09-30 2019-01-08 Skyworks Solutions, Inc. Shared integrated DC-DC supply regulator
US9893684B2 (en) * 2015-02-15 2018-02-13 Skyworks Solutions, Inc. Radio-frequency power amplifiers driven by boost converter
US10447442B2 (en) * 2015-08-11 2019-10-15 Skyworks Solutions, Inc. Uplink carrier aggregation architecture
US9451566B1 (en) * 2015-09-01 2016-09-20 Qualcomm Incorporated Power amplifier transmission mode switching in wireless communication devices
US10432274B2 (en) * 2016-01-04 2019-10-01 Skyworks Solutions, Inc. Wireless communication system with simplex front-end
US10439685B2 (en) * 2016-06-12 2019-10-08 Skyworks Solutions, Inc. Front-end architectures for multiple antennas
CN106126470B (zh) * 2016-06-30 2021-09-17 唯捷创芯(天津)电子技术股份有限公司 一种实现芯片重用的可变信号流向控制方法及通信终端
US10075199B2 (en) * 2016-07-17 2018-09-11 Skyworks Solutions, Inc. Uplink carrier aggregation front-end architecture that supports simultaneous MIMO
US10581466B2 (en) * 2017-04-18 2020-03-03 Skyworks Solutions, Inc. Front-end architecture having split diplexer for carrier aggregation and MIMO support
CN108199726B (zh) * 2018-03-16 2020-08-28 Oppo广东移动通信有限公司 多路选择开关及相关产品
US10608604B2 (en) * 2018-07-09 2020-03-31 Mediatek Inc. Wireless communications circuit and associated wireless communications device with reduced power loss and reduced circuit area

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140038675A1 (en) * 2011-05-02 2014-02-06 Rf Micro Devices, Inc. Front end radio architecture (fera) with power management
US20140227982A1 (en) * 2013-02-08 2014-08-14 Rf Micro Devices, Inc. Front end circuitry for carrier aggregation configurations

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019203518A1 (ko) * 2018-04-17 2019-10-24 삼성전자 주식회사 듀플렉서의 수신 주파수 대역의 조정과 관련하여 컷오프 주파수를 조정할 수 있는 다이플렉서를 포함하는 전자 장치
KR20190120861A (ko) * 2018-04-17 2019-10-25 삼성전자주식회사 듀플렉서의 수신 주파수 대역의 조정과 관련하여 컷오프 주파수를 조정할 수 있는 다이플렉서를 포함하는 전자 장치
US11569971B2 (en) 2018-04-17 2023-01-31 Samsung Electronics Co., Ltd. Electronic device comprising diplexer capable of adjusting cutoff frequency in connection with adjustment of reception frequency band of duplexer
WO2020213995A1 (en) * 2019-04-19 2020-10-22 Samsung Electronics Co., Ltd. Method for controlling power in 5g communication and electronic device therefor
US11026190B2 (en) 2019-04-19 2021-06-01 Samsung Electronics Co., Ltd Method for controlling power in 5G communication and electronic device therefor
US11336242B2 (en) 2019-09-18 2022-05-17 Samsung Electronics Co., Ltd. Supply modulating circuit including switching circuit and wireless communication device including the supply modulating circuit
WO2021206271A1 (ko) * 2020-04-10 2021-10-14 엘지전자 주식회사 5g 안테나를 구비하는 전자 기기
WO2022154411A1 (ko) * 2021-01-13 2022-07-21 삼성전자 주식회사 전자 장치 및 복수의 안테나들을 포함하는 전자 장치에서 송신 신호의 전력을 제어하는 방법
WO2023055041A1 (ko) * 2021-10-01 2023-04-06 삼성전자주식회사 듀얼 커넥티비티를 제공하기 위한 전자 장치
WO2023090689A1 (ko) * 2021-11-16 2023-05-25 삼성전자주식회사 Rf 신호 증폭을 위한 전력 공급 제어 방법 및 이를 수행하는 통신 장치
WO2023243957A1 (ko) * 2022-06-13 2023-12-21 삼성전자주식회사 복수의 채널들을 통해 통신을 수행하기 위한 전자 장치 및 방법
WO2024014781A1 (ko) * 2022-07-12 2024-01-18 삼성전자 주식회사 전자 장치 및 그 전자 장치에서의 무선 통신을 위한 방법

Also Published As

Publication number Publication date
US11362689B2 (en) 2022-06-14
US20210194515A1 (en) 2021-06-24
EP3425808A1 (en) 2019-01-09
MY188229A (en) 2021-11-24
US20220302936A1 (en) 2022-09-22
KR102468952B1 (ko) 2022-11-22
EP3425808B1 (en) 2020-04-29
US11616518B2 (en) 2023-03-28
WO2017155285A1 (ko) 2017-09-14
EP3425808A4 (en) 2019-04-17

Similar Documents

Publication Publication Date Title
US11616518B2 (en) Electronic device and method for transmitting and receiving signals
KR102496907B1 (ko) 안테나 및 안테나를 포함하는 전자 장치
US11362443B2 (en) Electronic device comprising antenna
EP3474456B1 (en) Electronic device comprising antenna
US10292115B2 (en) Electronic device and method of transmitting wireless signal thereof
KR102545601B1 (ko) 무선 통신 시스템에서 신호를 수신하기 위한 장치 및 방법
KR102516621B1 (ko) 안테나를 제어하기 위한 방법 및 그 전자 장치
EP3344005B1 (en) Electronic apparatus and control method therefor
KR102638667B1 (ko) 안테나를 제어하기 위한 방법 및 그 전자 장치
KR102519510B1 (ko) 다중 안테나를 이용하여 전자파 흡수율을 관리하기 위한 전자 장치 및 방법
US20170163293A1 (en) Electronic device including single antenna supporting multiple communication protocols and operating method thereof
KR20160145449A (ko) 전자 장치 및 그의 안테나 제어 방법
KR102478030B1 (ko) 무선 통신 성능을 개선하기 위한 방법 및 그 전자 장치
KR20180096385A (ko) 복수의 주파수 밴드들을 이용한 d2d 통신을 지원하는 프론트 엔드 모듈과 그를 구비한 전자 장치
KR20190009183A (ko) 전자 장치 및 전자 장치의 무선 통신 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant