KR20170041847A - 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법 - Google Patents

등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법 Download PDF

Info

Publication number
KR20170041847A
KR20170041847A KR1020177006554A KR20177006554A KR20170041847A KR 20170041847 A KR20170041847 A KR 20170041847A KR 1020177006554 A KR1020177006554 A KR 1020177006554A KR 20177006554 A KR20177006554 A KR 20177006554A KR 20170041847 A KR20170041847 A KR 20170041847A
Authority
KR
South Korea
Prior art keywords
layer
hard mask
photoresist
forming
reduced dimension
Prior art date
Application number
KR1020177006554A
Other languages
English (en)
Other versions
KR102462349B1 (ko
Inventor
벤처키 메라르키
복헌 김
디네쉬 파드히
리 얀 미아오
프라미트 만나
크리스토퍼 벤처
메훌 비. 니악
후이시옹 다이
크리스토퍼 에스. 응가이
다니엘 리 디에흘
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170041847A publication Critical patent/KR20170041847A/ko
Application granted granted Critical
Publication of KR102462349B1 publication Critical patent/KR102462349B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

본 개시내용의 실시예들은 일반적으로, 상부의(overlying) 포토레지스트 층에 대해 광학적으로 매칭되는(optically matched) 하드마스크 내에 감소된 치수 패턴을 형성하는 방법을 제공한다. 방법은 일반적으로, 포토레지스트의 분해 온도 미만의 온도들에서, 패터닝된 포토레지스트의 필드 영역, 측벽들 및 바닥 부분, 및 하부의 하드마스크 위에 치수 축소 등각적 탄소 층을 도포(application)하는 것을 포함한다. 본원에서의 방법들 및 실시예들은, 하드마스크를 노출시키기 위해 에칭 프로세스에 의해, 하드마스크 및 패터닝된 포토레지스트의 바닥 부분으로부터 등각적 탄소 층을 제거하는 것, 바닥 부분에서 노출된 하드마스크 기판을 에칭하는 것, 및 이후, 등각적 탄소 층, 포토레지스트 및 다른 탄소질 컴포넌트들을 동시에 제거하는 것을 더 포함한다. 따라서, 추가의 패턴 전사를 위해 감소된 치수 피처들을 갖는 하드마스크가 산출된다.

Description

등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법{METHOD FOR CRITICAL DIMENSION REDUCTION USING CONFORMAL CARBON FILMS}
[0001] 본 개시내용의 실시예들은 일반적으로, 집적 회로들의 제조에 관한 것으로서, 특히, 패턴 전사를 위해 사용되는 하드마스크 내에 형성되는 피처(feature)에 감소된 임계 치수들을 생성하기 위한 방법에 관한 것이다.
[0002] 집적 회로(IC)들의 크기를 감소시키게 되면, 개선된 성능, 증가된 용량, 및/또는 감소된 비용을 가져온다. 각각의 크기 감소는 IC들을 형성하기 위한 보다 정교한 기법들을 필요로 한다. 포토리소그래피는 일반적으로, 기판 상에 IC들을 패터닝하는 데에 사용된다. IC의 예시적인 피처는, 금속, 반도체 또는 절연물일 수 있는 재료의 라인이다. 선폭은 라인의 폭이고, 간격은 인접하는 라인들 간의 거리이다. 피치(pitch)는 2개의 인접하는 라인들 상의 동일 포인트 간의 거리로서 정의된다. 피치는 선폭과 간격의 합과 같다. 하지만, 옵틱스(optics) 및 광 또는 방사선 파장과 같은 팩터(factor)들로 인해, 포토리소그래피 기법들은 최소 피치를 가지며, 이러한 최소 피치 아래에서, 특정 포토리소그래피 기법은 피처들을 신뢰성있게 형성하지 못할 수도 있다. 따라서, 포토리소그래피 기법의 최소 피치가 피처 크기 감소를 제한할 수 있다. 유사하게, 100 nm 또는 그 보다 넓은 비아들 또는 라인 인터커넥트들을 생성하도록 설계된 패터닝 툴들은 일반적으로, 더 작은 비아들을 생성할 수 없다. 따라서, 디바이스들이 이러한 작은 치수들로 축소됨에 따라, 현재의 리소그래피 프로세스들은 요구되는 임계 치수(CD)들을 갖는 패턴들을 생성하도록 도전받고 있다. 현재의 리소그래피 툴들을 재설계해야 하는 것을 피하기 위해, 기판 내로 에칭되는 비아 인터커넥트들 및 IC 라인들의 임계 치수를 축소시키기 위한 새로운 방법들이 필요하다.
[0003] 현재, 하드마스크 내의 감소된 치수 패턴과 같은 임계 치수들을 축소시키는 데에 사용되는 등각적(conformal) 층들은, 실리콘 산화물과 같은 에칭가능한 재료를 사용하여 원자 층 증착(ALD)에 의해 형성된다. 하지만, 증착된 재료의 품질을 제어하기가 어려울 수 있어서, 낮은 밀도, 불량한 기계적 강도, 및 후속의 에칭 케미스트리(chemistry)들에 대한 저하된 화학 저항(chemical resistance)을 초래한다. ALD 산화물의 높은 응력들은 또한, 화학적 호환성(chemical compatibility)의 미스매치들 및 불량한 접착력(adhesion)으로 인해 박리(delamination)뿐만 아니라, 하부층(under-layer)들의 버클링(buckling) 및 변형을 이끌 수 있다. 또한, 산화물 재료들은 에칭 이후의 제거를 위해 습식 세정 프로세스를 필요로 한다. 습식 세정은, 전형적으로 CD 손실 및 언더컷 문제들을 이끄는 등방성 프로세스이다.
[0004] 탄소 막들의 플라즈마 강화 화학 기상 증착(PECVD)은, 형성되는 탄소 층의 에칭 선택성(etch selectivity) 및 종래의 건식 애싱(ashing) 플라즈마 프로세스들을 사용한 용이한 제거로 인해, 하드마스크 재료 내에 감소된 치수 패턴을 형성하기 위한 대안이다. 일반적으로, 하드마스크 내에 감소된 치수 패턴들을 생성하기 위한 탄소 막들의 증착은, 허용가능한 스텝 커버리지, 최소 패턴 로딩 효과(loading effect)를 갖는 등각성(conformality), 갭 충진(gap filling), 및 고 종횡비 구조들에 대한 평탄화를 달성하기 위해, 120 ℃를 초과하는 온도들에서 실시된다. 이러한 통상의 탄소 증착 프로세스들은, 이러한 타입들의 층들을 형성하는 데에 필요한 고온들로 인해 사용이 제한적이다. 따라서, 등각적 탄소의 고온 PECVD 증착은, 전형적으로 120 ℃ 미만의 분해 온도를 갖는 포토레지스트들과 같은 연질의 탄소질 재료(soft carbonaceous material)들 상으로의 그 배치(disposition)를 막는다.
[0005] 현재의 기술을 고려하면, 패터닝된 포토레지스트 층 상에 직접적으로 탄소 함유 막을 형성한 다음, 건식 애싱 프로세스에 의해 제거하기 위한 저온 방법이 필요하다.
[0006] 본 개시내용의 실시예들은 일반적으로, 포토레지스트 층에 대해 광학적으로 매칭되는(optically matched) 하드마스크 내에 감소된 치수 패턴을 형성하는 방법을 제공한다. 방법은 일반적으로, 포토레지스트의 분해 온도 미만의 온도들에서, 패터닝된 포토레지스트의 필드 영역, 측벽들 및 바닥 부분, 및 하부의(underlying) 하드마스크 위에 치수 축소(dimension shrinking) 등각적 탄소 층을 도포(application)하는 것을 포함한다. 본원에서 개시되는 방법 및 실시예들은, 하드마스크 표면을 노출시키기 위해 에칭 프로세스에 의해, 포토레지스트 내에 형성된 패터닝된 피처의 바닥 부분으로부터 등각적 탄소 층을 제거하는 것, 바닥 부분에서 노출된 하드마스크 기판을 에칭하는 것, 및 이후, 등각적 탄소 층, 포토레지스트 및 다른 탄소질 컴포넌트들을 동시에 제거하는 것을 더 포함한다. 따라서, 추가의 패턴 전사를 위해 감소된 CD 치수 피처들을 갖는 하드마스크가 산출된다.
[0007] 본 개시내용의 실시예들은, 하드마스크 내에 감소된 치수 패턴을 형성하는 방법을 더 제공할 수 있다. 방법은, 하드마스크 층 상에 패터닝된 포토레지스트 층을 형성하는 단계, 및 플라즈마 프로세스에 의해, 패터닝된 포토레지스트 상에 등각적 탄소 층을 증착하는 단계를 포함할 수 있다. 등각적 탄소 층은, 패터닝된 포토레지스트 내에 형성된 피처의 필드 영역, 측벽들 및 바닥 부분 위에 배치될 수 있다. 방법은, 하드마스크 층의 부분을 노출시키기 위해, 에칭 프로세스에 의해 바닥 부분으로부터 등각적 탄소 층을 제거하는 단계; 하드마스크 층 내에 리세스(recess)를 형성하기 위해, 하드마스크 층의 노출된 부분을 에칭하는 단계; 및 패터닝된 포토레지스트 층 및 등각적 탄소 층의 나머지 부분들을 플라즈마 애싱 방법에 의해 동시에 제거하는 단계를 더 포함할 수 있다.
[0008] 본 개시내용의 실시예들은, 하드마스크 상에 감소된 치수 패턴을 형성하는 방법을 더 제공할 수 있다. 방법은, 하드마스크 상에 패터닝된 포토레지스트를 포함하는 기판을 플라즈마 챔버에 제공하는 단계; 및 프로세싱 챔버 내로 프로필렌 가스, 암모니아 가스, 플라즈마-개시(plasma-initiating) 가스 및 희석 가스를 도입시키는 단계를 포함할 수 있고, 탄화수소 소스와 질소 소스의 체적 유량(volumetric flow rate)은 약 50:1 내지 약 5:1의 비율이다. 방법은, 프로세싱 챔버 내에서 플라즈마를 발생시키는 단계 ― 플라즈마는, 약 0.01 W/cm2 내지 약 10 W/cm2의 전력 밀도로 RF 전력을 인가함으로써 발생됨 ― ; 및 패터닝된 포토레지스트 및 하드마스크 위에 질소-도핑된 비정질 탄소를 포함하는 등각적 탄소 층을 증착하는 단계를 더 포함할 수 있다. 포토레지스트, 및 하드마스크의 표면은 각각, 1.6 내지 1.7의 굴절률을 가질 수 있으며, 그리고 흡광 계수(extinction coefficient)는 193 nm의 파장에서 0.00 내지 0.12 일 수 있다. 하드마스크는 SiOwNx:Hy를 포함할 수 있다. 더욱이, 등각적 탄소 층을 증착하는 동안, 기판 온도는 100 ℃ 미만으로 유지될 수 있다.
[0009] 본 개시내용의 실시예들은, 하드마스크 상에 감소된 치수 패턴을 형성하는 방법을 더 제공할 수 있다. 방법은, 하드마스크 상에 패터닝된 포토레지스트를 포함하는 기판을 플라즈마 챔버에 제공하는 단계를 포함할 수 있다. 기판 온도는 100 ℃ 미만으로 유지될 수 있다. 방법은, 프로세싱 챔버 내로 프로필렌 가스, 암모니아 가스, 플라즈마-개시 가스 및 희석 가스를 도입시키는 단계를 더 포함할 수 있다. 탄화수소 소스와 질소 소스의 체적 유량은 약 50:1 내지 약 5:1의 비율일 수 있다. 방법은 또한, 프로세싱 챔버 내에서 플라즈마를 발생시키는 단계를 포함할 수 있다. 플라즈마는, 약 0.01 W/cm2 내지 약 10 W/cm2의 전력 밀도로 RF 전력을 인가함으로써 발생될 수 있다. 방법은 또한, 하드마스크 및 패터닝된 포토레지스트에 의해 형성되는 패턴의 필드 영역, 측벽들 및 바닥 부분 위에 질소-도핑된 비정질 탄소 층으로 구성된 등각적 탄소 층을 증착하는 단계를 포함할 수 있다. 포토레지스트는 1.6 내지 1.7의 굴절률을 가질 수 있고, 흡광 계수는 193 nm의 파장에서 0.00 내지 0.12 일 수 있다. 하드마스크는 SiOwNx:Hy의 일반 화학식으로 이루어질 수 있다. 하드마스크의 광학 특성들은, 포토레지스트의 리소그래피 노광 파장에서 포토레지스트의 광학 특성들과 충분히 매칭될 수 있다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본 개시내용의 일 실시예에 따른 예시적인 패터닝 방법과 연관된 동작들을 도시하는 흐름도이다.
[0012] 도 1b-1i는 본 개시내용의 실시예에 따른, 도 1a에 의해 설명되는 바와 같은 패터닝 방법을 나타내는 단면도들을 예시한다.
[0013] 도 2a는 본 개시내용의 일 실시예에 따른 패터닝 프로세스와 연관된 동작들을 도시하는 흐름도이다.
[0014] 도 2b-2e는 본 개시내용의 실시예에 따른, 도 2a에 의해 설명되는 바와 같은 예시적인 패터닝 프로세스를 나타내는 단면도들을 예시한다.
[0015] 도 3a 및 3b는 본원에서 개시되는 실시예에 따라 형성될 수 있는 예시적인 다층(multilayer) 구조들을 나타내는 단면도들을 예시한다.
[0016] 도 4는, 본원에서 설명되는 실시예에 따른, 다양한 상이한 탄화수소 함유 전구체들에 대한 스텝 커버리지 대 온도의 플롯이다.
[0017] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예에 개시된 엘리먼트들은 구체적인 언급없이 다른 실시예들에 대해 유리하게 활용될 수 있음이 예상된다.
[0018] 본 개시내용의 실시예들은, 하드마스크 구조 내에 감소된 치수 피처들을 생성하기 위해 등각적 탄소 층을 형성하는 프로세스에 관한 것이다. 몇몇 구성들에서, 하드마스크 구조는 상부의(overlying) 포토레지스트 층에 대해 광학적으로 매칭될 수 있다. 다양한 실시예들에서, 등각적 탄소 층은, 포토레지스트의 분해 온도 미만의 온도들에서, 패터닝된 포토레지스트 층의 피처들 위에 증착될 수 있으며, 그에 따라, 포토레지스트 층의 화학적, 물리적 및 기계적 특성들을 보존할 수 있다. 증착되는 탄소 층은 일반적으로, 우수한 접착력, 화학적 호환성, 우수한 스텝 커버리지, 및 높은 에칭 선택성을 특징으로 한다.
[0019] 방법 및 실시예들은, 하드마스크를 노출시키기 위해 에칭 프로세스에 의해, 하드마스크 및 패터닝된 포토레지스트의 바닥 부분으로부터 등각적 탄소 층을 제거하는 것, 바닥 부분에서 노출된 하드마스크 기판을 에칭하는 것, 및 이후, 포토레지스트, 등각적 탄소 층, 및 다른 탄소질 컴포넌트들을 동시에 제거하는 것을 더 포함할 수 있다. 탄소질 컴포넌트들의 동시 제거는 이러한 탄소질 컴포넌트들을 제거하기 위한 습식 세정 동작들에 대한 필요성을 제거하고, 그에 따라, 추가의 패턴 전사를 위해 감소된 치수 피처들을 갖는 하드마스크를 형성하는 비용 및 복잡성을 감소시킨다.
[0020] 도 1a는 본 개시내용의 일 실시예에 따른 방법(100)을 예시하는 흐름도이다. 도 1b-1i는 도 1a에서 설명되는 바와 같은 패터닝 프로세스를 나타내는 단면도들을 예시하며, 그리고 방법(100)의 다양한 스테이지들에서의 다층 기판(117)을 그래픽적으로 예시한다. 도 1b는 다층 기판(117)을 구성하는 다수의 가능한 층들을 예시한다. 몇몇 실시예들에서, 다층 기판(117)은 또한, 기판의 표면 상에 증착되는, 복수의 교번하는 산화물 및 질화물 재료들(즉, 산화물-질화물-산화물(ONO)), 하나 또는 그 초과의 산화물 또는 질화물 재료들, 폴리실리콘 또는 비정질 실리콘 재료들, 비정질 실리콘과 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 실리콘과 교번하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 또는 도핑된 비정질 실리콘과 교번하는 도핑되지 않은 비정질 실리콘을 포함할 수 있다. 다층 기판(117)은, 다음의 것들: 결정질 실리콘, 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 스트레인 드(strained) 실리콘, 실리콘 게르마늄, 텅스텐, 티타늄 질화물, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, 실리콘 온 인슐레이터(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물들, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 저(low) k 유전체들 및 이들의 조합들 중에서 하나 또는 그 초과를 포함하는 층 스택일 수 있다. 도 1b-1h에 도시된 바와 같이, 산화물 층(114)이 활성(active) 층(115) 상에 형성될 수 있다. 더욱이, 티타늄 질화물 층(113)이 산화물 층(114) 상에 형성될 수 있다. 다층 기판(117)은 또한, 탄소질 재료들을 함유하는 층들, 이를테면 포토레지스트들, 반사 방지(anti-reflective) 코팅들, 및 다른 스핀-온 코팅들을 포함할 수 있다.
[0021] 방법(100)의 시작시에, 다층 기판(117)이 플라즈마 프로세싱 챔버에 제공되며, 다층 기판(117)은 온도 제어형(controlled) 기판 홀더(holder) 또는 척(예를 들어, 정전 척) 상에 상주(reside)한다. 이후, 다층 기판(117)은 포토레지스트(108)의 분해 온도 미만의 온도로 평형화된다(equilibrated). 포토레지스트(108)는, 박스(101)에 따라, 하드마스크 층(110) 위에 배치되며, 그리고 박스(102)에 따라, 리소그래피 타입 프로세스를 사용하여 패터닝된다.
[0022] 포토레지스트(108)는 전자기 방사(electromagnetic radiation)의 특정 파장에 민감한 폴리머 재료일 수 있으며, 스핀 코팅 프로세스 또는 CVD 프로세스를 통해 도포될 수 있다. 몇몇 실시예들에서, 포토레지스트(108)는 자외선에 민감한 탄소계(carbon-based) 폴리머, 이를테면 페놀 수지, 에폭시 수지 또는 아조 나프테닉 수지(azo napthenic resin)이다. 포토레지스트(108)는 포지티브 또는 네거티브 포토레지스트일 수 있다. 바람직한 포지티브 포토레지스트들은, 248 nm 포토레지스트, 193 nm 포토레지스트, 157 nm 포토레지스트, 및 디아조나프토퀴논 증감제(diazonapthoquinone sensitizer)를 갖는 페놀 수지 매트릭스로 이루어진 그룹으로부터 선택될 수 있다. 바람직한 네거티브 포토레지스트들은, 폴리-시스-이소프렌 및 폴리-비닐신나메이트(poly-vinylcinnamate)로 이루어진 그룹으로부터 선택될 수 있다. 특히, 포토레지스트 재료들은 다층 기판(117)에 존재하는 다른 비-탄소질 층들보다 훨씬 더 낮은 온도들에서 분해될 것이다. 전형적인 포토레지스트 분해 온도들은 100 ℃ 내지 150 ℃ 범위이며, 결과적으로, 손상된 패터닝 성능 및 불량한 프로세스 수율을 초래한다.
[0023] 도 1a의 박스(103)에 따르면, 그리고 도 1d에 의해 예시된 바와 같이, 등각적 탄소 막 층(109)이, 패터닝된 포토레지스트(108)에 의해 형성되는 필드 영역(108A), 측벽들(108B) 및 바닥 부분(108C), 및 감소된 치수 패턴 전사 하드마스크 층(110)의 감소된 치수 피처(110A) 위에 증착된다. 등각적 탄소 막 층(109)은, 다층 기판(117)을 포함하는 반응기에 제공되는 가스 전구체들로부터의 PECVD 프로세스에 의해, 패터닝된 포토레지스트(108) 위에 배치될 수 있다.
[0024] 본 개시내용의 실시예들은, 플라즈마 강화 화학 기상 증착(PECVD) 챔버와 같은 임의의 적합한 프로세싱 챔버를 사용하여 수행될 수 있다. 프로세싱 챔버는, 다층 기판(117)을 유지하기 위한 온도 제어형 척을 갖는 기판 프로세싱 시스템에 통합될 수 있다. 적합한 시스템들의 예들은, 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능한, DxZTM 프로세싱 챔버를 사용할 수 있는 CENTURA
Figure pct00001
시스템들, PRECISION 5000
Figure pct00002
시스템들, PRODUCERTM 시스템들, PRODUCERTM GTTM 및 PRODUCERTM SETM 프로세싱 챔버들을 포함한다. 다른 제조업자들로부터 입수가능한 프로세싱 시스템들을 포함하는 다른 프로세싱 시스템들이, 본원에서 설명되는 실시예들을 실시하기 위해 적응될 수 있음이 고려된다.
[0025] PECVD에 의해 등각적 탄소 막 층(109)을 형성하는 데에 사용되는 탄소 함유 전구체들은, 일반적으로, 알칸들, 알켄들 및 알킨들로부터 선택되는 탄화수소들로 구성된다. 무기 질소 함유 전구체들이, 바람직한 등각적 탄소 막 층(109)을 질소 도핑(nitrogen dope)하는 데에 사용될 수 있으며, 암모니아(NH3), 아산화질소(N2O) 또는 하이드라진(N2H2)을 포함한다. 대안적으로, 아민들 등과 같은 질소 함유 탄소 전구체들이 사용될 수 있고, 화학식 CxHyNz에 의해 설명될 수 있으며, 여기서, x는 1 내지 12의 범위를 갖고, y는 2 내지 20의 범위를 가지며, 그리고 z는 1 내지 10의 범위를 갖는다. 적합한 질소 함유 탄화수소 화합물들은 다음의 화합물들: 메틸아민, 디메틸아민, 트리메틸아민(TMA), 트리에틸아민, 아닐린, 퀴놀린, 피리딘, 아크릴로니트릴 및 벤조니트릴 중에서 하나 또는 그 초과를 포함할 수 있다.
[0026] 플라즈마-개시 가스는, 탄화수소 화합물과 동시에 그리고/또는 탄화수소 화합물 이전에 PECVD 챔버 내로 도입될 수 있으며, 그리고 증착을 시작하기 위해 플라즈마가 개시된다. 플라즈마-개시 가스는, 헬륨 가스, 수소 가스, 질소 가스, 아르곤 가스, 및 이들의 조합들 및 혼합물들을 포함하는 (이에 제한되지는 않음) 높은 이온화 전위 가스일 수 있다. 플라즈마-개시 가스는 또한 화학적으로 비활성인 가스, 이를테면 헬륨 가스, 질소 가스 또는 아르곤 가스일 수 있다. 가스들에 대한 적합한 이온화 전위들은 약 5 eV(electron potential) 내지 25 eV 이다. 플라즈마-개시 가스는, 질소 함유 탄화수소 소스 및/또는 탄화수소 소스 이전에 PECVD 챔버 내로 도입될 수 있으며, 이는 안정적인 플라즈마가 형성되도록 허용하며 그리고 아킹(arcing)의 가능성들을 감소시킨다.
[0027] 비활성 가스는, 탄화수소 소스, 플라즈마-개시 가스, 질소 함유 탄화수소 소스, 또는 이들의 조합들과 함께 유동시키기 위한 캐리어 가스 또는 희석 가스로서 사용될 수 있다. 적합한 희석 가스들은, 아르곤(Ar), 헬륨(He), 수소(H2), 질소(N2), 암모니아(NH3), 노블 가스(noble gas)들, 이를테면 크립톤(Kr), 크세논(Xe), 또는 이들의 임의의 조합들을 포함할 수 있다. 몇몇 실시예들에서, 경제성(economy)의 이유들로, 아르곤이 희석 가스로서 사용된다. 아르곤(Ar), 헬륨(He), 및/또는 질소(N2)가 등각적 탄소 층의 밀도 및 증착 레이트를 제어하는 데에 사용될 수 있다. 다른 실시예들에서, H2 및/또는 NH3의 첨가(addition)는 등각적 탄소 층의 수소 비율을 제어하는 데에 사용될 수 있다. 아세틸렌(C2H2)과 같은 알킨들 또는 프로필렌과 같은 알켄들이 탄화수소 소스로서 사용될 수 있는 경우들에서는, 캐리어 가스가 증착 동안 사용되지 않을 수도 있다.
[0028] 프로세싱 동안, 질소-도핑되는 등각적 탄소 층을 증착하기 위해, 탄화수소 소스, 질소-함유 가스 및 희석 가스가 PECVD 챔버 내로 도입될 수 있다. 탄화수소 소스는 상기 논의된 바와 같은 임의의 적합한 탄화수소 화합물일 수 있다. 액체 탄화수소 소스가 사용되는 경우, 전구체 유동은 약 50 mg/min 내지 약 1000 mg/min 일 수 있다. 가스 탄화수소 소스가 사용되는 경우, 전구체 유동은 약 100 sccm 내지 약 5000 sccm, 예를 들어 약 200 sccm 내지 약 600 sccm 일 수 있다. 캐리어 가스가 사용되는 경우, 캐리어 유동은 약 500 sccm 내지 약 10000 sccm 일 수 있다. 플라즈마-개시 가스는 상기 논의된 바와 같은 임의의 적합한 플라즈마-개시 가스일 수 있고, 약 0 sccm 내지 약 50,000 sccm, 예를 들어 약 400 sccm 내지 약 8,000 sccm의 유량으로 유동될 수 있다. 희석 가스는 상기 설명된 바와 같은 임의의 희석 가스일 수 있고, 약 0 sccm 내지 약 5,000 sccm, 예를 들어 약 500 sccm 내지 약 1,000 sccm의 유량으로 공급될 수 있다.
[0029] 다양한 실시예들에서, 질소-함유 가스는, 약 1:100 내지 약 20:1, 예를 들어 약 1:40 내지 약 10:1의, 질소-함유 가스 대 탄화수소 소스 비율로 도입될 수 있다. 희석 가스는, 약 2:1 내지 약 40:1, 예를 들어 약 20:1 내지 약 30:1의, 희석 가스 대 탄화수소 소스 비율로 도입될 수 있다. 일 실시예에서, 탄화수소 소스:질소-함유 가스:플라즈마-개시 가스:희석 가스의 체적 유량은, 예를 들어 약 1:1:0.5:20, 예를 들어 약 1:0.5:0.5:20, 예를 들어 약 1:0.2:0.5:20, 예를 들어 약 1:0.2:0.5:30, 예를 들어 약 1:0.2:0.5:40의 비율이다. 일 실시예에서, 탄화수소 소스:플라즈마-개시 가스:희석 가스의 체적 유량은, 약 1:0.5:20 내지 약 1:10:20, 예를 들어 약 1:0.8:20, 약 1:1:20, 약 1:1.5:20, 약 1:1.8:20, 약 1:2:20, 약 1:2.5:20, 약 1:3:20, 약 1:3.5:20, 약 1:4:20, 약 1:4.5:20, 약 1:5:20, 약 1:5.5:20, 약 1:6:20, 약 1:8:20, 약 1:10:20 또는 그 초과, 예를 들어 약 1:15:20의 비율이다.
[0030] (상기 설명된 바와 같은) 질소-함유 탄화수소 소스가 사용되는 경우, 질소-함유 탄화수소 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 500 sccm 내지 약 1,500 sccm의 유량으로 유동될 수 있다. 질소-함유 탄화수소 소스가 액체 전구체(liquid precursor)인 경우, 질소-함유 탄화수소 소스 유동은 15 mg/min 내지 2,000 mg/min, 예를 들어 100 mg/min 내지 1,000 mg/min 일 수 있다. 일 실시예에서, 질소-함유 탄화수소 소스:플라즈마-개시 가스:희석 가스의 체적 유량은, 예를 들어 약 1:0.5:20, 예를 들어 약 1:0.2:20, 예를 들어 약 1:0.8:20, 예를 들어 약 1:1:20, 예를 들어 약 1:0.5:30, 예를 들어 약 1:0.5:40의 비율이다.
[0031] 증착 동안, 포토레지스트의 분해를 막기 위해, 다층 기판(117)의 온도는 약 25 ℃ 내지 약 100 ℃로 유지될 수 있다. 프로세스 챔버는, 약 100 mTorr 내지 약 100 Torr, 예를 들어 약 2 Torr 내지 약 15 Torr, 예를 들어 약 8 Torr 또는 그 초과, 이를테면 약 20 Torr의 챔버 압력으로 유지될 수 있다. 기판 표면 영역에 약 0.001 W/cm2 내지 약 5 W/cm2, 이를테면 약 0.01 W/cm2 내지 약 1 W/cm2, 예를 들어 약 0.04 W/cm2 내지 약 0.07 W/cm2의 전력 밀도로 RF 전력을 인가함으로써, 플라즈마가 발생될 수 있다. 전력 인가(power application)는, 300 mm 기판에 대해, 약 1 Watt 내지 약 2,000 watts, 이를테면 약 10 W 내지 약 100 W 일 수 있다. RF 전력은 단일 주파수 또는 이중 주파수일 수 있다. 이중 주파수 RF 전력 인가는 플럭스(flux) 및 이온 에너지의 독립적인 제어를 제공하는 것으로 여겨지는데, 이는 막 표면을 치는 이온들의 에너지가 막 밀도에 영향을 미치기 때문이다. 인가되는 RF 전력, 및 하나 또는 그 초과의 주파수들의 사용은, 사용되는 장비 및 기판 크기에 기초하여 달라질 수 있다. 단일 주파수 전력이 사용되는 경우, 주파수 전력은 약 10 KHz 내지 약 30 MHz, 예를 들어 약 13.56 MHz 또는 그 초과, 이를테면 27 MHz 또는 60 MHz 일 수 있다. 플라즈마를 발생시키기 위해 이중-주파수 RF 전력이 사용되는 경우, 혼합된(mixed) RF 전력이 사용될 수 있다. 혼합된 RF 전력은, 약 10 MHz 내지 약 60 MHz 범위, 예를 들어, 약 13.56 MHz, 27 MHz 또는 60 MHz의 고 주파수 전력, 뿐만 아니라, 약 10 KHz 내지 약 1 MHz 범위, 예를 들어 약 350 KHz의 저 주파수 전력을 제공할 수 있다. 전극 간격, 즉 기판과 샤워헤드 간의 거리는, 약 200 mils 내지 약 1000 mils, 예를 들어, 약 280 mils 내지 약 300 mils의 간격일 수 있다.
[0032] 본원에서 논의되는 바와 같은 프로세스 범위는, 약 10 Å/min 내지 약 30,000 Å/min 범위의, 질소-도핑된 등각적 탄소 층에 대한 증착 레이트를 제공한다. 증착 직후(as-deposited)의 질소-도핑된 등각적 탄소 층은, 약 0.1% 질소 내지 약 10% 질소 범위, 이를테면 약 2% 내지 약 6%의 탄소:질소 비율을 가질 수 있다. 일 예에서, 질소-도핑된 탄소 층은, 약 50% 탄소 내지 약 99.9% 탄소의 범위들, 약 0.01% 질소 내지 약 25% 질소의 질소 범위들, 및 약 0% 수소 내지 약 25% 수소의 수소 범위들의 원소 조성(elemental composition)을 포함한다.
[0033] 상기 논의된 바와 같이, 적합한 조건들에서, 탄소, 질소 및 수소 원자들의 일부 조합을 포함하는 에너제틱(energetic) 플라즈마가, 패터닝된 포토레지스트(108)의 표면과 반응하고 바인딩(bind)하여, 등각적 탄소 막 층(109)을 형성한다. 등각적 탄소 막 층(109)은 포토레지스트(108)의 표면 위에 균일하게 성장되며, 포토레지스트(108)의 연성(soft) 탄소 표면에 물리적으로 그리고 화학적으로 부착된다. 유리하게는, 포토레지스트 표면의 낮은 열 에너지 및 낮은 증착 온도는, 충돌하는(impinging) 에너제틱 탄소, 질소 및 수소 원자들의 탈착(desorption)을 감소시키며, 그에 따라, 연질 탄소 포토레지스트 표면에 대한 원자들의 더 많은 점착(sticking) 및 바인딩(binding)을 이끄는 것으로 여겨진다. 이론에 구속되지 않으면서, 탄소질 포토레지스트의 표면에 대한 등각적 탄소 막의 화학 결합은 탄소-탄소 결합 및 탄소-질소 결합에 의해 실현될 수 있는 것으로 여겨진다. 본원에서 수행되는 플라즈마 프로세스들은, 이를테면 탄소 함유 포토레지스트와 같은 기판 표면에서 원자들의 만족스럽지 않은 화학적 원자가(chemical valence) 및 댕글링 결합(dangling bond)들을 생성할 수 있다. 표면에서, 탄소 댕글링 결합들은 에너제틱 탄소 라디칼들과 결합하여, 새로운 화학 결합들을 형성한다.
[0034] 저온 방법들은 전형적으로, 등각적 탄소 막 층(109)의 보다 높은 성장 레이트들 및 더 낮은 내인성(intrinsic) 탄소 층 응력들을 산출한다. 비교해 보면, 탄소의 보다 높은 온도 증착(deposit)들은, 증가된 교차 결합, 축소(shrinkage), 및 밀도의 차이들로 인해 보다 높은 응력들을 특징으로 하며, 이는 등각적 탄소 막 층(109)의 박리 및 포토레지스트(108)의 변형(distortion)을 이끌 수 있다. 몇몇 실시예들에서, 탄화수소 및 질소 전구체들의 신중한 선택에 의해, 추가의 예기치 않은 장점들이 실현된다. 예를 들어, 프로필렌 및 암모니아는, 패터닝된 포토레지스트에 대한 강화된 접착력, 스텝 커버리지 및 다른 바람직한 탄소 층 특성들을 갖는 탄소 층들을 생성할 수 있다. 일 예에서, 프로필렌 가스 및 암모니아 가스는, 약 50:1 내지 약 5:1의, 탄화수소 소스와 질소 소스의 체적 비율로 프로세싱 챔버 내로 도입될 수 있으며, 약 0.01 W/cm2 내지 약 10 W/cm2의 전력 밀도로 RF 전력을 인가함으로써, 프로세싱 챔버 내에서 플라즈마가 발생된다.
[0035] 다음으로, 박스(104)에서, 도 1e에 예시된 바와 같이, 이방성 에칭 프로세스를 사용하여 등각적 탄소 막 층(109)이 제거된다. 여기서, 등각적 탄소 막 층(109)은 플라즈마 에칭 프로세스를 사용하여 피처의 바닥(bottom) 및 상단(top) 필드 영역으로부터 제거된다. 몇몇 실시예들에서, 선택적 에칭 프로세스는, 기판의 수평 표면들로부터만 재료를 에칭하도록 설계된 이방성 에칭 프로세스일 수 있다. 그러한 프로세스들은, 플라즈마 내의 이온들이 기판 표면을 향해 가속하도록 촉진하기 위해 기판에 인가되는 전기 바이어스를 갖는 플라즈마 에천트(plasma etchant)를 특징으로 할 수 있으며, 그에 따라, 도 1e에 도시된 바와 같이 바닥으로부터 등각적 탄소 막 층(109)을 제거할 수 있다. 동시에, 그러한 프로세스는 또한, 도 1e에 또한 예시된 바와 같이, 포토레지스트(108)의 필드 영역으로부터 등각적 탄소 막 층(109)의 상당한 제거를 초래할 수 있다. 불소 및 산소 이온들을 사용하는 반응성 이온 에칭이, 본 개시내용의 실시예들을 실시하는 데에 유용한 선택적 에칭 프로세스의 하나의 예이다. 다른 적합한 에칭 방법들, 이를테면 비-반응성 이온들에 의한 에칭이 또한 사용될 수 있다.
[0036] 패턴 전사 하드마스크 층(110)은 물리 기상 증착(PVD) 프로세스로부터 도출되는 하드마스크 층일 수 있으며, 그리고 실리콘 산화물 또는 실리콘 풍부(rich) 산화물, 또는 PVD SiN 또는 실리콘 풍부 SiN, 또는 SiC 또는 실리콘 풍부 SiC, 또는 지금까지 SiOwNx:Hy로서 지칭되는 화합물들 내로의 수소의 제어된 도핑을 포함하는 변형을 포함하는 이전의 것들의 조합으로 구성될 수 있으며, w, x, y는 0% 내지 100%의 농도로 달라질 수 있다. 패턴 전사 하드마스크 층(110)은 이후의 에칭 시퀀스들에 대한 에칭 마스크의 역할을 할 것이고, 유전체 층, 반사 방지 층, 또는 배리어 층일 수 있으며, 그리고 하나 초과의 그러한 특성을 보유할 수 있다.
[0037] SiOwNx:Hy 하드마스크 층(110)은, 포토레지스트(108)에 대해 충분히 매칭되는 광학 특성들을 갖는 하드마스크로서 생성된다. 하드마스크 층(110)의 굴절률(n) 및 흡광 계수(k)와 같은 광학 특성들은 포토레지스트(108)에 대해 매칭되며, 그에 따라, 포토레지스트(108)와 하드마스크 층(110)의 인터페이스는 반사들을 생성하지 않는데, 이러한 반사들은 리소그래피 패터닝 프로세스를 손상시켜서, 형성되는 리소그래피 패턴의 CD에 영향을 줄 수 있다. 몇몇 실시예들에서, 하드마스크 및 포토레지스트의 광학 특성들의 매칭은, 리소그래피, 에칭, 포토레지스트 스트립 및 포토레지스트의 재도포(reapplication)의 다수의 시퀀스들이 하드마스크 층(110) 상에서 직접적으로 수행되도록 허용한다. 더욱이, 하드마스크 층(110)이 형성되는 재료가, 포토레지스트(108) 및 등각적 탄소 막 층(109)을 제거하는 데에 사용되는 이후의 플라즈마 보조 애싱 프로세스에 의해 영향을 받지 않기 때문에, 그에 따라, 이후의 리소그래피, 에칭, 포토레지스트 스트립 및 포토레지스트 재도포의 프로세스 사이클들이, 하드마스크 층(110) 내에 바람직한 패턴을 형성하는 데에 필요한 만큼의 많은 횟수로 수행되도록 허용한다. 일 실시예에서, 포토레지스트(108) 및 하드마스크 층(110)은, 193 nm의 파장에서 0.00 내지 0.12와 같은, 이를테면 0.05의 흡광 계수(k), 및 1.6 내지 1.7과 같은 굴절률(n)을 갖는다. 결과적으로, 노광 전자기 에너지는 하드마스크 층(110)과 그 상부의 포토레지스트(108)의 물리적 인터페이스에서 반사되거나 굴절되지 않을 것이다.
[0038] SiOwNx:Hy 하드마스크 층(110)을 스퍼터 증착하도록 적응될 수 있으며 그러한 스퍼터 증착에 적합한 PVD 프로세스 챔버(예를 들어, 스퍼터 프로세스 챔버)의 하나의 예는, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 ImpulseTM 펄스형(Pulsed) DC PVD 유전체 챔버이다. 다른 제조업자들로부터의 스퍼터 프로세스 챔버들을 포함하는 다른 스퍼터 프로세스 챔버들이 본 개시내용을 실시하도록 적응될 수 있음이 고려된다.
[0039] 다음으로, 박스(105)에서, 도 1f에 예시된 바와 같이, 측벽들(108B) 상에 증착된 등각적 탄소 막 층(109)은, 하드마스크 층(110) 내에 감소된 치수 피처(110A)를 생성하기 위한 에칭 마스크의 역할을 한다. 측벽들(108B) 상의 등각적 탄소 막 층(109)의 두께가 하드마스크 층(110) 내로 에칭되는 패턴의 임계 치수(CD)를 정의한다. 예를 들어, 포토레지스트 내에 최초에 형성된 패턴 또는 리세스가 40 nm 폭(wide)인 경우, 대향 측벽들 상의 5 nm 폭의 등각적 탄소 층은, 방향성(directional) 또는 이방성 에칭 이후, 하드마스크 층(110) 내에 에칭되는 패턴의 폭을 30 nm로 감소시킬 것이다. 등각적 탄소 막 층(109)이, 하드마스크 층(110)을 에칭하는 데에 사용되는 에천트에 대해 높은 에칭 선택성을 갖는 재료로 형성되는 경우, 등각적 탄소 막 층(109)은 단지 느리게 에칭되거나 또는 전혀 에칭되지 않을 것이며, 그에 따라, 도 1f에 도시된 바와 같이, 하드마스크 층(110) 내에 에칭되는 감소된 치수 피처(110A)를 남길 것이다. 하드마스크 층(110)의 에칭은, 하드마스크 층(110)이 형성되는 재료를 에칭하기 위한 임의의 알려진 방법에 의해 수행될 수 있지만, 바람직하게는, 등각적 탄소 막 층(109)을 상당히 에칭하지 않을 프로세스에 의해 수행될 것이다. 반응성 또는 비-반응성 이온들을 사용하여 바이어스 하에서 에칭하는 것과 같은 방향성 에칭은, 하드마스크 층(110) 내에 감소된 치수 패턴을 에칭하는 동안 등각적 탄소 막 층(109)의 측벽 잔류물들(sidewall remnants)을 보존하는 데에 유리할 수 있다. 방향성의 선택적 에칭 프로세스는, 기판의 수평 표면들로부터만 재료를 에칭하도록 설계된 방향성 또는 이방성 에칭 프로세스일 수 있다. 그러한 프로세스들은, 플라즈마 내의 이온들이 기판 표면을 향해 가속하도록 촉진하기 위해 기판에 인가되는 전기 바이어스를 갖는 플라즈마 에천트를 특징으로 할 수 있다. 그러한 프로세스들에서, 가속된 이온들은 일반적으로, 도 1e-1f에 도시된 바와 같이 대다수의 반응 종이 리세스의 바닥 부분에 충돌하도록, 패턴 리세스 내로 깊게 이동할 것이다. 불소 및 산소 이온들을 사용하는 반응성 이온 에칭이, 본 개시내용의 실시예들을 실시하는 데에 유용한 선택적 에칭 프로세스의 하나의 예이다. 다른 에칭 방법들, 이를테면 비-반응성 이온들에 의한 에칭이 또한 사용될 수 있다.
[0040] 다음으로, 박스(106)에서, 도 1g에 예시된 바와 같이, 건식 플라즈마 애싱 프로세스가 유리하게는, 탄소질 등각적 탄소 막 층(109) 및 포토레지스트(108)의 동시 제거를 위해 사용된다. 몇몇 실시예들에서, 탄소질 등각적 탄소 막 층(109)은, 애싱 프로세스 동안의 재료 제거 레이트가 애싱 프로세스 동안의 포토레지스트(108)의 제거 레이트와 실질적으로 유사하도록 형성된다. 도 1a에 도시된 바와 같이, 박스들(101-106)의 이러한 프로세스는, 도 1h에 예시된 바와 같이 하드마스크 층(110) 내에 다수의 감소된 치수 피처들(110A)을 생성하기 위해 다수 횟수들로 반복될 수 있다.
[0041] 박스(107)에서, 도 1i에서 기판(116) 위에 배치된 활성 층(115) 내에 감소된 치수를 달성하기 위해 다수의 동작들이 수행된다. 활성 층(115)은, 유전체 재료 내에 패터닝되는 감소된 치수 라인들 및 비아들(예를 들어, 아이템(115A))로 구성되는 활성 층으로서 설명될 수 있다. 본원에서 설명되는 바와 같이, 방법(100)은 특정 리소그래피 장치 또는 프로세스의 능력보다 작은 임계 치수를 갖는 패턴들을 발생시키는 데에 유용할 수 있다.
[0042] 방법(200)은 방법(100)과 관련된 프로세스 스킴(process scheme)을 나타내며, 그리고 이전에 설명된 바와 같이, 라인들 및 비아들을 포함할 수 있는 디바이스 활성 층(115) 내에 감소된 치수들을 생성하는 데에 사용되는 하드마스크(208)를 산출한다. 간결함을 위해, 포토레지스트 증착 및 패터닝은 방법(200)에서 도시되지 않지만, 상기 논의된 박스들(101 및 102)에서 수행되는 프로세스들을 포함할 것이다. 여기에서, 도 2a는 본 개시내용의 일 실시예에 따른 방법(200)을 예시하는 흐름도이다. 도 2b-2e는 도 2a에서 설명되는 바와 같은 패터닝 프로세스의 단면도들을 나타내며, 방법(200)의 다양한 스테이지들에서의 다층 기판(211)을 도시한다. 도 2b는 다층 기판(211)을 구성하는 다수의 가능한 층들을 예시한다. 주목할만한 추가는 반사 방지 탄소질(anti-reflective carbonaceous)(ARC) 층(207)이다.
[0043] 방법(200)에서, 다층 기판(211)이 플라즈마 프로세싱 챔버에 제공되고, 다층 기판(211)은 온도 제어형 기판 홀더 또는 척 상에 상주한다. 다층 기판(211)은 포토레지스트(206)의 분해 온도 미만의 온도로 평형화된다. 도 2b에 도시된 바와 같이, 그리고 박스(201)에 따라, 등각적 탄소 층(205)이, 패터닝된 포토레지스트(206)의 필드 영역(206A), 측벽들(206B) 및 바닥 리세스(206C) 위에 증착된다. 이에 따라, 포토레지스트(206)는, 하드마스크(208) 상에 증착된 반사 방지 탄소질(ARC) 층(207) 위에 배치된다. 하드마스크(208)는 SiOwNx:Hy를 포함할 수 있으며, 포토레지스트(206)에 대해 충분히 매칭되는 광학 특성들을 가질 수 있다. 이후, 하드마스크(208)는 박스들(101-107)에서 이전에 설명된 방법들에 의해 패터닝될 수 있다. 몇몇 구성들에서, 에칭 중지 층(209)이 하드마스크 층일 수 있다.
[0044] ARC 층(207)은, 전형적으로 스핀 코팅 기법들에 의해 증착되는 폴리아미드들 및 폴리술폰들에 의해 대표되는 유기 재료일 수 있다. ARC 재료들은 전형적으로, 포토레지스트의 패턴 이미징 동안 디바이스 기판으로부터 반사될 가능성이 있는 방사선 파장들을 흡수할 수 있는 발색(chromophoric) 구조들을 포함하며, 이러한 메커니즘에 의해, 하부의 표면으로부터의 반사들의 해로운 영향들을 감소시키거나 없앤다.
[0045] 박스(202)에서, 도 2c에 예시된 바와 같이, 이방성 플라즈마 에칭 프로세스를 수행하여, 상단 필드 영역(206A) 및/또는 바닥 리세스(206C)로부터 등각적 탄소 층(205)을 제거할뿐만 아니라, 패터닝된 하드마스크(208) 위에 배치된, 탄소질 ARC 층(207A)의 일부분을 제거한다. 이전에 설명한 바와 같이, 선택적 에칭 프로세스는, 기판들의 표면들로부터 재료를 선택적으로 에칭하도록 설계된 이방성 에칭 프로세스일 수 있다.
[0046] 다음으로, 박스(203)에서, 도 2d에 예시된 바와 같이, 이방성 에칭 프로세스가 하드마스크(208)에 감소된 치수 패턴을 전사시킨다. 박스(203)에서, 제거되는 부분(208A)은 하드마스크(208) 층으로부터 제거된다. 식각 중지 층(209)은, 박스(203) 동안 수행되는 프로세스들 동안 하부의 기판(210)을 에칭으로부터 보호한다.
[0047] 다음으로, 박스(204)에서, 도 2e에 예시된 바와 같이, 3개의 탄소질 층들(205-207)을 플라즈마 애싱 프로세스에 의해 동시에 신속하게 제거하여, 제거된 부분들(208A)이 내부에 형성된 하부의 패터닝된 하드마스크(208)가 드러나게 한다. 박스들(201 내지 203)에 의해 나타낸 동작들은, 하드마스크(208) 내의 요구되는 피처 피치가 실현될 때 까지 반복될 수 있다. 방법(200)에서 이와 같이 설명된 일반적인 프로세스는, 특정 리소그래피 장치의 능력보다 작은 임계 치수들을 갖는 패턴들을 발생시키는 데에 유용할 수 있으며, 치수 축소 등각적 탄소 층(205)의 사용을 통합한다.
[0048] 본 개시내용의 다른 실시예들에서, 다층 기판(들)(117, 211)은, PVD 증착되는 SiOwNx:Hy 하드마스크 층(110)을 보완하기 위해 PVD에 의해 증착되는 다른 층들을 특징으로 할 수 있다. 예를 들어, 도 1b에서의 ARC 층(111)이 PECVD에 의해 또는 스핀-온 프로세스에 의해 증착된다. 광학적 평탄화 스핀-온 탄소 층(112)을 여전히 유지하면서, ARC 층(111)은 새로운 PVD 유전체 층으로 대체될 수 있다.
[0049] 도 3a 및 3b는 상부의 포토레지스트 층에 대해 광학적으로 매칭되는 하드마스크 내에 형성되는 감소된 치수 패턴을 예시한다. 패터닝된 포토레지스트의 필드 영역, 측벽들, 및 바닥 부분, 및 하부의 하드마스크에 대한 치수 축소 등각적 탄소 층의 도포는, 포토레지스트의 분해 온도 미만의 온도들에서 이루어질 수 있다. 또한, 하드마스크 및 패터닝된 포토레지스트의 바닥 부분으로부터 등각적 탄소 층의 제거가 에칭 프로세스에 의해 수행되어, 하드마스크를 노출시킬 수 있으며, 그리고 바닥 부분에서 노출된 하드마스크 기판을 에칭한 이후, 등각적 탄소 층, 포토레지스트, 및 다른 탄소질 컴포넌트들을 동시에 제거한다. 도 3a 및 3b에 도시된 바와 같이, 추가의 패턴 전사를 위해 감소된 치수 피처들을 갖는 하드마스크가 산출될 수 있다.
[0050] 더욱이, 도 3a 및 3b는 포토레지스트 층 상에 직접적으로 증착되는 저온 등각적 스트리핑가능 유기 층을 갖는 다층 기판(311)을 예시한다. 유기 층의 사용은, 포토레지스트 스트립 프로세스와 동시에 유기 재료가 건조 산소계 플라즈마(dry oxygen based plasma)에서 스트리핑되어 제거되도록 허용한다. 도 3a 및 3b에 도시된 바와 같이, 특정 실시예들에서, 다층 기판(311)은 기판(310), 기판(310) 위에 배치된 활성 층(309), 및 활성 층(309) 상에 형성된 산화물 층(308)을 포함할 수 있다. 다층 기판(311)은 또한, 산화물 층(308) 위에 형성된 티타늄 질화물 하드마스크 층(307), 티타늄 질화물 하드마스크 층(307) 상에 형성된 스핀 온 탄소 층(306), 다목적 PVD 유전체 층(305), 하드마스크 층(304), 하드마스크 층(304) 위에 배치된 포토레지스트 층(302), 및 포토레지스트 층(302) 위에 있는 포토레지스트 축소 층(301)을 포함할 수 있다. 더욱이, 개구(303)가 포토레지스트 축소 층(301) 내에 형성될 수 있다. 포토레지스트 축소 층(301)은, 등각적 탄소 막 층(109) 및/또는 등각적 탄소 층(205)과 관련하여 상기 설명된 프로세스들과 유사한 프로세스를 사용하여 형성된다.
[0051] 이제, 이러한 그리고 다른 관련 실시예들이, 다층 기판(311)을 예시하는 도 3a에서 도시되며, 일 예에서, 새로운 다목적 PVD 유전체 층(305)이, 상기 언급한 PECVD 또는 스핀-온 ARC 층(111)을 대체한다. 새로운 다목적 PVD 유전체 층(305)은 또한, 2개 또는 그 초과의 층들을, 조정가능한(tunable) 특성들을 가질 수 있는 다목적 PVD 유전체 층(305)으로 대체하는 것을 수반할 수 있으며, 다목적 PVD 유전체 층(305)은 결합된 ARC, 에칭 중지, 및 애싱 배리어의 역할을 할 수 있다. 몇몇 실시예들에서, 도 1b의 ARC 층(111) 및 스핀-온 탄소 층(112) 양자 모두는, 결합된 ARC, 에칭 중지, 및 애싱 배리어의 역할을 할 수 있는, 도 3b의 다목적 PVD 유전체 층(305)에 의해 대체된다. 하지만, 다른 실시예에서는, 도 3a에 도시된 바와 같이, 스핀 온 탄소 층(306)이 존재할 수 있다.
[0052] 도 2a에서뿐만 아니라 방법(200)에서 설명된 동작들을 활용하여, 도 3a 및 도 3b에 도시된 바와 같이, 기판(310) 상에 다수의 층 스택들이 형성될 수 있다. 도 3a-3b는 기판(310) 상에 형성되는 예시적인 다층 구조들을 나타내는 단면도들을 예시한다. 도 3a에 도시된 바와 같이, 활성 층(309)이 기판(310) 위에 배치될 수 있다. 활성 층(309)은 도 1g의 활성 층(115)과 실질적으로 유사할 수 있다. 활성 층(309)은, 유전체 재료 내에 형성되는 감소된 치수 금속 라인들 및/또는 비아들에 연결되도록 프로세싱될 수 있다. 도 3a-3b에 도시된 바와 같이, 산화물 층(308)이 활성 층(309) 상에 형성될 수 있다. 더욱이, 티타늄 질화물 하드마스크 층(307)이 산화물 층(308) 상에 형성될 수 있다.
[0053] 포토레지스트 층(302)이 하드마스크 층(304) 위에 배치되고, 리소그래피 타입 프로세스를 사용하여 패터닝될 수 있다. 포토레지스트 층(302)은 전자기 방사의 특정 파장에 민감한 폴리머 재료일 수 있으며, 스핀 코팅 프로세스 또는 CVD 프로세스를 통해 도포될 수 있다. 몇몇 실시예들에서, 포토레지스트 층(302)은 자외선에 민감한 탄소계 폴리머, 이를테면 페놀 수지, 에폭시 수지 또는 아조 나프테닉 수지이다. 포토레지스트 층(302)은 포지티브 또는 네거티브 포토레지스트일 수 있다. 하드마스크 층(304)은 상기 설명된 하드마스크 층(110)과 유사할 수 있다. 포토레지스트 층(302)은, 포토레지스트(108) 및 포토레지스트(206)와 관련하여 상기 설명된 프로세스들과 유사한 프로세스를 사용하여 형성된다.
[0054] 상부의 포토레지스트 층(302)에 대해 광학적으로 매칭되는 하드마스크 층(304) 내에 감소된 치수 패턴이 형성될 수 있다. 포토레지스트 축소 층(301)이 포토레지스트 층(302) 위에 놓일 수 있다. 도 3a-3b에 도시된 바와 같이, 포토레지스트 층(302) 및 포토레지스트 축소 층(301)은 디바이스 스택의 다양한 층들 내에 피처들을 패터닝하고 형성하는 데에 사용될 수 있다. 더욱이, 에칭 프로세스에 의해 하드마스크 층(304) 및 패터닝된 포토레지스트 층(302)의 바닥 부분으로부터 등각적 탄소 층(306)을 제거하게 되면, 그 내에 형성되는 개구(303)를 통해 티타늄 질화물 하드마스크 층(307)을 노출시킬 수 있으며, 그에 따라, 추가의 패턴 전사를 위해 감소된 치수 피처들을 갖는 하드마스크를 산출할 수 있다.
[0055] ARC 층(111) 및 스핀-온 탄소 층(112)을 다목적 PVD 유전체 층(305)으로 대체하는 것을 수반하는 상기 설명된 실시예들로부터 장점들이 실현된다. 몇몇 실시예들에서, PVD 증착되는 SiOwNx:Hy 하드마스크 층(304), 및 다목적 PVD 유전체 층(305)은, 동일한 PVD 프로세스 챔버 또는 툴을 사용하여 증착될 수 있으며, 그리고 유사한 증착 파라미터들 및 전구체들을 활용할 수 있다. 이와 같이 설명된 하나 초과의 PVD 층의 순차적인 인시츄(in-situ) 증착은, ARC 층(111) 및 스핀-온 탄소 층(112)을 형성하는 데에 사용될 수 있는 개별적인 PECVD 및 스핀-온 프로세스들을 제거한다.
[0056] 다목적 PVD 유전체 층(305)은 저온들에서 증착되며, 그리고 고 순도 및 밀도, 조정가능한 굴절률, 포토레지스트 층(302)을 포이즈닝(poison)시키는 아민들의 제거, 및 4 nm에 근접하는 두께들에서의 허용가능한 성능을 특징으로 한다. 다목적 PVD 유전체 층(305)은 결합된 ARC, 에칭 중지, 및 애싱 배리어의 역할을 할 수 있다. 다목적 PVD 유전체 층(305)과 같은 PVD 유전체들의 에칭 중지 및 에칭 선택성이 또한 조정가능하며, 그에 따라, 다층 기판(311) 및 이와 같이 고려되는 다른 것들을 포함하는 공정 스킴들과 통합된다. 다목적 PVD 유전체 층(305)에 의해, 서브-층들 내로의 과도 에칭(over-etching)을 회피할 수 있다. 다목적 PVD 유전체 층(305)에 대해 고려되는 적합한 재료들은 SiOwNx:Hy, 실리콘 질화물, 티타늄 질화물, 알루미늄 질화물, 알루미늄 산질화물, 비정질 실리콘, 탄탈륨 산화물들, 및 티타늄 산화물들을 포함하지만, 이에 제한되지 않는다. 다목적 PVD 유전체 층(305)을 스퍼터 증착하도록 적응될 수 있으며 그러한 스퍼터 증착에 적합한 PVD 프로세스 챔버(예를 들어, 스퍼터 프로세스 챔버)는, 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가능한 ImpulseTM 펄스형(Pulsed) DC PVD 유전체 챔버를 포함한다. 다른 제조업자들로부터의 스퍼터 프로세스 챔버들을 포함하는 다른 스퍼터 프로세스 챔버들이 본 개시내용을 실시하도록 적응될 수 있음이 고려된다.
[0057] 본원에서 설명된 방법들 중 임의의 방법과 관련하여 설명된 방법들 및 실시예들에 대해, 등각적 탄소 층을 형성하기 위해 적어도 2:1의 수소 대 탄소(H:C) 비율을 갖는 탄화수소들을 사용하여, 고유하고 예기치 않은 결과가 실현되었는데, 이는 이러한 타입들의 탄화수소 재료들의 결합 구조로 인한 것이다. 도 4에 도시된 바와 같이, 스텝 커버리지 대 온도에 대한 역(inverse) 관계가 발견되었으며, 여기서 스텝 커버리지 및 부수적인 등각성은 온도가 감소함에 따라 증가하였다. 일 예에서, 2:1의 H:C 비율을 갖는 프로필렌(C3H6)은, ≤ 1:1의 H:C 비율을 갖는 그러한 전구체들과 대조적으로, 100 ℃ 미만의 온도들에서, 증가하는 등각성 및 스텝 커버리지를 예기치 않게 산출하였다. 다른 예에서, 프로필렌(C3H6)은, 질소 전구체 암모니아와 결합될 때, 우수한 접착력, 등각성, 및 도 4에 예시된 바와 같은, 스텝 커버리지 대 온도 관계를 갖는 탄소 층을 생성하였다.
[0058] 2:1 또는 그 초과의 H:C 비율을 갖는 탄화수소, 이를테면 프로필렌으로부터 등각적 탄소 층들을 포토레지스트 위에 증착하는 경우, 스텝 커버리지 대 온도의 역 관계는, 포토레지스트 패터닝된 치수들 그리고 화학적 및 물리적 특성들의 유지 및 보존을 가능하게 하는 팩터인데, 이는 등각적 탄소 층의 증착이 포토레지스트의 분해 온도보다 훨씬 낮은 온도에서 실시되기 때문이다.
[0059] 본 개시내용에서 설명된 방법들 및 실시예들과 결합되는, 상기 논의된 예기지 않은 결과들은, 일반적으로, 양호한 등각성, 스텝 커버리지, 낮은 응력, 포토레지스트에 대한 양호한 접착력 및 높은 에칭 선택성을 가지면서, 포토레지스트 위에 증착되는 탄소 층을 산출한다. 또한, 탄소 층 및 포토레지스트는 건식 애싱 프로세스들에 의해 동시에 제거될 수 있으며, 그에 따라, 이전에 구상되지 않은 보다 효율적인 프로세스 스킴들을 가능하게 할 수 있다.
[0060] 전술한 내용들이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 하드마스크(hardmask) 내에 감소된 치수 패턴을 형성하는 방법으로서,
    하드마스크 층 상에 패터닝된 포토레지스트 층을 형성하는 단계;
    플라즈마 프로세스에 의해, 상기 패터닝된 포토레지스트 상에 등각적(conformal) 탄소 층을 증착하는 단계 ― 상기 등각적 탄소 층은, 상기 패터닝된 포토레지스트 내에 형성된 피처(feature)의 필드 영역, 측벽들 및 바닥 부분 위에 배치됨 ― ;
    상기 하드마스크 층의 부분을 노출시키기 위해, 에칭 프로세스에 의해 상기 바닥 부분으로부터 상기 등각적 탄소 층을 제거하는 단계;
    상기 하드마스크 층 내에 리세스(recess)를 형성하기 위해, 상기 하드마스크 층의 노출된 부분을 에칭하는 단계; 및
    상기 패터닝된 포토레지스트 층 및 상기 등각적 탄소 층의 나머지 부분들을 플라즈마 애싱(ashing) 방법에 의해 동시에 제거하는 단계를 포함하는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 하드마스크 층은 SiOwNx:Hy 재료를 포함하며, 그리고 상기 하드마스크 층이 상기 포토레지스트의 노광 파장에서 광학적으로 평평하게(optically flat) 나타나도록, 상기 하드마스크 층의 광학 특성들이 조정되는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 포토레지스트는 1.6 내지 1.7의 굴절률을 가지며, 흡광 계수는 193 nm의 파장에서 0.00 내지 0.12 인,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 등각적 탄소 층의 증착은 상기 포토레지스트의 분해(degradation) 온도 미만의 온도에서 수행되는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 등각적 탄소 층은 질소-도핑된 비정질 탄소 재료를 포함하는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 질소-도핑된 비정질 탄소 재료는 상기 패터닝된 포토레지스트에 결합(bond)되며, 그리고
    상기 등각적 탄소 층이 증착된 이후, 상기 포토레지스트의 치수들 그리고 물리적 및 화학적 특성들은 실질적으로 변경되지 않은 채로 유지되는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 등각적 탄소 층 및 포토레지스트를 제거하는 것은, 건식 플라즈마 애싱 프로세스를 사용하여, 상기 등각적 탄소 층 및 상기 패터닝된 포토레지스트를 동시에 제거하는 것을 포함하는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 등각적 탄소 층 및 포토레지스트를 제거하는 것은, 건식 플라즈마 애싱 프로세스를 사용하여 탄소 함유 반사 방지 층을 제거하는 것을 포함하는,
    하드마스크 내에 감소된 치수 패턴을 형성하는 방법.
  9. 하드마스크 상에 감소된 치수 패턴을 형성하는 방법으로서,
    하드마스크 상에 패터닝된 포토레지스트를 포함하는 기판을 플라즈마 챔버에 제공하는 단계;
    프로세싱 챔버 내로 프로필렌 가스, 암모니아 가스, 플라즈마-개시(plasma-initiating) 가스 및 희석 가스를 도입시키는 단계 ― 탄화수소 소스와 질소 소스의 체적 유량(volumetric flow rate)은 약 50:1 내지 약 5:1의 비율임 ― ;
    상기 프로세싱 챔버 내에서 플라즈마를 발생시키는 단계 ― 상기 플라즈마는, 약 0.01 W/cm2 내지 약 10 W/cm2의 전력 밀도로 RF 전력을 인가함으로써 발생됨 ― ; 및
    상기 패터닝된 포토레지스트 및 상기 하드마스크 위에 질소-도핑된 비정질 탄소를 포함하는 등각적 탄소 층을 증착하는 단계를 포함하고,
    상기 포토레지스트, 및 상기 하드마스크의 표면은 각각, 1.6 내지 1.7의 굴절률을 갖고, 흡광 계수는 193 nm의 파장에서 0.00 내지 0.12 이고, 상기 하드마스크는 SiOwNx:Hy를 포함하며, 그리고 상기 등각적 탄소 층을 증착하는 동안, 상기 기판의 온도는 100 ℃ 미만으로 유지되는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  10. 제 9 항에 있어서,
    탄소 및 수소 소스들은, 일반적으로, 알칸들, 알켄들 및 알킨들로부터 선택되는 지방족 탄화수소들을 포함하는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  11. 제 10 항에 있어서,
    탄소 및 수소 소스들은, 적어도 2:1의, 수소 대 탄소 원자 비율로 추가로 구성되는, 알칸들, 알켄들 및 알킨들로부터 선택되는 지방족 탄화수소들을 포함하는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  12. 제 9 항에 있어서,
    탄소, 수소 및 질소 소스들은, 질소에 대한 적어도 하나의 화학 결합을 포함하는 탄화수소들로 구성되는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  13. 제 9 항에 있어서,
    질소-도핑된 탄소 층의 원소 조성 퍼센트는, 약 50% 탄소 내지 약 99.9% 탄소의 범위들, 약 0.01% 질소 내지 약 25% 질소의 질소 범위들, 및 약 0% 수소 내지 약 25% 수소의 수소 범위들인,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  14. 하드마스크 상에 감소된 치수 패턴을 형성하는 방법으로서,
    하드마스크 상에 패터닝된 포토레지스트를 포함하는 기판을 플라즈마 챔버에 제공하는 단계 ― 상기 기판의 온도는 100 ℃ 미만으로 유지됨 ― ;
    프로세싱 챔버 내로 프로필렌 가스, 암모니아 가스, 플라즈마-개시 가스 및 희석 가스를 도입시키는 단계 ― 탄화수소 소스와 질소 소스의 체적 유량은 약 50:1 내지 약 5:1의 비율임 ― ;
    상기 프로세싱 챔버 내에서 플라즈마를 발생시키는 단계 ― 상기 플라즈마는, 약 0.01 W/cm2 내지 약 10 W/cm2의 전력 밀도로 RF 전력을 인가함으로써 발생됨 ― ; 및
    상기 하드마스크 및 상기 패터닝된 포토레지스트에 의해 형성되는 패턴의 필드 영역, 측벽들 및 바닥 부분 위에 질소-도핑된 비정질 탄소 층으로 구성된 등각적 탄소 층을 증착하는 단계를 포함하고,
    상기 포토레지스트는 1.6 내지 1.7의 굴절률을 갖고, 흡광 계수는 193 nm의 파장에서 0.00 내지 0.12 이고, 상기 하드마스크는 SiOwNx:Hy의 일반 화학식으로 이루어지며, 그리고 상기 하드마스크의 광학 특성들은, 상기 포토레지스트의 리소그래피 노광 파장에서 상기 포토레지스트의 광학 특성들과 충분히 매칭되는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
  15. 제 14 항에 있어서,
    상기 플라즈마 챔버에 제공되는 기판은, 결합된 반사 방지, 에칭 중지 및 애싱 배리어의 역할을 하는, 물리 기상 증착 방법으로부터 도출되는 유전체 층을 더 포함하는,
    하드마스크 상에 감소된 치수 패턴을 형성하는 방법.
KR1020177006554A 2014-08-14 2015-07-14 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법 KR102462349B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462037495P 2014-08-14 2014-08-14
US62/037,495 2014-08-14
PCT/US2015/040420 WO2016025114A1 (en) 2014-08-14 2015-07-14 Method for critical dimension reduction using conformal carbon films

Publications (2)

Publication Number Publication Date
KR20170041847A true KR20170041847A (ko) 2017-04-17
KR102462349B1 KR102462349B1 (ko) 2022-11-01

Family

ID=55302676

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177006554A KR102462349B1 (ko) 2014-08-14 2015-07-14 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법

Country Status (4)

Country Link
US (1) US9337051B2 (ko)
KR (1) KR102462349B1 (ko)
TW (1) TWI671795B (ko)
WO (1) WO2016025114A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190024531A (ko) * 2017-08-29 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스들을 위한 핀 패터닝

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160039739A (ko) * 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
KR20190071833A (ko) * 2016-11-13 2019-06-24 어플라이드 머티어리얼스, 인코포레이티드 Euv 리소그래피를 위한 표면 처리
US11313034B2 (en) * 2016-11-18 2022-04-26 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
CN106856163A (zh) * 2016-11-22 2017-06-16 上海华力微电子有限公司 一种高深宽比图形结构的形成方法
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US20200135464A1 (en) * 2018-10-30 2020-04-30 Applied Materials, Inc. Methods and apparatus for patterning substrates using asymmetric physical vapor deposition
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
US11410852B2 (en) * 2019-11-22 2022-08-09 Tokyo Electron Limited Protective layers and methods of formation during plasma etching processes
CN111463106B (zh) * 2020-04-02 2023-06-02 超晶科技(北京)有限公司 一种基于光刻工艺实现阵列图案的方法
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060101915A (ko) * 2005-03-22 2006-09-27 주식회사 하이닉스반도체 금속 배선 형성 방법
KR20110074904A (ko) * 2008-10-14 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 컨포멀한 비정질 탄소막을 증착하기 위한 방법
US20120170102A1 (en) * 2010-12-31 2012-07-05 Payne Justin Spatial Light Modulators and Fabrication Techniques
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
KR20140093542A (ko) * 2013-01-18 2014-07-28 제일모직주식회사 레지스트 하층막용 조성물, 이를 이용한 반도체 집적회로 디바이스의 제조방법 및 이에 따라 제조된 반도체 집적회로 디바이스

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060101915A (ko) * 2005-03-22 2006-09-27 주식회사 하이닉스반도체 금속 배선 형성 방법
KR20110074904A (ko) * 2008-10-14 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 컨포멀한 비정질 탄소막을 증착하기 위한 방법
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US20120170102A1 (en) * 2010-12-31 2012-07-05 Payne Justin Spatial Light Modulators and Fabrication Techniques
KR20140093542A (ko) * 2013-01-18 2014-07-28 제일모직주식회사 레지스트 하층막용 조성물, 이를 이용한 반도체 집적회로 디바이스의 제조방법 및 이에 따라 제조된 반도체 집적회로 디바이스

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190024531A (ko) * 2017-08-29 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스들을 위한 핀 패터닝
US10395937B2 (en) 2017-08-29 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Fin patterning for semiconductor devices

Also Published As

Publication number Publication date
TWI671795B (zh) 2019-09-11
KR102462349B1 (ko) 2022-11-01
US20160049305A1 (en) 2016-02-18
TW201611096A (zh) 2016-03-16
WO2016025114A1 (en) 2016-02-18
US9337051B2 (en) 2016-05-10

Similar Documents

Publication Publication Date Title
KR102462349B1 (ko) 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
US10410864B2 (en) Hybrid carbon hardmask for lateral hardmask recess reduction
CN108122739B (zh) 拓扑限制的等离子体增强循环沉积的方法
US10236182B2 (en) Conformal amorphous carbon for spacer and spacer protection applications
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
CN100524640C (zh) 用于各种刻蚀和光刻集成方案的无定型碳的方法
US20180358229A1 (en) Diamond-Like Carbon As Mandrel
CN111316405A (zh) 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
KR20200005506A (ko) 플라즈마 처리 동안 파티클 형성을 감소시키기 위한 척의 보호 층
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
JP2024506838A (ja) 周期的プラズマエッチングプロセス

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant