KR20160053808A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20160053808A
KR20160053808A KR1020150154193A KR20150154193A KR20160053808A KR 20160053808 A KR20160053808 A KR 20160053808A KR 1020150154193 A KR1020150154193 A KR 1020150154193A KR 20150154193 A KR20150154193 A KR 20150154193A KR 20160053808 A KR20160053808 A KR 20160053808A
Authority
KR
South Korea
Prior art keywords
high frequency
antenna element
antenna
plasma processing
frequency power
Prior art date
Application number
KR1020150154193A
Other languages
English (en)
Other versions
KR101804341B1 (ko
Inventor
쥰 야마와쿠
다츠오 마츠도
치시오 고시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160053808A publication Critical patent/KR20160053808A/ko
Application granted granted Critical
Publication of KR101804341B1 publication Critical patent/KR101804341B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리 장치는 제 1 및 제 2 안테나 소자를 구비한 고주파 안테나를 포함한다. 제 1 안테나 소자의 일단부는 접지되고, 타단부는 고주파 전원에 접속된다. 제 2 안테나 소자의 일단부는 개방단이고, 또한 타단부는 제 1 안테나 소자의 일단부 및 타단부의 한쪽에 접속되고, 제 2 안테나 소자의 선로 길이는 ((λ/4) + nλ/2)(λ는 진공중의 고주파의 파장이며, n는 자연수)에 단축율을 곱한 값이다. 고주파 전원으로부터 고주파 안테나측을 보았을 때의 회로는, 고주파 전력의 주파수를 변경했을 때에, 임피던스 조정부의 조정에 의해 2개의 공진 주파수가 나타나도록 구성되어 있다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, 처리 가스를 여기시켜 피처리 기판에 대해서 처리를 행하는 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
반도체 제조 프로세스의 하나로서 반응 가스를 플라즈마화하여 에칭, 성막 처리 등을 실시하는 플라즈마 처리가 있다. 예를 들면 매엽식의 플라즈마 처리 장치에 있어서는, 그 처리 종별에 따라, 기판의 면 방향에 있어서의 플라즈마 밀도 분포를 적절한 것으로 조정할 수 있도록 하는 것이 요구되고 있다. 이 요구는 구체적으로는 처리 용기 내의 구조에 근거하는 경우나 후처리에 있어서의 기판 면내의 처리의 불균일에 대응하는 경우 등이 있고, 이 때문에, 플라즈마 밀도 분포를 기판의 면내 전체에서 균일하게 처리하는 것에 한정하지 않고, 기판의 중앙부와 주연부의 사이에 플라즈마 밀도 분포에 차등을 두는 것 등을 들 수 있다.
플라즈마 처리 장치에 있어서의 플라즈마의 발생 방법의 하나로서는, 예를 들면 안테나에 고주파 전력을 공급하고, 처리 용기 내에 유도 전계를 발생시켜 처리 가스를 여기시키는 방법이 있다. 예를 들면 특허 문헌 1에는, 수직형 노의 반응 용기의 주위에 단극 안테나(monopole antenna)에 상당하는 코일을 처리 용기의 주위를 둘러싸도록 마련하고, 처리 용기의 내부에 유도 전계를 발생시켜 처리 가스를 여기하여, 플라즈마를 발생시키는 방법이 기재되어 있다. 이러한 구성은, 기판의 배열 방향에 있어서의 플라즈마 밀도의 조정은 용이할지도 모르지만, 기판의 면내에 있어서의 플라즈마 밀도의 조정이라고 하는 관점에서는 적합하지 않은 구성이다.
특허문헌 2에는, 고주파를 출력하는 고주파 안테나로서 코일 형상의 내측 안테나와, 내측 안테나와 동심으로 이루어진 코일 형상의 외측 안테나를 마련하고, 각 안테나를 각각 고주파의 1/2 파장의 주파수로 공진시키는 구성이 기재되어 있다. 이 플라즈마 처리 장치에 의하면, 각 안테나에 의해 각각 원형 전기장이 형성되기 때문에, 플라즈마의 밀도의 면내 분포를 아주 세밀하게 조정할 수 있지만, 내측 안테나와 외측 안테나에 각각 고주파 전원을 마련할 필요가 있다.
특허문헌 3에는, 처리 용기의 주위를 단극 안테나로 둘러싼 플라즈마 처리 장치가 기재되어 있다. 또 특허문헌 4에는, 플라즈마 밀도를 높임으로써 반도체 웨이퍼를 효율적으로 처리하는 기술이 기재되어 있다. 추가로, 특허문헌 5에는 기판 홀더와 접지간에 임피던스 소자가 접속된 플라즈마 처리 장치가 기재되어 있지만, 모두 본 발명의 과제를 해결하는 것은 아니다.
일본 특허 제4178775호 일본 공개 특허 공보 제2010-258324호 일본 공개 특허 공보 제2014-075579호 일본 특허 제2613002호 일본 특허 공개 공보 평08-017799호
본 발명은 이러한 사정을 감안하여 이루어진 것이며, 그 목적은, 고주파 안테나를 이용하여 플라즈마를 발생시켜, 피처리 기판에 대해서 처리를 행하는 플라즈마 처리 장치에 있어서, 플라즈마 밀도의 면내 분포를 조정할 수 있는 기술을 제공하는 것에 있다.
본 발명의 플라즈마 처리 장치는, 진공 분위기인 처리 용기 내에 공급된 처리 가스를 여기하여 플라즈마를 발생시켜, 처리 용기 내의 탑재부에 탑재된 피처리 기판에 대해서 처리를 행하는 플라즈마 처리 장치로서,
상기 탑재부에 탑재되는 피처리 기판의 피처리면에 대향하도록 배치된 소용돌이(vortex) 코일로 이루어지고, 주파수 가변 전원으로 이루어지는 고주파 전원에 접속되고, 제 1 안테나 소자와 제 2 안테나 소자를 포함하는 고주파 안테나와,
상기 고주파 전원으로부터 고주파 안테나측을 보았을 때의 회로의 공진 주파수를 조정하기 위한 가변 용량 콘덴서를 포함한 임피던스 조정부와,
상기 처리 용기 내의 진공 분위기와 상기 고주파 안테나가 배치되는 공간을 기밀하게 구획하는 유전체와,
상기 고주파 안테나가 배치되는 공간을 둘러싸는 실드 부재
를 구비하되,
상기 제 1 안테나 소자의 일단부는 접지되고, 상기 제 1 안테나 소자의 타단부는 고주파 전원에 접속되고,
상기 제 2 안테나 소자의 일단부는 개방단이고, 상기 제 2 안테나 소자의 타단부는 상기 제 1 안테나 소자의 일단부 및 타단부 중 한쪽에 접속되고, 상기 제 2 안테나 소자의 선로 길이는 ((λ/4) + nλ/2)(λ는 진공중의 고주파의 파장, n는 자연수)에 단축율을 곱한 값을 가지며, 상기 제 2 안테나 소자는 사용하는 전원 주파수에서 공진하도록 설정되고,
상기 고주파 전원으로부터 고주파 안테나측을 보았을 때의 회로는, 고주파 전력의 주파수를 변경했을 때에, 상기 임피던스 조정부의 조정에 따른 제 1 공진 주파수 및 제 2 공진 주파수가 나타나도록 구성되어 있는 것을 특징으로 한다.
본 발명의 플라즈마 처리 방법은, 상술의 플라즈마 처리 장치를 이용하여, 제 1 공진 주파수와 제 2 공진 주파수의 사이의 주파수의 고주파를 상기 고주파 전원으로부터 고주파 안테나에 공급하고, 피처리 기판에 대해서 플라즈마 처리를 행하는 것을 특징으로 하는 플라즈마 처리 방법.
도 1은 본 발명의 실시의 형태에 따른 플라즈마 처리 장치를 나타내는 단면도이다.
도 2는 플라즈마 처리 장치에 있어서의 고주파 안테나를 나타내는 사시도이다.
도 3은 본 발명의 실시의 형태에 따른 고주파 안테나에 생기는 공진 주파수를 나타내는 특성도이다.
도 4는 단극 안테나를 나타내는 설명도이다.
도 5는 단극 안테나에 생기는 공진 주파수를 나타내는 특성도이다.
도 6은 본 발명의 실시의 형태의 다른 예에 따른 고주파 안테나를 나타내는 설명도이다.
도 7은 본 발명의 실시의 형태의 다른 예에 따른 고주파 안테나를 나타내는 설명도이다.
도 8은 본 발명의 실시의 형태의 다른 예에 따른 고주파 안테나를 나타내는 설명도이다.
도 9는 실시예 1에 있어서의 공진 주파수를 나타내는 특성도이다.
도 10은 실시예 2-1 ~ 2-4에 있어서의 플라즈마를 나타내는 사진이다.
도 11은 실시예 2-1 ~ 2-4에 있어서의 규격화 플라즈마 밀도를 나타내는 특성도이다.
본 발명의 실시의 형태에 있어서의 플라즈마 처리 장치에 대해 설명한다. 도 1에 나타내는 바와 같이, 플라즈마 처리 장치는, 소용돌이 코일, 예를 들면 면 형상의 소용돌이 코일로 이루어지는 RF 안테나(고주파 안테나)를 이용하는 플라즈마 에칭 장치로서 구성되어 있고, 접지된, 예를 들면 알루미늄 또는 스테인리스 제품의 처리 용기(10)를 구비하고 있다. 처리 용기(10)의 측면에는, 피처리 기판인 반도체 웨이퍼(이하 웨이퍼)(W)를 주고 받기 위한 반입출구(11)가 설치되어 있고, 반입출구(11)에는, 반입출구를 개폐하는 게이트 밸브(13)가 설치되어 있다.
처리 용기(10)의 저면에 있어서의 중앙부에는, 피처리 기판인 웨이퍼(W)를 탑재하는 탑재부와, 고주파 전극을 겸용하는 원통형의 서셉터(12)가 절연체로 구성된 지지부(14)를 사이에 두고 설치되어 있다. 서셉터(12)에는, RF 바이어스용의 고주파 전원(30)이 정합기(32) 및 급전봉(34)을 통해서 전기적으로 접속되어 있다. 이 고주파 전원(30)은, 웨이퍼(W)에 인입하는 이온의 에너지를 제어하는데 적합한 일정 주파수(13.56 MHz 이하)의 고주파를 출력할 수 있도록 구성되어 있다. 정합기(32)는, 고주파 전원(30) 측의 임피던스와 부하(주로 서셉터, 플라즈마, 처리 용기) 측의 임피던스의 사이에서 정합을 취하기 위한 리액턴스 가변의 정합 회로로 구성되어 있다.
서셉터(12)의 상면에는, 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전 척(36)이 설치되고, 정전 척(36)의 직경 방향 외측에 웨이퍼(W)의 주위를 환상으로 둘러싸는 포커스 링(38)이 설치된다. 서셉터(12)의 내부에는, 예를 들어 둘레 방향으로 연장하는 환상의 냉매실(44)이 설치되어 있다. 이 냉매실(44)에는, 칠러 유닛(chiller unit)(도시하지 않음)으로부터 배관(46, 48)을 통해 소정 온도의 냉매 예를 들어 냉각수가 순환 공급되고, 냉매의 온도에 의해서 정전 척(36) 상의 웨이퍼(W)의 처리 온도를 제어할 수 있다. 또 정전 척(36)의 상면에는, 서셉터(12) 내부에 설치된 가스 공급로(60)의 일단이 개구되고, 가스 공급로(60)의 타단 측에는, 전열 가스 예를 들어 He 가스를 정전 척(36)의 표면과 웨이퍼(W)의 이면의 사이에 공급하는 전열 가스 공급 기구(61)가 접속되어 있다. 또, 서셉터(12)에는, 외부의 반송 암과의 사이에서 웨이퍼(W)를 주고 받기 위한 도시하지 않는 승강 핀이, 서셉터(12)를 수직 방향으로 관통하고, 정전 척(36)의 표면으로부터 돌출하도록 설치되어 있다.
또 서셉터(12)의 주위와 처리 용기의 내벽의 사이는, 펀칭 플레이트로 구성된 환상의 배플판(39)으로 덮혀 있다. 또 처리 용기(10)의 저면에 있어서의 배플판(39)의 아래쪽에는, 배기구(15)가 형성되고 있고, 배기구(15)에는, 배기관(16)을 사이에 두고 진공 배기부(17)가 설치되어 있다.
처리 용기(10)의 측벽의 내부에 있어서의 반입출구(11)의 위쪽에는, 측벽의 전체 둘레에 걸쳐서, 환상의 처리 가스 공급로(18)가 형성되어 있다. 처리 가스 공급로(18)의 내주 측에는, 전체 둘레에 걸쳐서 처리 용기(10)의 내부를 향해 개구한 복수의 처리 가스 공급구(19)가 형성되어 있다. 처리 가스 공급로(18)에는, 가스 공급관(20)을 통해서 처리 가스를 공급하기 위한 처리 가스 공급 기구(21)가 접속되어 있다. 처리 가스에 대해서는, 플라즈마 처리 장치가 예를 들면 에칭 장치이면, ClF3나 F2 등의 에칭 가스가 이용되고, 플라즈마 처리 장치가 예를 들면 성막 장치이면, 암모니아 가스, 오존 가스 등의 질화 혹은 산화용의 가스가 이용된다.
처리 용기(10)의 천정판 부분에는, 예를 들면 석영판 등으로 구성된 유전체창(22)이 그 위쪽의 대기 분위기와 처리 용기(10) 내의 진공 분위기를 기밀하게 구획하도록, 정전 척(36)에 대향해서 설치되어 있다. 유전체창(22)의 상면 측에는, 소용돌이 형상의 평면 코일로 구성된 고주파 안테나(5)가, 서셉터(12)의 상면과 유전체창(22)을 사이에 두고 대향하도록, 이 예에서는 유전체창(22)의 위에 탑재되어 있다. 고주파 안테나(5)가 배치되어 있는 공간은, 접지되어 있는 실드 부재인 실드 박스(9)에 의해 둘러싸여 있다.
도 2를 참조하여 설명하면, 고주파 안테나(5)의 내단부(6)에는, 배선(53)을 통해서 주파수 가변 전원인 고주파 전원(50)이 접속되어 있다. 배선(53)에 있어서의 고주파 전원(50)과 고주파 안테나(5)의 내단부(6)의 사이에는, 고주파 전원(50)과 직렬로 제 1 가변 용량 콘덴서(55)가 설치되어 있다. 고주파 전원(50)과 제 1 가변 용량 콘덴서(55)의 접속점과 접지 전극의 사이는, 고주파 전원(50)에 대해서 병렬로 제 2 가변 용량 콘덴서(56)가 설치되어 있다. 고주파 안테나(5)에 있어서의 외단부(7)는 개방단으로 되어 있고, 내단부(6)와 외단부(7)의 사이의 부위(이하 「중간 부위」라고 한다)(8)는 배선(54)을 통해서 제 3 가변 용량 콘덴서(57)를 사이에 두고 접지되어 있다. 도 1 및 도 2에서는, 도전로를 배선(53, 54)으로서 기재하고 있지만, 구체적으로는 실드 박스(9) 내에서는 띠 형상의 동판에 의해 고주파 안테나(5)와 실드 박스(9)의 단자부가 접속되고, 실드 박스(9)의 외측에서는 동축 케이블이 이용되고 있다.
고주파 안테나(5)의 내단부(6)로부터 중간 부위(8)까지의 선로 길이는 특별히 한정되지 않지만, 예를 들면 1m 정도의 길이 치수로 설정되어 있다. 고주파 안테나(5)의 중간 부위(8)로부터 외단부(7)까지의 선로 길이는, 해당 부분에서 정재파가 발생하여 큰 고주파 에너지가 출력되도록 하기 위해서 (λ/4)+n(n는 자연수 0, 1, 2,…)λ/2의 길이 치수, 예를 들면 λ/4로 설정되어 있다. λ는 진공중의 전자파의 파장이며, 따라서 고주파 안테나(5)에 있어서의 선로 길이의 특정에 대해서는, 엄밀하게는 단축율이 고려된다. 이 때문에 선로 길이가 (λ/4)+n(n는 자연수 0, 1, 2,…)λ/2의 길이 치수로 설정된다는 것은, 엄밀하게는 선로 길이가 [{λ/4} + n(n는 자연수 0, 1, 2,…)λ/2]에 단축율을 곱한 길이 치수로 설정된다고 하는 의미이다. 단축율은, 소용돌이 코일을 감는 방법이나 고주파 안테나(5)가 배치되는 주위의 상황의 영향을 받아 변화한다. 또, 이하의 설명에서는, 설명의 간략화를 도모하기 위해서 「단축율을 곱한다」라는 표현에 대해서는 약기하는 것으로 한다.
즉, 고주파 안테나(5)의 중간 부위(8)로부터 외단부(7)까지의 선로 길이는 λ/4의 길이 치수이며, 사용하는 전원 주파수로 공진하도록 설정되어 있으면 좋다. 그리고 λ/4의 길이 치수로 선로 길이가 설정되어 있다는 것은, 추가로 말하면, 후술의 2개의 공진점의 주파수 사이의 고주파 및 그 근방의 주파수의 고주파에 대해서, 중간 부위(8)로부터 외단부(7)까지에 대응하는 플라즈마 강도가 웨이퍼(W)를 처리하기 위해서 충분한 크기를 얻을 수 있는 정도의 유효한 정재파가 발생하고 있다고 인정되는 정도의 길이 치수로 상기의 선로 길이가 설정되어 있으면 좋다고 하는 것이다.
이 예에서는, 고주파 안테나(5)에 있어서의 내단부(6)로부터 중간 부위(8)까지가, 제 1 고주파 안테나 소자(51)를 구성하고, 이 부분은 전자 유도에 의해 전계를 발생시키기 위한 코일이다고 할 수 있다. 또 중간 부위(8)로부터 외단부(7)까지가 제 2 고주파 안테나 소자(52)를 구성하고 있어, 이 부분은 단극 안테나로 구성된 나선형 안테나라고 할 수 있다.
고주파 전원(50)으로부터 고주파 안테나(5)를 포함하는 회로를 보면, 고주파 전원(50)을 이루는 주파수 가변 전원의 주파수 가변 범위에 있어서, 도 3에 나타내는 바와 같이 2개의 공진 주파수(공진점)가 존재한다. 도 3은 고주파 전원(50)의 주파수 가변 범위 내에 있어서, 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)의 각 용량을 어느 값으로 고정하고, 주파수를 변경했을 때의 반사율 변화의 예를 모식적으로 나타내고 있다. 또 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)를 조정함으로써, 도 3 내에 화살표로 나타낸 반사율을 변경할 수 있다. 이것에 의해 제 1 고주파 안테나 소자(51)의 고주파 에너지와, 제 2 고주파 안테나 소자(52)의 고주파 에너지의 상대적인 파워 밸런스를 조정할 수 있다.
또한, 제 1 가변 용량 콘덴서(55)는 임피던스 정합 회로의 역할을 가지고 있고, 제 3 가변 용량 콘덴서(57)와 함께, 2개의 공진 주파수를 조정하기 위한 임피던스 조정부에 상당한다. 2개의 공진 주파수의 한쪽 및 다른 쪽은, 각각 제 1 고주파 안테나 소자(51) 및 제 2 고주파 안테나 소자(52)에 기인하는 것이지만, 어느 공진 주파수가 제 1 고주파 안테나 소자(51) 및 제 2 고주파 안테나 소자(52)에 기인하고 있는지를 파악할 수 없다.
제 2 가변 용량 콘덴서(56)는, 고주파 전원(50)으로부터 고주파 안테나(5) 측을 보았을 때의 반사율을 조정하는 역할을 갖는 것이다. 그렇지만 반사율을 조정함으로써 제 1 가변 용량 콘덴서(55) 및 제 3 가변 용량 콘덴서(57)에 의해 조정한 임피던스가 변경되기 때문에, 제 2 가변 용량 콘덴서(56)도 공진 주파수를 조정하는 역할도 가지고 있다. 따라서 이 예에서는, 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)는 공진 주파수를 조정하는 임피던스 조정부에 상당한다고 말할 수도 있다.
고주파 안테나(5)와 실드 박스(9)의 거리를 변경함으로써 양자 사이의 용량이 변하므로, 제 1 공진 주파수 및 제 2 공진 주파수는, 예를 들면 승강 기구를 포함하는 고주파 안테나(5)의 높이 조정 기구를 마련하는 것이나, 실드 박스(9) 내에 실드 박스에 전기적으로 접속한 플레이트를 마련하여, 그 높이 위치를 변경함으로써도 조정할 수 있다. 이 예에서는 고주파 안테나(5)의 높이 조정 기구나 접지된 플레이트를 마련하지 않기 때문에, 제 1 가변 용량 콘덴서(55) 및 제 3 가변 용량 콘덴서(57)에 의해(혹은 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)에 의해) 공진 주파수가 조정된다.
이와 같이 2개의 공진 주파수를 서로 근접하게 나타내고 있기 때문에, 고주파 전원(50)의 주파수를 2개의 공진 주파수의 사이로 설정함으로써, 개략적인 표현으로 하면, 그 주파수와 2개의 공진 주파수의 각각의 거리(주파수 차)에 따라서, 제 1 고주파 안테나 소자(51)의 고주파 에너지와 제 2 고주파 안테나 소자(52)의 고주파 에너지가 분배된다. 그리고 2개의 공진 주파수는 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)에 의해 조정할 수 있고, 또 제 1 고주파 안테나 소자(51) 및 제 2 고주파 안테나 소자(52)는, 평면적으로 보면 내부 및 외부의 배치 관계로 되어 있기 때문에, 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)에 의해, 웨이퍼(W) 상의 주연부와 중앙부의 사이에서 플라즈마 밀도 분포를 조정할 수 있게 된다.
여기서 도 4에 나타내는 주지의 단극 안테나(100)에 대해서 설명하면, 안테나 소자(101)의 중간 부위의 접지점(P)으로부터 개방단측의 공진 주파수와 안테나 소자의 중간 부위의 접지점(P)으로부터 고주파 전원측의 공진 주파수가 동일하기 때문에, 도 5에 나타내는 바와 같이 공진 주파수는 1개밖에 나타나지 않는다.
계속해서 플라즈마 처리 장치의 작용에 대해 설명한다. 먼저 사전에 웨이퍼(W)의 처리에 의해서, 임피던스 조정부에 의해 고주파 안테나(5)에 있어서의 공진 주파수를 조정한다. 이 경우, 사용하는 고주파의 주파수를 정하고 공진 주파수를 조정해도 좋고, 공진 주파수와 고주파의 주파수의 양쪽을 조정해도 좋다. 이것에 의해 제 1 공진 주파수 및 제 2 공진 주파수의 사이에서, 고주파 안테나(5)에 공급되는 주파수의 위치가 조정된다.
예를 들면 웨이퍼(W)의 주연측의 에칭 속도 혹은 성막 속도를 웨이퍼(W)의 중앙부측의 에칭 속도 혹은 성막 속도보다 높게 하고 싶은 경우, 혹은 그 반대의 경우가 있다. 이러한 프로세스마다의 요청에 대응하여 웨이퍼(W)의 면내에 있어서의 적절한 플라즈마 밀도 분포를 얻을 수 있도록, 각 가변 용량 콘덴서(55 ~ 57)의 조정 위치와 웨이퍼(W)의 처리의 면내 분포 상태의 관계를 사전에 파악해 두고, 적절한 조정 위치를 찾아낸다. 구체적으로는 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)에 액츄에이터를 마련하여 자동으로 용량 조정을 행할 수 있도록 하고, 적절한 조정 위치를 프로세스 레시피 내에 기입해 둔다. 이렇게 함으로써, 프로세스 레시피를 제어부에서 선택함으로써 혹은 상위 컴퓨터로부터 취입함으로써, 그 프로세스 레시피에 맞는 플라즈마 밀도 분포가 형성되게 된다.
플라즈마 처리 장치가 가동하면, 피처리 기판인 웨이퍼(W)가, 외부의 반송 암과 승강 핀의 협동 작용에 의해, 정전 척(36)에 탑재된다. 다음에 게이트 밸브(13)를 닫은 후, 정전 척(36)과 웨이퍼(W)의 사이에 전열 가스를 공급함과 아울러 정전 척(36)의 흡착을 개시하여 웨이퍼(W)를 유지하고, 냉각수의 흐름 등에 의해 웨이퍼(W)의 온도를 설정 온도로 설정한다.
다음에 처리 용기(10) 내에 처리 가스를 처리 가스 공급구(19)로부터 공급함과 아울러, 배기구(15)로부터 진공 배기를 행하고 처리 용기(10) 내의 압력을 소정의 압력으로 조정한다. 그 후 고주파 전원(50)을 온(ON)으로 하여 고주파 안테나(5)에 고주파를 입력한다. 또 서셉터(12) 측의 고주파 전원(30)을 온(ON)으로 하여 이온 인입 제어용의 고주파를, 급전봉(34)을 통해서 서셉터(12)에 인가한다.
처리 용기(10) 내에는, 제 1 고주파 안테나 소자(51)인 유도 코일에 근거하여 형성된 자기장과 제 2 고주파 안테나 소자(52)의 정재파에 근거하여 형성된 자기장에 의해 처리 가스가 여기되어 플라즈마가 발생하고, 웨이퍼(W)의 처리를 행한다.
상술의 실시의 형태는, 유도 결합 플라즈마를 이용한 플라즈마 처리 장치에 있어서, 내단부(6)에 고주파 전원(50)을 접속하고, 외측 단부인 중간 부위(8)를 접지한 제 1 고주파 안테나 소자(51)와, 외단부(7)가 개방단이며, 선로 길이가 λ/4인 제 2 고주파 안테나 소자(52)를 조합하여 구성한 소용돌이 형상의 고주파 안테나(5)를 이용하고 있다. 그리고 제 1 ~ 제 3 가변 용량 콘덴서(55 ~ 57)를 조정함으로써, 제 1 고주파 안테나 소자(51) 및 제 2 고주파 안테나 소자(52)의 어느 한쪽에 대응하는 제 1 및 제 2 공진 주파수가 조정된다. 이 때문에 제 1 고주파 안테나 소자(51)와 제 2 고주파 안테나 소자(52)에 분배되는 고주파 에너지의 비율을 조정할 수 있으므로 웨이퍼(W)의 면내에 있어서의 플라즈마 밀도 분포를 조정할 수 있다.
또 본 발명의 실시의 형태에 따른 플라즈마 처리 장치는, 도 1 및 도 2에 나타낸 고주파 안테나(5) 대신에, 도 6에 나타내는 바와 같이 고주파 안테나(5)의 제 1 고주파 안테나 소자(51)의 내단부(6)가 접지되고, 중간 부위(8)가 고주파 전원(50)에 접속되어 있어도 좋다. 또 고주파 안테나(5)는, 도 7에 나타내는 바와 같이 고주파 안테나(5)의 외단부(7)에 고주파 전원(50)이 접속되고, 내단부(6)가 개방단으로 되고, 내단부(6)로부터 중간 부위(8)까지의 선로 길이가 (λ/4)+n(n는 정수)λ/2의 길이 치수로 구성되고, 중간 부위(8)가 접지되어 있어도 좋다. 이 예에서는 고주파 안테나(5)에 있어서의 내단부(6)로부터 중간 부위(8)까지의 내측의 부위가 제 2 고주파 안테나 소자(52)를 구성하고, 중간 부위(8)로부터 외단부(7)까지의 외측의 부위가 제 1 고주파 안테나 소자(51)를 구성한다.
또한 제 2 고주파 안테나 소자(52)를 고주파 안테나(5)의 내측의 부위, 제 1 고주파 안테나 소자(51)를 고주파 안테나(5)의 외측의 부위에 구성한 예에 대해서, 도 8에 나타내는 바와 같이 외단부(7)가 접지되고, 중간 부위(8)에 고주파 전원(50)이 접속되어 있어도 좋다. 또, 도 6 ~ 도 8에서는, 실드 박스를 생략해서 도시하고 있다. 즉, 도 6 ~ 도 8에 나타낸 고주파 안테나(5)는, 고주파 전원(50)에 접속되는 위치, 접지되는 위치 또는 개방단의 위치에 대해서, 도 1, 도 2 등에 나타낸 상술한 실시 형태의 고주파 안테나(5)와는 다를 뿐이며, 상술한 실시 형태와 마찬가지로 실드 박스(9)에 저장되어 있다.
또 고주파 안테나(5)인 소용돌이 코일은, 면 형상인 것으로 한정되는 것이 아니고, 중앙부와 주연부의 높이 위치가 다르고, 위에서 보면 소용돌이를 이루고 있는 소용돌이 코일이어도 좋다.
또한, 고주파 안테나(5)의 감기는 방향은, 고주파 안테나(5)를 위에서 보아 내단부(6)로부터 외단부(7)를 향해서, 시계 방향으로 감겨 있어도 좋고, 반시계 회전으로 감겨 있어도 좋다.
(실시예 1)
본 발명의 실시의 형태의 효과를 확인하기 위해서 이하의 시험을 실시했다. 본 발명의 실시의 형태인 도 1에 나타낸 플라즈마 처리 장치를 이용하고, 고주파 전원(50)으로부터 공급되는 고주파의 주파수를 10 ~ 60 MHz의 범위에서 변화시키고, 고주파 전원(50)측으로부터 반사율을 관측했다.
도 9는 이 결과를 나타내고, 고주파 전원(50)의 주파수와 반사율을 나타내는 특성도이다. 이 결과에 따르면, 고주파 전원(50)의 주파수 가변 영역에 있어서, 22MHz와 25MHz의 2개의 주파수에 대해서, 반사율이 저하되고 있는 것을 알 수 있다. 따라서 본 발명의 플라즈마 처리 장치에 이용되는 고주파 안테나(5)를 이용한 회로는 2개의 공진 주파수가 존재하는 것을 알 수 있다.
(실시예 2)
또 본 발명의 실시의 형태로서 도 1에 나타낸 플라즈마 처리 장치를 이용하고, 가변 용량 콘덴서(55, 56, 57)의 용량을 변화시켰을 때의 처리 용기(10) 내에 있어서의 플라즈마의 전자 밀도에 대해 조사했다. 가변 용량 콘덴서(55, 56, 57)의 용량을 조정한 예를 각각 실시예 2-1 ~ 2-4로 했다.
도 10은 실시예 2-1 ~ 2-4에 있어서, 처리 용기(10) 내에 여기된 플라즈마를 나타내는 사진이며, 도 11은 실시예 2-1 ~ 2-4에 있어서 처리 용기(10)의 중심으로부터의 거리를 횡축에 나타내고, 전자 밀도 Ne를 전자 밀도 Ne의 최대치 NeMax로 규격화한 규격화 Ne의 값을 종축에 나타낸 특성도이다. 이 결과에 따르면, 실시예 2-1에서는, 중심부 부근에 있어서의 규격화 Ne의 값이 높게 되어 있지만, 실시예 2-2에서는, 실시예 2-1과 비교하여 외측의 영역에서 규격화 Ne의 값이 높게 되어 있다. 또 실시예 2-3, 2-4에서는, 중심보다 외측의 위치에 있어서 규격화 Ne의 값이 가장 높게 되었다.
이 결과에 따르면, 가변 용량 콘덴서(55, 56, 57)의 용량을 변화시킴으로써, 처리 용기(10) 내에 형성되는 플라즈마 밀도의 면내 분포를 변경할 수 있는 것을 알 수 있다.
5 : 고주파 안테나 9 : 실드 박스
10 : 처리 용기 12 : 서셉터
17 : 진공 배기부 21 : 처리 가스 공급 기구
22 : 유전체창 50 : 고주파 전원
51 : 제 1 고주파 안테나 소자 52 : 제 2 고주파 안테나 소자
55 ~ 57 : 제 1~ 제 3 가변 용량 콘덴서 W : 웨이퍼

Claims (4)

  1. 진공 분위기인 처리 용기 내에 공급된 처리 가스를 여기하여 플라즈마를 발생시켜, 처리 용기 내의 탑재부에 탑재된 피처리 기판에 대해서 처리를 행하는 플라즈마 처리 장치로서,
    상기 탑재부에 탑재되는 피처리 기판의 피처리면에 대향하도록 배치된 소용돌이(vortex) 코일로 이루어지고, 주파수 가변 전원으로 이루어지는 고주파 전원에 접속되고, 제 1 안테나 소자와 제 2 안테나 소자를 포함하는 고주파 안테나와,
    상기 고주파 전원으로부터 고주파 안테나측을 보았을 때의 회로의 공진 주파수를 조정하기 위한 가변 용량 콘덴서를 포함하는 임피던스 조정부와,
    상기 처리 용기 내의 진공 분위기와 상기 고주파 안테나가 배치되는 공간을 기밀하게 구획하는 유전체와,
    상기 고주파 안테나가 배치되는 공간을 둘러싸는 실드 부재
    를 구비하되,
    상기 제 1 안테나 소자의 일단부는 접지되고, 상기 제 1 안테나 소자의 타단부는 고주파 전원에 접속되고,
    상기 제 2 안테나 소자의 일단부는 개방단이고, 상기 제 2 안테나 소자의 타단부는 상기 제 1 안테나 소자의 일단부 및 타단부 중 한쪽에 접속되고, 상기 제 2 안테나 소자의 선로 길이는 ((λ/4) + nλ/2)(λ는 진공중의 고주파의 파장, n는 자연수)에 단축율을 곱한 값을 가지며, 상기 제 2 안테나 소자는 사용하는 전원 주파수에서 공진하도록 설정되고,
    상기 고주파 전원으로부터 고주파 안테나측을 보았을 때의 회로는, 고주파 전력의 주파수를 변경했을 때에, 상기 임피던스 조정부의 조정에 따른 제 1 공진 주파수 및 제 2 공진 주파수가 나타나도록 구성되어 있는
    것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 임피던스 조정부는, 상기 고주파 전원과 고주파 안테나의 사이에서 상기 고주파 전원에 대해서 직렬로 접속된 가변 용량 콘덴서와, 상기 제 2 안테나 소자의 타단부와 접지 부위의 사이에 설치된 가변 용량 콘덴서를 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    고주파의 반사율을 조정하기 위한 가변 용량 콘덴서가 상기 고주파 전원에 대해서 병렬로 접속되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 청구항 1 또는 청구항 2에 기재된 플라즈마 처리 장치를 이용하여, 제 1 공진 주파수와 제 2 공진 주파수의 사이의 주파수의 고주파를 상기 고주파 전원으로부터 고주파 안테나에 공급하여, 피처리 기판에 대해서 플라즈마 처리를 행하는 것을 특징으로 하는 플라즈마 처리 방법.
KR1020150154193A 2014-11-05 2015-11-04 플라즈마 처리 장치 및 플라즈마 처리 방법 KR101804341B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2014-225519 2014-11-05
JP2014225519A JP6539986B2 (ja) 2014-11-05 2014-11-05 プラズマ処理装置及びプラズマ処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170160011A Division KR101852310B1 (ko) 2014-11-05 2017-11-28 플라즈마 처리 장치 및 플라즈마 처리 방법

Publications (2)

Publication Number Publication Date
KR20160053808A true KR20160053808A (ko) 2016-05-13
KR101804341B1 KR101804341B1 (ko) 2017-12-04

Family

ID=55853447

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150154193A KR101804341B1 (ko) 2014-11-05 2015-11-04 플라즈마 처리 장치 및 플라즈마 처리 방법
KR1020170160011A KR101852310B1 (ko) 2014-11-05 2017-11-28 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170160011A KR101852310B1 (ko) 2014-11-05 2017-11-28 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (4)

Country Link
US (3) US20160126064A1 (ko)
JP (1) JP6539986B2 (ko)
KR (2) KR101804341B1 (ko)
TW (1) TWI682424B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190036017A (ko) * 2017-09-27 2019-04-04 주식회사 유진테크 플라즈마 처리 장치 및 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10755902B2 (en) * 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
CN110318028A (zh) * 2018-03-28 2019-10-11 株式会社新柯隆 等离子体源机构及薄膜形成装置
CN111066129B (zh) * 2018-06-04 2024-04-05 东京毅力科创株式会社 蚀刻处理方法和蚀刻处理装置
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
CN113345788B (zh) * 2018-06-22 2024-06-21 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7139181B2 (ja) 2018-07-26 2022-09-20 ワイエイシイテクノロジーズ株式会社 プラズマ処理装置
US10354838B1 (en) * 2018-10-10 2019-07-16 Lam Research Corporation RF antenna producing a uniform near-field Poynting vector
WO2020088169A1 (zh) * 2018-10-30 2020-05-07 北京北方华创微电子装备有限公司 感应线圈组及反应腔室
JP7324926B1 (ja) 2022-06-02 2023-08-10 恭胤 高藤 遮蔽容器

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH0817799A (ja) 1994-06-28 1996-01-19 Plasma Syst:Kk プラズマ処理装置
JP3208079B2 (ja) * 1996-02-27 2001-09-10 松下電器産業株式会社 高周波電力印加装置及びプラズマ処理装置
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
JP4178775B2 (ja) 2001-08-31 2008-11-12 株式会社日立国際電気 プラズマリアクター
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
JP5584412B2 (ja) * 2008-12-26 2014-09-03 株式会社メイコー プラズマ処理装置
JP5227245B2 (ja) 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
JP5554099B2 (ja) * 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5901887B2 (ja) * 2011-04-13 2016-04-13 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法及びプラズマ処理方法
JP6257071B2 (ja) 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190036017A (ko) * 2017-09-27 2019-04-04 주식회사 유진테크 플라즈마 처리 장치 및 방법

Also Published As

Publication number Publication date
JP2016091829A (ja) 2016-05-23
KR20170137015A (ko) 2017-12-12
US20190108975A1 (en) 2019-04-11
TWI682424B (zh) 2020-01-11
US20190115188A1 (en) 2019-04-18
KR101804341B1 (ko) 2017-12-04
TW201630029A (zh) 2016-08-16
KR101852310B1 (ko) 2018-04-25
US10937631B2 (en) 2021-03-02
JP6539986B2 (ja) 2019-07-10
US20160126064A1 (en) 2016-05-05

Similar Documents

Publication Publication Date Title
KR101852310B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102033873B1 (ko) 플라즈마 처리 장치
KR101998520B1 (ko) 플라즈마 처리 장치 및 플라즈마 발생 유닛
JP5805227B2 (ja) プラズマ処理装置
JP5231308B2 (ja) プラズマ処理装置
US10685859B2 (en) Plasma processing apparatus
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
JP5800532B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN108511339B (zh) 处理方法和等离子体处理装置
US20190096635A1 (en) Plasma processing method
JP2016091811A5 (ko)
WO2020022141A1 (ja) プラズマ処理装置
JP2022012933A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant