KR20150056435A - Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same - Google Patents

Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same Download PDF

Info

Publication number
KR20150056435A
KR20150056435A KR1020140026715A KR20140026715A KR20150056435A KR 20150056435 A KR20150056435 A KR 20150056435A KR 1020140026715 A KR1020140026715 A KR 1020140026715A KR 20140026715 A KR20140026715 A KR 20140026715A KR 20150056435 A KR20150056435 A KR 20150056435A
Authority
KR
South Korea
Prior art keywords
film
layer
absorbing
nickel
functional film
Prior art date
Application number
KR1020140026715A
Other languages
Korean (ko)
Other versions
KR101567057B1 (en
Inventor
남기수
강긍원
신철
이종화
양철규
김창준
정시준
장규진
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Priority to TW103110205A priority Critical patent/TWI579639B/en
Publication of KR20150056435A publication Critical patent/KR20150056435A/en
Application granted granted Critical
Publication of KR101567057B1 publication Critical patent/KR101567057B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

In the present invention, disclosed are a blank mask for extreme ultra-violet rays, which is manufactured by consecutively stacking multi-layered reflecting films, capping films, absorption films and resist films on a transparent substrate wherein the absorption film comprises at least one among nickel and nickel-tantal, and a photomask using the same where the accuracy of good pattern is implemented by having hard films when implementing patterns whose level is not more than 14 nm, in particular, and 10 nm by turning resist films into thin films, and the absorption film can be turned into thin films while having an optical property of the absorption film by controlling composition ratio of metal and light elements included in the absorption film.

Description

극자외선용 블랭크 마스크 및 이를 이용한 포토마스크{Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same}[0001] The present invention relates to a blank mask for extreme ultraviolet rays and a photomask using the same.

본 발명은 13.5㎚의 극자외선(Extreme Ultra Violet : EUV)광을 노광광으로 사용하는 극자외선용 블랭크 마스크 및 이를 이용한 극자외선용 포토마스크에 관한 것으로서, 보다 상세하게는, 14㎚급 이하, 특히, 10㎚급 이하의 패턴 정확도가 향상된 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크에 관한 것이다.The present invention relates to a blank mask for extreme ultraviolet light using extreme ultra violet (EUV) light of 13.5 nm as an exposure light, and to a photomask for extreme ultraviolet light using the same. More specifically, , A pattern mask of 10 nm or less in pattern accuracy, and a photomask using the blank mask.

고집적화에 따른 포토-리소그래피(Photo-lithography) 기술은 해상도(Resolution) 향상을 위하여 436㎚(g-line), 405㎚(h-line), 365㎚(i-line), 248㎚(KrF) 및 193㎚(ArF) 파장을 노광광으로 사용하여 발전해왔으며, 근래에는 13.5㎚ 파장의 EUV 노광광을 이용한 리소그래피 기술이 개발되고 있다.Photo-lithography due to the high integration has been developed to improve the resolution, such as 436 nm (g-line), 405 nm (h-line), 365 nm (i-line), 248 nm 193nm (ArF) wavelength is used as exposure light. Recently, lithography technology using EUV exposure light of 13.5nm wavelength has been developed.

그러나, EUV 리소그래피에 사용되는 13.5㎚ 파장의 노광광은 대부분의 물질(기체 포함)에 쉽게 흡수되는 성질이 있어 EUV 리소그래피 기술은 기존의 투과형 리소그래피 기술(예를 들어, ArF 리소그래피 기술의 투광부와 차광부를 이용하는 원리)과는 달리 노광광을 반사하는 구조체 및 흡수하는 구조체가 결합된 구조를 가진다. 즉, 극자외선용 블랭크 마스크는 크게 다층 반사막(Multi-reflective layer) 부분과 흡수막(Absorber layer) 부분의 2부분으로 구성된다.However, since the exposure light having a wavelength of 13.5 nm used in EUV lithography is easily absorbed by most substances (including gases), EUV lithography technology can be applied to a conventional transmission type lithography technique (for example, Unlike the principle of using a light source and a light source, a structure for reflecting the exposure light and a structure for absorbing the light are combined. That is, the blank mask for extreme ultraviolet rays is mainly composed of two parts: a multi-reflective layer portion and an absorber layer portion.

일반적으로 상기 다층 반사막은 몰리브데늄(Mo)과 실리콘(Si)이 교대로 40 내지 60층으로 적층된 구조를 갖고, 13.5㎚의 파장에서 64% ∼ 66%의 반사율을 나타낸다. 그리고, 상기 흡수막은 13.5㎚의 극자외선 노광광을 흡수할 수 있는 물질로서 일반적으로 탄탈륨(Ta)을 포함하는 화합물, 예컨대 질화탄탈륨(TaN), 질화산화탄탈륨(TaON)등으로 구성된다. 이는, 탄탈륨(Ta) 화합물의 경우 반도체 제조공정에서 널리 사용되고 있는 염소(Cl) 및 불소(F) 계열의 라디칼(Radical)을 이용한 플라즈마 식각이 용이하여 마스크 제조 공정을 쉽게 할 수 있는 장점이 있기 때문이다.Generally, the multilayer reflective film has a structure in which molybdenum (Mo) and silicon (Si) are alternately laminated in 40 to 60 layers and exhibits a reflectance of 64% to 66% at a wavelength of 13.5 nm. The absorbing film is made of a compound containing tantalum (Ta), for example, tantalum nitride (TaN), tantalum nitride (TaON) or the like as a substance capable of absorbing extreme ultraviolet ray exposure light of 13.5 nm. This is because the tantalum (Ta) compound has an advantage that it is easy to perform the mask manufacturing process because plasma etching using chlorine (Cl) and fluorine (F) series radicals widely used in the semiconductor manufacturing process is easy to be.

그러나, 상술한 탄탈륨(Ta) 화합물로 구성하는 흡수막을 이용하여 14㎚급 이하, 특히, 10㎚급 이하의 패턴을 구현하는 경우 아래와 같은 문제점이 발생한다.However, when a pattern of 14 nm or less, particularly 10 nm or less, is implemented by using the above-described absorbing film composed of the tantalum (Ta) compound, the following problems arise.

도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면이다.BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a diagram illustrating a shadow effect generated in a photomask manufactured using a conventional extreme ultraviolet ray blank mask. FIG.

도 1을 참조하면, 종래의 탄탈륨(Ta) 화합물로 흡수막(106)을 형성하는 극자외선용 블랭크 마스크는 흡수막(106)의 두께에 의한 그림자 효과(Shadowing Effect)가 문제된다. 그림자 효과란, 흡수막 패턴(106a)에 극자외선 노광광이 조사될 때 극자외선 노광광의 입사 각도가 수직입사 대비 기울어짐(약 4°∼ 6°)에 따라 흡수막 패턴(106a)이 가지는 두께에 의해 반사광이 흡수막 패턴(106a)에 흡수되어 일정 부분 전사되지 못하는 것을 말한다. 상기 흡수막(106)이 탄탈륨(Ta) 화합물로 구성되는 경우, 탄탈륨(Ta)은 극자외선 노광광에 대한 흡수도가 비교적 낮기 때문에 일정량의 소멸계수를 갖기 위하여 흡수막(106)은 70㎚ 이상의 두께를 필요로 하게 되고, 그 결과 흡수막(106)의 두께가 두꺼워져 그림자 효과 또한 커지게 된다.Referring to FIG. 1, in the extreme ultraviolet ray blank mask for forming the absorption layer 106 with a conventional tantalum (Ta) compound, a shadowing effect due to the thickness of the absorption layer 106 is problematic. The shadow effect refers to the thickness of the absorbing film pattern 106a when the incident angle of the extreme ultraviolet ray exposure light is inclined to the vertical incidence (about 4 to 6 degrees) when the absorbing film pattern 106a is irradiated with extreme ultraviolet ray exposure light. Reflected light is absorbed by the absorbing film pattern 106a and is not transferred to a certain area. In the case where the absorption layer 106 is made of a tantalum (Ta) compound, since the absorption of tantalum (Ta) to extreme ultraviolet ray exposure light is comparatively low, the absorption layer 106 preferably has an extinction coefficient of 70 nm or more As a result, the thickness of the absorbing film 106 becomes thick and the shadow effect also becomes large.

또한, 흡수막(106)의 두께는 가로 패턴(Horizontal Pattern : HP)과 세로 패턴(Vertical Pattern : VP) 사이에 패턴 간(HP-VP) 임계치수(CD) 편차(Bias)를 발생시킨다. 특히, 이러한 특성은 패턴의 방향(가로 또는 세로) 및 스캐너(Scanner)의 방향에 따라 가로 패턴(HP)과 세로 패턴(VP) 간의 그림자 효과가 달리 발생하게 된다.In addition, the thickness of the absorbing film 106 generates the critical number (CD) deviation (Bias) between the patterns (HP-VP) between the horizontal pattern (HP) and the vertical pattern (VP). Particularly, such a characteristic is different from the shadow effect between the horizontal pattern HP and the vertical pattern VP depending on the direction of the pattern (horizontal or vertical) and the direction of the scanner (Scanner).

도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면이다.FIG. 2 is a view for explaining whether or not a shadow effect according to a pattern direction of a photomask manufactured using a conventional extreme ultraviolet ray blank mask is generated.

도 2의 (a), (b)를 참조하면, 세로 패턴(VP)의 경우 먼저 설명했던 바와 같이 그림자 효과가 발생하지만, 가로 패턴(HP)의 경우에는 패턴의 방향과 입사광 및 반사광이 평행함에 따라 그림자 효과가 문제되지 않는다. 따라서, 세로 패턴(VP)과 가로 패턴(HP) 간에 임계치수 편차(CD Bias)가 발생한다.2 (a) and 2 (b), a shadow effect is generated as described above in the case of the vertical pattern VP. However, in the case of the horizontal pattern HP, the direction of the pattern and the incident light and the reflected light are parallel The shadow effect does not matter. Therefore, a threshold number deviation (CD Bias) is generated between the vertical pattern (VP) and the horizontal pattern (HP).

이에 따라, 탄탈륨(Ta) 화합물로 형성된 흡수막 패턴이 70㎚ 이상의 두께를 가지는 경우, 하프피치(Half-pitch) 20㎚급에 적용 시 가로-세로 패턴 간 임계치수 편차가 약 4㎚, 하프피치 14㎚급에 적용 시 가로-세로 패턴 간 임계치수 편차가 약 10㎚ 이상 발생하여, 구현하고자 하는 패턴 크기가 작을수록 임계치수 편차는 커지게 된다.Accordingly, when the absorption film pattern formed of the tantalum (Ta) compound has a thickness of 70 nm or more, the threshold value deviation between the transverse-longitudinal patterns when applied to a half-pitch 20 nm class is about 4 nm, When applied to the 14-nm class, the threshold number deviation between the horizontal and vertical patterns is about 10 nm or more, and the smaller the pattern size to be implemented, the larger the deviation of the critical dimension.

또한, 상기 흡수막이 탄탈륨(Ta) 화합물로 구성되는 경우, 흡수막은 일반적으로 2층 구조(흡수층 및 반사방지층)로 형성되고, 그 물질의 조성이 서로 상이하게 구성된다. 자세하게, 흡수막을 구성하는 흡수층(하부층)은 13.5㎚의 노광광을 흡수하기 위하여 소멸계수가 높은 질화탄탈막(TaN)으로 구성되고, 반사방지층(상부층)은 검사파장(예를들어, 193㎚ 또는 257㎚)에서의 검사 감도(Contrast) 효율을 높이기 위해 산화질화탄탈(TaON)막으로 구성됨에 따라 식각 특성이 서로 달라지게 된다. 일례로, 반사방지층으로 적용되는 산화질화탄탈막(TaON)은 불소 가스에 식각되는 특성이 높으며, 질화탄탈막(TaN)은 염소 가스에 식각되는 특성이 높다. 따라서, 현재 탄탈륨(Ta) 화합물로 구성되는 흡수막은 불소 가스 및 염소 가스에 의한 2회에 걸친 식각 공정이 적용되어 공정이 번거롭고 공정 중의 결함 및 이물질의 발생 확률이 높다. 최종적으로 이러한 문제점은 공정상의 수율(Product Yield)에 영향을 미친다.When the absorbing film is composed of a tantalum (Ta) compound, the absorbing film is generally formed of a two-layer structure (absorbing layer and antireflection layer), and the composition of the material is different from each other. In detail, the absorbing layer (lower layer) constituting the absorbing film is composed of a tantalum nitride film (TaN) having a high extinction coefficient for absorbing the exposure light of 13.5 nm, and the antireflection layer (upper layer) (TaON) film in order to improve the inspection sensitivity in the case of a high sensitivity (257 nm), the etch characteristics are different from each other. For example, a tantalum oxynitride film (TaON) used as an antireflection layer has a high etching property to fluorine gas, and a tantalum nitride film (TaN) has a high etching property to chlorine gas. Therefore, the absorption layer composed of the tantalum (Ta) compound is subjected to the etching process twice by the fluorine gas and the chlorine gas, so that the process is troublesome and the probability of occurrence of defects and foreign matter in the process is high. Ultimately, this problem affects product yield.

한편, 극자외선용 블랭크 마스크를 이용한 극자외선용 포토마스크의 제조 공정은 광학 근접효과 보정(Optical Proximity Correction : OPC)을 위한 보조 형상 패턴(Sub-resolution Feature Size; SRFS - 예를 들어, 어시스트 바(Assist bar) 등)을 필요로 한다. 상기 보조 형상 패턴은 포토마스크 상에서는 나타나지만 웨이퍼(Wafer)에는 프린팅(Printing) 되지 않는 패턴으로서 포토마스크 제조 시 주요 패턴(Main Pattern)과 더불어 높은 해상도가 요구된다. 상기 극자외선용 블랭크 마스크를 전자 빔(e-beam) 노광(writing) 시 레지스트막이 두꺼우면 전자(Electron)의 산란(Scattering)에 의해 미세 패턴 구현이 어려우며, 이에 따라, 레지스트막의 박막화가 필수적으로 요구된다.Meanwhile, a manufacturing process of a photomask for extreme ultraviolet rays using a blank mask for extreme ultraviolet rays is performed by using a sub-resolution feature size (SRFS) for optical proximity correction (OPC), for example, Assist bar) and the like. The auxiliary pattern is a pattern which is not printed on a wafer but appears on a photomask, and a high resolution is required in addition to a main pattern in manufacturing a photomask. If the resist film is thick when electron beam (e-beam) writing is performed on the extreme ultraviolet ray blank mask, it is difficult to realize a fine pattern by scattering electrons. Therefore, do.

본 발명은 하드 필름을 구비하여 레지스트막의 박막화를 통한 14㎚급 이하, 특히, 10㎚급 이하의 패턴 구현 시 우수한 패턴 정확도를 갖는 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크를 제공한다.The present invention provides a blank mask for extreme ultraviolet rays having excellent pattern accuracy when a pattern having a hardness of 14 nm or less, particularly 10 nm or less, is formed through thinning of a resist film, and a photomask using the same.

또한, 본 발명은 흡수막을 구성하는 금속 및 경원소의 조성비를 조절하여, 요구되는 흡수막의 광학 특성을 확보함과 동시에 흡수막의 박막화를 구현한 극자외선용 포토마스크를 제공한다.Also, the present invention provides a photomask for extreme ultraviolet rays, which realizes thin film formation of an absorbing film while securing optical characteristics of a required absorbing film by adjusting the composition ratio of metal and light source constituting the absorbing film.

아울러, 본 발명은 하드 필름과 흡수막의 식각 특성을 상이하게 하여 각 막의 식각 공정에서 다른 막에 가해지는 식각 손상을 최소화시킨 고품질의 극자외선용 포토마스크를 제공한다.In addition, the present invention provides a high-quality ultraviolet photomask which minimizes etching damage to other films in the etching process of each film by differentiating etch characteristics of the hard film and the absorbing film.

본 발명에 따른 블랭크 마스크는, 투명 기판 상에 다층 반사막, 캡핑막, 흡수막 및 레지스트막이 구비되며, 상기 흡수막은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 하나를 포함하여 구성된다. A blank mask according to the present invention is provided with a multilayer reflective film, a capping film, an absorbing film and a resist film on a transparent substrate, and the absorbing film comprises at least one of nickel (Ni) and nickel tantalum (NiTa).

또한, 본 발명에 따른 블랭크 마스크는, 투명 기판 상에 반사막, 캡핑막, 흡수막, 제1기능성막 및 레지스트막이 순차적으로 구비되며, 상기 흡수막은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 이루어지고, 상기 제1기능성막은 상기 흡수막의 패터닝을 위한 식각마스크 역할한다. A blank mask according to the present invention is characterized in that a reflective film, a capping film, an absorbing film, a first functional film, and a resist film are sequentially formed on a transparent substrate, and the absorbing film is formed of at least one of nickel (Ni) and nickel tantalum And the first functional film serves as an etching mask for patterning the absorbing film.

그리고, 본 발명에 따른 블랭크 마스크는, 투명 기판 상에 반사막, 캡핑막, 흡수층과 제2기능성막으로 구성된 흡수막 및 레지스트막이 순차적으로 구비되며, 상기 흡수층은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 구성되고, 상기 제2기능성막은 상기 흡수층의 패터닝을 위한 식각마스크 및 상기 흡수층의 상부에 잔류하여 반사방지층으로 역할한다. The blank mask according to the present invention includes a transparent substrate, a reflective film, a capping film, an absorptive film composed of an absorber layer and a second functional film, and a resist film sequentially formed on the transparent substrate, wherein the absorber layer comprises nickel (Ni) and nickel tantalum (NiTa) And the second functional film functions as an etching mask for patterning the absorber layer and an antireflection layer remaining on the absorber layer.

또한, 본 발명에 따른 블랭크 마스크는, 투명 기판 상에 반사막, 캡핑막, 흡수층과 제2기능성막으로 구성된 흡수막, 제3기능성막 및 레지스트막이 순차적으로 구비되며, 상기 흡수층은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 구성되고, 상기 제2기능성막은 상기 흡수층의 패터닝을 위한 식각마스크 및 상기 흡수층의 상부에 잔류하여 반사방지층으로 역할하며, 상기 제3기능성막은 상기 제2기능성막을 패터닝하기 위한 식각마스크로 역할한다. In addition, the blank mask according to the present invention is characterized by comprising a transparent substrate, a reflective film, a capping film, an absorbing layer consisting of an absorbing layer and a second functional film, a third functional film and a resist film sequentially, Nickel tantalum (NiTa), and the second functional film functions as an etching mask for patterning the absorber layer and as an antireflective layer to remain on the upper portion of the absorber layer, and the third functional film serves as the second And serves as an etching mask for patterning the functional film.

상기 흡수막 및 흡수층은 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 경원소 물질을 더 포함하며, 상기 니켈(Ni) 또는 니켈탄탈(NiTa) 금속 대비 경원소의 조성비는 99at% : 1at% ∼ 20at% : 80at%이다. Wherein the absorbent layer and the absorbent layer further comprise at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), and boron (B) The composition ratio of the light source is 99at%: 1at% ~ 20at%: 80at%.

상기 흡수층이 니켈탄탈(NiTa)을 포함하여 형성된 경우, 니켈탄탈(NiTa) 타겟의 조성비는 Ni : Ta = 5at% ∼ 95at% : 95at% ∼ 5at%의 조성비를 갖는다.When the absorption layer is formed of nickel tantalum (NiTa), the composition ratio of the nickel tantalum (NiTa) target has a composition ratio of Ni: Ta = 5 at% to 95 at%: 95 at% to 5 at%.

상기 흡수막 또는 상기 흡수층 및 제2기능성막의 적층은 30㎚ ∼ 70㎚의 두께를 갖는다.The absorbing film or the lamination of the absorbing layer and the second functional film has a thickness of 30 nm to 70 nm.

상기 흡수막 또는 상기 흡수막은 균일 조성의 막이나 조성비가 연속적으로 변화되는 연속막의 형태를 갖는 단층막 또는 다층 구조를 갖는다.The absorbing film or the absorbing film has a film of uniform composition or a single layer film or multilayer structure having a continuous film shape in which the composition ratio is continuously changed.

상기 제1기능성막 또는 상기 제2기능성막은 크롬(Cr), 탄탈(Ta), 몰리브데늄(Mo), 실리콘(Si) 중 적어도 1종 이상의 물질로 이루어지거나 상기 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 적어도 1종 이상의 경원소 물질을 더 포함하여 이루어지며, 상기 물질 대비 경원소의 조성비는 100at% : 0at% ∼ 20at% : 80at%이다. Wherein the first functional film or the second functional film is made of at least one material selected from the group consisting of Cr (Cr), Ta (Ta), Molybdenum (Mo) and Si (Si) Wherein the composition ratio of the light source to the material is 100 at%: 0 at% to 20 at%: 80 at% (inclusive), and at least one element selected from the group consisting of carbon (C), boron (B) %to be.

상기 제1기능성막, 제2기능성막 또는 제3기능성막은 단일막이나 조성비가 연속적으로 변화되는 연속막의 형태를 갖는 단층막 또는 2층 이상의 다층 구조를 갖는다.The first functional film, the second functional film, or the third functional film has a single film or a single-layer film having a continuous film shape in which the composition ratio is continuously changed or a multilayer structure of two or more layers.

상기 제1기능성막, 제2기능성막 또는 제3기능성막은 각각 하부에 배치되는 상기 흡수막, 상기 흡수층 또는 제2기능성막에 대한 식각비가 10 이상이다. The first functional film, the second functional film, or the third functional film has an etch ratio of 10 or more with respect to the absorbing film, the absorbing layer, or the second functional film disposed below.

상기 제1기능성막 또는 제3기능성막은 1㎚ ∼ 10㎚의 두께를 갖는다.The first functional film or the third functional film has a thickness of 1 nm to 10 nm.

상기 제2기능성막은 5㎚ ∼ 20㎚의 두께를 갖는다.The second functional film has a thickness of 5 nm to 20 nm.

상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 갖는다.The absorbing film has a reflectance of less than 10% with respect to 13.5 nm exposure light for extreme ultraviolet rays.

상기 흡수막은 193㎚의 검사 파장에 대하여 30% 미만의 반사율을 갖는다.The absorbing film has a reflectance of less than 30% with respect to an inspection wavelength of 193 nm.

상기 제3기능성막은 크롬(Cr)으로 이루어지거나 상기 크롬(Cr)에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 이루어지며, 상기 물질 대비 경원소의 조성비는 100at% : 0at% ∼ 20at% : 80at%이다. Wherein the third functional film is made of chromium or at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B) And the composition ratio of the light source to the material is 100 at%: 0 at% to 20 at%: 80 at%.

상기 캡핑막 및 상기 흡수막 사이에 구비된 버퍼막을 더 포함한다. And a buffer layer provided between the capping layer and the absorption layer.

상기 투명 기판의 후면에 구비된 도전막을 더 포함한다. And a conductive film provided on a rear surface of the transparent substrate.

상기 레지스트막과 상기 레지스트막의 하부에 배치되는 막 사이에 실리콘을 포함한 고분자화합물을 더 포함한다.And a polymer compound containing silicon between the resist film and a film disposed under the resist film.

본 발명은 앞의 극자외선용 블랭크 마스크 중 하나의 극자외선용 블랭크 마스크를 이용하여 극자외선용 포토마스크를 제조할 수 있다.The present invention can produce a photomask for extreme ultraviolet rays by using one of the above blank masks for extreme ultraviolet rays.

본 발명은 흡수막을 구성하는 금속 및 경원소의 조성비를 조절하여, 요구되는 흡수막의 광학 특성을 확보함과 동시에 흡수막의 박막화를 구현할 수 있다. 또한, 본 발명은 흡수막과 식각 특성이 상이한 기능성막을 식각마스크로 사용하여 흡수막을 식각함에 따라 레지스트막의 박막화를 함께 구현할 수 있어 14㎚급 이하, 특히, 10㎚급 이하의 패턴 구현 시 우수한 패턴 정확도를 구현된 고품질의 극자외선용 포토마스크를 제공할 수 있다.According to the present invention, by adjusting the composition ratio of the metal and the light source constituting the absorption film, the optical characteristics of the required absorption film can be ensured and the thinning of the absorption film can be realized. Also, according to the present invention, the thin film of the resist film can be realized by etching the absorbing film by using the functional film having different etching characteristics from the absorbing film as the etching mask. Therefore, when the pattern of 14 nm or less, especially 10 nm or less, A high quality ultraviolet ray photomask can be provided.

도 1은 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크에서 발생되는 그림자 효과를 설명하기 위하여 도시한 도면,
도 2는 종래의 극자외선용 블랭크 마스크를 이용하여 제작된 포토마스크의 패턴 방향에 따른 그림자 효과의 발생 유무를 설명하기 위하여 도시한 도면,
도 3은 본 발명의 제 1 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도,
도 4는 본 발명의 제 2 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도,
도 5는 본 발명의 제 3 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도,
도 6은 본 발명의 제 4 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도, 그리고,
도 7은 본 발명의 제 5 실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a view illustrating a shadow effect generated in a photomask manufactured using a conventional extreme ultraviolet ray blank mask,
FIG. 2 is a view illustrating a shadow effect according to a pattern direction of a photomask fabricated using a conventional extreme ultraviolet ray blank mask,
3 is a sectional view showing a blank mask for extreme ultraviolet rays according to a first embodiment of the present invention,
4 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a second embodiment of the present invention,
5 is a sectional view showing a blank mask for extreme ultraviolet rays according to a third embodiment of the present invention,
6 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a fourth embodiment of the present invention,
7 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a fifth embodiment of the present invention.

이하에서는, 도면을 참조하여 본 발명의 실시예를 통하여 본 발명을 구체적으로 설명하지만, 실시예는 단지 본 발명의 예시 및 설명을 하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 발명의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로, 본 발명의 기술 분야에서 통상의 지식을 가진 자라면 실시예로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 수 있을 것이다. 따라서, 본 발명의 진정한 기술력 보호범위는 특허청구범위의 기술적 사항에 의해 정해져야 할 것이다.Hereinafter, the present invention will be described in detail with reference to the drawings, but it should be understood that the present invention is not limited to these embodiments. For example, And is not intended to limit the scope of the invention. Therefore, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention. Accordingly, the true scope of protection of the present invention should be determined by the technical matters of the claims.

도 3은 본 발명의 제1실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.3 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to the first embodiment of the present invention.

도 3을 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(300)는 투명 기판(302), 투명 기판(302) 상에 순차적으로 적층된 다층 반사막(304), 캡핑막(306), 흡수막(312) 및 레지스트막(318)을 구비한다. 3, a blank mask 300 for extreme ultraviolet rays according to the present invention includes a transparent substrate 302, a multilayer reflective film 304 sequentially stacked on the transparent substrate 302, a capping film 306, (312) and a resist film (318).

흡수막(312)은 흡수층(308) 및 반사방지층(310)이 적층된 다층 구조를 가지며, 흡수층(308)이 반사방지 기능을 갖는 경우, 단층 구조로 형성할 수 있다. 흡수막(312)이 단층 구조를 갖는 경우, 흡수막(312)은 조성비가 일정한 단일막으로 구성되거나, 두께 방향으로 조성비가 변화되는 연속막의 형태로 구성할 수 있다.The absorbing layer 312 may have a multi-layer structure in which the absorbing layer 308 and the antireflection layer 310 are laminated and may have a single layer structure when the absorbing layer 308 has an antireflection function. When the absorption layer 312 has a single-layer structure, the absorption layer 312 may be composed of a single layer having a constant composition ratio or may be formed in the form of a continuous layer in which the composition ratio varies in the thickness direction.

투명 기판(302)은 EUV광을 이용하는 반사형 마스크 블랭크용 글래스 기판으로서 적합하도록 노광 시의 열에 의한 패턴의 변형을 방지하기 위해 0 ± 1.0 × 10-7/℃ 범위 내의 저 열팽창 계수를 가지며, 바람직하게는 0 ± 0.3 × 10-7/℃ 범위 내의 저 열팽창 계수를 갖는 LTEM(Low Thermal Expansion Material) 기판이다.The transparent substrate 302 preferably has a low thermal expansion coefficient within a range of 0 占 1.0 占10-7 / 占 폚 in order to prevent deformation of the pattern due to heat during exposure so as to be suitable as a glass substrate for a reflective mask blank using EUV light, Is an LTEM (Low Thermal Expansion Material) substrate having a low thermal expansion coefficient in the range of 0 ± 0.3 × 10 -7 / ° C.

상기 LTEM 기판은 노광 시 반사광의 정밀도를 높이기 위하여 높은 평탄도(Flatness)가 요구된다. 평탄도는 TIR값으로 표현되며, TIR(Total Indicated Reading)이란 표면의 휘어짐(변형량)을 나타내는 값으로 기판 표면을 기준으로 하여 최소 제곱법에 의해 정해지는 평면을 초평면으로 하고, 이 초평면보다 위에 있는 기판 표면의 가장 높은 위치와 초평면보다 아래에 있는 기판 표면의 가장 낮은 위치와의 고저차의 절대값을 말한다. 따라서, 평탄도가 높을수록 TIR값은 낮은 값을 갖게 되고, LTEM 기판은 낮은 TIR값을 갖는 것이 바람직하다.The LTEM substrate is required to have a high flatness in order to increase the precision of reflected light upon exposure. TIR (Total Indicated Reading) is a value representing the warpage (deformation amount) of the surface. The plane defined by the least squares method with reference to the surface of the substrate is defined as a hyperplane, Refers to the absolute value of the difference in height between the highest position of the substrate surface and the lowest position of the substrate surface below the hyperplane. Therefore, the higher the flatness, the lower the TIR value, and the LTEM substrate preferably has a lower TIR value.

LTEM 기판의 평탄도는 상부에 형성되는 다층 반사막 및 캡핑막, 나아가 흡수막의 평탄도에 영향을 미치게 되고, 특히, 반사막 및 캡핑막 상에서의 평탄도가 낮을 경우(높은 TIR값을 갖는 경우), EUV 노광광이 약 4°∼ 6° 경사입사하여 포토마스크로부터 전사되면서 패턴의 위치 왜곡(Position Distribution)현상이 발생하게 된다. 따라서, 기판의 평탄도(TIR값)는 이상적으로 '0'인 것이 바람직하나, 실질적인 가공(예를 들어 폴리싱, 부분 연마 등의 기계적 가공)에 의해서는 '0'의 TIR값을 구현하기가 어렵다. 따라서, LTEM 기판의 평탄도는 60㎚ 이하의 TIR값을 가지며, 바람직하게, 40㎚ 이하의 평탄도를 갖는다.The flatness of the LTEM substrate affects the flatness of the multilayer reflective film and the capping film formed on the upper portion and further the absorption film. Particularly, when the flatness on the reflective film and the capping film is low (in the case of having a high TIR value) The exposure light is incident on the photomask at an incidence angle of about 4 ° to 6 °, and the position distribution of the pattern is generated. Therefore, it is preferable that the flatness (TIR value) of the substrate is ideally '0', but it is difficult to realize the TIR value of '0' by actual processing (for example, mechanical processing such as polishing or partial polishing) . Therefore, the flatness of the LTEM substrate has a TIR value of 60 nm or less, and preferably has a flatness of 40 nm or less.

다층 반사막(304)은 몰리브데늄(Mo) 및 실리콘(Si)을 교대로 40층 내지 60층 적층하여 형성한다. 다층 반사막(304)은 이미지 감도(Image Contrast)를 좋게 하기 위하여 13.5㎚ 파장에 대한 높은 반사율이 요구된다. 이러한 다층 반사막의 반사 강도(Reflection Intensity)는 노광광의 입사 각도 및 각 층의 두께에 따라 달라지게 되는데, 예를 들어, 노광광의 입사 각도가 5˚일 경우 몰리브데늄(Mo) 및 실리콘(Si)이 각각 2.8㎚, 4.2㎚의 두께로 형성되는 것이 바람직하나, EUV 액침 노광 리소그래피(Immersion Lithography) 적용 시 입사 각도가 8˚∼ 14˚로 넓어짐에 따라, 반사 강도가 달라지게 된다. 따라서, 반사막(304)은 노광광의 최종 입사 각도에 최적화된 반사 강도를 가져야 하며, 이때 몰리브데늄(Mo)은 2㎚ ∼ 4㎚, 실리콘은 3㎚ ∼ 5㎚의 두께를 갖는다.The multilayer reflective film 304 is formed by alternately laminating 40 layers to 60 layers of molybdenum (Mo) and silicon (Si). The multilayer reflective film 304 is required to have a high reflectance for a wavelength of 13.5 nm in order to improve image contrast. The reflection intensity of the multilayer reflective film depends on the angle of incidence of the exposure light and the thickness of each layer. For example, when the angle of incidence of the exposure light is 5 °, molybdenum (Mo) and silicon (Si) Are preferably formed to have a thickness of 2.8 nm and 4.2 nm, respectively. However, when EUV liquid immersion lithography is applied, the incident angle is widened to 8 to 14 degrees, and the reflection intensity is changed. Therefore, the reflective film 304 should have a reflection intensity optimized for the final incident angle of the exposure light, wherein the molybdenum (Mo) has a thickness of 2 nm to 4 nm and the silicon has a thickness of 3 nm to 5 nm.

다층 반사막(304)은 몰리브데늄(Mo)이 대기에 접촉하면 쉽게 산화되어 반사율이 저하되기 때문에 산화 방지를 위한 보호막으로서 실리콘(Si)을 최상층에 형성하는 것이 바람직하다. 반사막(304)은 13.5㎚의 극자외선용 노광 파장에 대하여 65% 이상의 반사율을 가지며, 193㎚ 또는 257㎚의 검사 파장에 대하여 40% ∼ 65%의 반사율을 갖는다. 반사막(304)은 표면 TIR의 절대값으로 60㎚ 이하의 값을 가지며, 바람직하게, 40㎚ 이하의 값을 갖는다. 반사막(304)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.Since the multilayer reflective film 304 is easily oxidized when the molybdenum (Mo) is brought into contact with the atmosphere and reflectance is lowered, it is preferable to form silicon (Si) as the protective film for preventing oxidation. The reflective film 304 has a reflectance of 65% or more with respect to an exposure wavelength for extreme ultraviolet light of 13.5 nm and a reflectance of 40% to 65% with respect to an inspection wavelength of 193 nm or 257 nm. The reflective film 304 has a value of 60 nm or less in absolute value of the surface TIR, and preferably has a value of 40 nm or less. The surface roughness of the reflective film 304 has a value of 0.2 nm RMS or less and preferably 0.1 nm RMS or less.

캡핑막(306)은 루테늄(Ru), 티타늄(Ti), 몰리브덴(Mo), 탄탈(Ta), 바나듐(V), 코발트(Co), 니켈(Ni), 지르코늄(Zr), 니오븀(Nb), 팔라듐(Pd), 아연(Zn), 크롬(Cr), 알루미늄(Al), 망간(Mn), 카드뮴(Cd), 마그네슘(Mg), 리튬(Li), 셀레늄(Se), 구리(Cu), 하프늄(Hf), 텅스텐(W), 실리콘(Si) 중 선택되는 1종 이상의 금속 물질을 포함하여 이루어지거나 또는 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 물질을 더 포함하여 이루어진다.The capping layer 306 may be formed of Ru, Ti, Mo, Ta, V, Co, Ni, Zr, Nb, Pd, Zn, Cr, Al, Mn, Cd, Mg, Li, Selenium, Cu, (H), tungsten (W), silicon (Si), or a mixture of oxygen (O), nitrogen (N), carbon (C), boron B). ≪ / RTI >

이때, 캡핑막(306)은 루테늄(Ru), 니오븀(Nb)으로 형성하거나 또는 루테늄(Ru) 화합물, 니오븀(Nb) 화합물로 형성하며, 루테늄(Ru)과 니오븀(Nb)을 포함하는 화합물로 형성할 수 있다. 상기 금속 대비 경원소(산소(O), 질소(N), 탄소(C), 붕소(B)의 합)의 함유량은 10 : 0 ∼ 5 : 5의 비율을 갖는다.The capping layer 306 may be formed of ruthenium or niobium or may be formed of a ruthenium compound or a niobium compound and may include ruthenium and niobium . The content of the metal-to-metal light element (the sum of oxygen (O), nitrogen (N), carbon (C) and boron (B)) has a ratio of 10: 0 to 5: 5.

캡핑막(306)은 1㎚ ∼ 10㎚의 두께를 가지며, 바람직하게, 1㎚ ∼ 5㎚의 두께를 갖는다. 캡핑막(306)은 그 두께가 1㎚ 이하인 경우, 상부 흡수막 패턴 형성 시 식각 조건(예를 들어, Over Etching 등)을 고려하였을 때 하부에 형성된 반사막(304)을 보호하기 어렵다. 또한, 그 두께가 10㎚ 이상인 경우, 13.5㎚의 노광 파장에 대하여 60% 미만의 반사율을 가져 흡수막(312) 반사율에 대한 이미지 감도(Image Contrast)가 감소하게 되고, 검사 파장(예를 들어, 193㎚ 또는 257㎚)에서 반사율이 낮아 최종 흡수막에 대한 검사 감도 또한 감소하여 검사가 어렵다.The capping film 306 has a thickness of 1 nm to 10 nm, and preferably has a thickness of 1 nm to 5 nm. When the thickness of the capping film 306 is 1 nm or less, it is difficult to protect the reflective film 304 formed at the bottom when etching conditions (for example, overetching, etc.) are taken into consideration when forming the upper absorber film pattern. When the thickness is 10 nm or more, the reflectance is less than 60% with respect to the exposure wavelength of 13.5 nm, so that the image sensitivity to the reflectance of the absorbing film 312 is reduced, and the inspection wavelength (for example, 193 nm or 257 nm), the inspection sensitivity to the final absorbent film is also reduced, which makes inspection difficult.

캡핑막(306)은 13.5㎚의 극자외선 노광 파장에 대하여 60% 이상의 반사율을 가지며, 표면 TIR의 절대값으로 60㎚ 이하의 값을 갖고, 바람직하게, 40㎚ 이하의 값을 갖는다. 캡핑막(306)의 표면 거칠기(Surface Roughness)는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.The capping film 306 has a reflectance of 60% or more with respect to an extreme ultraviolet ray exposure wavelength of 13.5 nm, has an absolute value of surface TIR of 60 nm or less, and preferably has a value of 40 nm or less. The surface roughness of the capping film 306 has a value of 0.2 nm RMS or less and preferably 0.1 nm RMS or less.

흡수막(312)은 니켈(Ni), 텔루륨(Te), 주석(Sn), 팔라듐(Pd), 셀레늄(Se), 텅스텐(W), 오스뮴(Os), 이리듐(Ir), 안티몬(Sb), 실리콘(Si), 탄탈륨(Ta) 중 선택되는 1종 이상의 금속 물질을 포함하여 이루어지거나 또는 상기 1종 이상의 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 물질을 더 포함하여 이루어지며, 비결정질(Amorphous) 구조를 갖는다.The absorption layer 312 may be formed of at least one selected from the group consisting of Ni, Tell, Sn, Pd, Se, T, Os, Ir, ), Silicon (Si), and tantalum (Ta), or one or more metal materials selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron ) And hydrogen (H), and has an amorphous structure.

흡수막(312)은 극자외선용 포토마스크의 노광 시 발생하는 그림자 효과를 저감시키기 위하여 광원의 입사 각도를 줄이는 것 외에 그 두께의 박막화가 필요하다. 이 중, 흡수막(312) 두께의 박막화를 위하여 노광광에 대한 높은 소멸계수를 갖고, 식각 특성 및 하부 캡핑막(306)에 대한 식각 선택비가 우수하며, 세정에 사용되는 화학 약품에 대하여도 우수한 내성을 갖는 구성 물질이 요구된다. 현재 사용되고 있는 탄탈(Ta)의 경우에는 13.5㎚의 노광 파장에 대하여 0.0408의 소멸계수를 가지며, 탄탈(Ta)을 주성분으로 하는 흡수막에 일정한 반사율을 만족시키기 위하여 70㎚ 이상의 두께가 필요하다.The absorption layer 312 needs to be thinned to reduce the incident angle of the light source in order to reduce the shadow effect generated during exposure of the EUV photomask. In order to reduce the thickness of the absorption layer 312, a high extinction coefficient for exposure light, an excellent etching selectivity for the etching property and the lower capping layer 306, and excellent chemical resistance Constituent materials having resistance are required. The currently used tantalum (Ta) has an extinction coefficient of 0.0408 with respect to an exposure wavelength of 13.5 nm, and a thickness of 70 nm or more is required to satisfy a constant reflectance in an absorbing film containing tantalum (Ta) as a main component.

본 발명은 니켈(Ni) 또는 니켈(Ni) 화합물로 흡수막(312)을 형성하며, 니켈(Ni)은 13.5㎚의 노광 파장에 대하여 0.0727의 소멸계수를 가져 동일량의 반사율을 만족시키기 위하여 70㎚ 이하의 두께로 박막화가 가능하다. 또한, 니켈(Ni)을 포함한 흡수막(312)의 식각 특성에 대하여, 니켈(Ni) 화합물 중 질화니켈(NiN)의 경우 건식 식각(Dry etching) 특성이 우수하고, 비결정질(Amorphous) 박막이 구현 가능하여 패턴의 특성 또한 우수하게 할 수 있다.The present invention forms an absorbing film 312 with a nickel (Ni) or nickel (Ni) compound, and nickel (Ni) has an extinction coefficient of 0.0727 for an exposure wavelength of 13.5 nm, Nm or less in thickness. In addition, nickel nitride (NiN) among the nickel (Ni) compounds is excellent in dry etching characteristics, and an amorphous thin film is realized with respect to the etching characteristic of the absorbing film 312 including nickel So that the characteristics of the pattern can be made excellent.

흡수막(312)이 니켈(Ni) 또는 니켈탄탈(NiTa) 화합물로 형성되는 경우, 건식 식각 특성의 향상을 위하여 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 등의 경원소를 더 포함할 수 있다. 이때, 흡수막(312)은 니켈(Ni) 또는 니켈탄탈(NiTa) 대비 경원소(산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상)의 비율이 95at% : 5at% ∼ 20at% : 80at%인 조성비를 갖는다. 또한, 흡수막(312)이 니켈탄탈(NiTa)을 포함하여 형성되는 경우, 니켈탄탈(NiTa) 타겟은 Ni : Ta = 5at% ∼ 95at% : 95at% ∼ 5at%인 조성비를 갖는다.When the absorption layer 312 is formed of nickel (Ni) or nickel tantalum (NiTa) compound, oxygen (O), nitrogen (N), carbon (C), boron (H), and the like. At this time, the absorption layer 312 may be formed of one or more of light elements (oxygen (O), nitrogen (N), carbon (C), boron (B), hydrogen (H) ) Has a composition ratio of 95 at%: 5 at% to 20 at%: 80 at%. When the absorption layer 312 is formed to include nickel tantalum (NiTa), the nickel tantalum (NiTa) target has a composition ratio of Ni: Ta = 5 at% to 95 at%: 95 at% to 5 at%.

흡수막(312)은 다층 반사막(304)과의 반사율 차이(Contrast)를 갖기 위해 검사 파장(193㎚ 또는 257㎚)에서 25% 이하의 낮은 반사율을 필요로 한다. 예를 들어, 흡수막(312)이 흡수층(308) 및 반사방지층(310)의 다층 구조를 갖는 경우, 반사방지층(310)은 니켈(Ni) 또는 니켈탄탈(NiTa)에 산소(O), 질소(N)를 포함하여 구성하면 검사 파장에서의 반사율을 낮추는 것이 가능하다. 또한, 흡수층(308)은 광 흡수율을 높이고 박막화하기 위하여 반사방지층(310)에 비하여 낮은 산소(O) 함유량을 갖는다. 따라서, 흡수층(308)은 질화니켈(NiN) 또는 질화니켈탄탈(NiTaN) 중 하나로 이루어지며, 반사방지층(310)은 산화질화니켈(NiON) 또는 산화질화니켈탄탈(NiTaON) 중 하나로 이루어진다. 본 발명의 흡수막(312)을 구성하는 질화니켈(NiN), 질화니켈탄탈(NiTaN), 산화질화니켈(NiON) 및 산화질화니켈탄탈(NiTaON)은 모두 염소(Cl) 가스로 식각 가능함에 따라, 한번의 식각 공정으로 흡수막(312)을 식각할 수 있어 공정이 간단하며, 결함 및 이물질의 발생 확률을 감소시킬 수 있다.The absorption film 312 requires a low reflectance of 25% or less at the inspection wavelength (193 nm or 257 nm) in order to have a reflectance difference contrast with the multilayer reflective film 304. For example, when the absorption layer 312 has a multilayer structure of the absorption layer 308 and the antireflection layer 310, the antireflection layer 310 may include oxygen (O), nitrogen (N), it is possible to lower the reflectance at the inspection wavelength. In addition, the absorption layer 308 has a lower oxygen (O) content than the antireflection layer 310 in order to increase the light absorptivity and reduce the thickness. The absorption layer 308 is made of one of nickel nitride (NiN) or nickel tin nitride (NiTaN) and the antireflection layer 310 is made of either nickel oxynitride (NiON) or nickel tin oxide (NiTaON). (NiN), nickel tin nitride (NiTaN), nickel oxynitride (NiON), and nickel oxynitride tantalum (NiTaON) constituting the absorption film 312 of the present invention are all etchable with chlorine , The absorption layer 312 can be etched by a single etching process, which simplifies the process and reduces the probability of occurrence of defects and foreign matter.

아울러, 흡수막(312)이 단층의 구조를 갖는 경우, 니켈(Ni) 또는 니켈탄탈(NiTa)을 주성분으로 하는 흡수막(312)은 막의 상부로 갈수록 산소(O) 및 질소(N)의 함유량을 증가시킴과 아울러 하부로 갈수록 산소(O)의 함유량이 감소하도록 연속막의 형태로 구성됨으로써 검사 파장에서의 반사율을 낮출 수 있고, 광 흡수율을 높일 수 있다.In the case where the absorption layer 312 has a single layer structure, the absorption layer 312 mainly composed of nickel (Ni) or nickel tantalum (NiTa) has a higher content of oxygen (O) and nitrogen (N) And the content of oxygen (O) decreases from the bottom to the bottom, so that the reflectance at the inspection wavelength can be lowered and the light absorption rate can be increased.

흡수막(312)은 30㎚ ∼ 70㎚의 두께를 갖는다. 흡수막(312)의 두께가 30㎚ 이하이면, 노광광에 대한 반사율이 10% 이상으로 반사율이 높고, 70㎚ 이상이면 가로-세로 패턴의 임계치수 편차가 높아 목표로 하는 임계치수 대비 편차가 커져 임계치수의 균일도 및 MEEF(Mask-Enhanced Error Factor) 증가의 원인이 된다.The absorbing film 312 has a thickness of 30 nm to 70 nm. When the thickness of the absorbing film 312 is 30 nm or less, the reflectance with respect to the exposure light is 10% or more, and the reflectance is high. When the thickness is 70 nm or more, the threshold value deviation of the transverse- Which leads to the uniformity of the threshold number and the increase of MEEF (Mask-Enhanced Error Factor).

흡수막(312)은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 가지며, 바람직하게, 5% 이하의 반사율, 더욱 바람직하게, 1% 이하의 반사율을 갖는다. 또한, 흡수막(312)은 193㎚의 검사용 파장에 대하여 30% 미만의 반사율을 가지며, 바람직하게, 25% 이하의 반사율을 갖는다. 흡수막(312)의 박막 응력(Stress)은 200MPa 이하이며, 바람직하게, 150MPa 이하의 박막 응력을 갖는다.The absorbing film 312 has a reflectance of less than 10%, preferably 5% or less, and more preferably 1% or less, with respect to the exposure light for extreme ultraviolet light of 13.5 nm. Further, the absorption film 312 has a reflectance of less than 30% with respect to the inspection wavelength of 193 nm, and preferably has a reflectance of 25% or less. The thin film stress of the absorbing film 312 is 200 MPa or less and preferably has a thin film stress of 150 MPa or less.

레지스트막(318)은 화학증폭형 레지스트(CAR: Chemically Amplified Resist)가 사용되며, 레지스트막(318)은 150㎚ 이하의 두께를 갖고, 바람직하게, 100㎚ 이하, 더욱 바람직하게, 60㎚ 이하의 두께를 갖는다.A chemically amplified resist (CAR: Chemically Amplified Resist) is used as the resist film 318. The resist film 318 has a thickness of 150 nm or less, preferably 100 nm or less, more preferably 60 nm or less Thickness.

아울러, 도시하지는 않았지만, 레지스트막(318)의 하부에 배치된 막 상에는, 예를 들어, 흡수막(312)의 반사방지층(310) 상에는 레지스트막에 대한 접착력(Adhesion) 향상을 위하여 도포된 실리콘을 포함한 고분자화합물이 형성될 수 있다. 상기 실리콘을 포함한 고분자화합물은 헥사메틸디실란(Hexamethyldisilane), 트리메틸실릴디에틸아민(Trimethylsilyldiethyl-amine), O-트리메틸실릴아세테이트 (O-trimethylsilylacetate), O-트리메틸실릴프로프리오네이트 (O-trimethylsilyl-proprionate), O-트리메틸실릴부티레이트 (O-trimethylsilylbutyrate), 트리메틸실릴트리플루오로아세테이트 (Trimethylsilyl -trifluoroacetate), 트리메틸메톡시실란(Trimethylmethoxysilane), N-메틸-N-트리메틸실릴트리플루오로아세트아마이드(N-methyl-Ntrimethylsilyltrifluoroacetate), O-트리메틸실릴아세틸아세톤(O-trimethylsilyacetylacetone), 아이소프로페녹시트리메틸실란(Isopropenoxy-trimethylsilane), 트리메틸실릴트리플루오로아세트아마이드(Trimethylsilyl-trifluoroacetamide), 메틸트리메틸실릴디메틸케톤아세테이트 (Methyltrimethyl-Silyldimethylketoneacetate), 트리메틸에톡시실란(Trimethyl -ethoxysilane) 중 하나 이상일 수 있다. For example, on the antireflection layer 310 of the absorptive film 312, silicon coated to improve the adhesion to the resist film may be formed on the film disposed below the resist film 318 May be formed. The silicon-containing polymer may be selected from the group consisting of hexamethyldisilane, trimethylsilyldiethyl-amine, O-trimethylsilylacetate, O-trimethylsilyl- proprionate, O-trimethylsilylbutyrate, trimethylsilyl-trifluoroacetate, trimethylmethoxysilane, N-methyl-N-trimethylsilyltrifluoroacetamide N- methyl-Ntrimethylsilyltrifluoroacetate, O-trimethylsilylacetylacetone, isopropenoxy-trimethylsilane, trimethylsilyl-trifluoroacetamide, methyltrimethylsilyldimethylketone acetate, -Silyldimethylketoneacetate), trimethyl-ethoxy silane).

도 4는 본 발명의 제2실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.4 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a second embodiment of the present invention.

도 4를 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크는 투명 기판(302), 투명 기판(302) 상에 순차적으로 적층된 다층 반사막(304), 캡핑막(306), 흡수막(312), 제1기능성막(314) 및 레지스트막(318)을 구비한다. 여기서, 다층 반사막(304), 캡핑막(306) 및 니켈(Ni) 또는 니켈탄탈(NiTa)을 포함하는 흡수막(312)은 상술한 제 1 실시예에서와 동일하게 구성된다. 4, the blank mask for extreme ultraviolet rays according to the present invention includes a transparent substrate 302, a multilayer reflective film 304 sequentially stacked on the transparent substrate 302, a capping film 306, an absorbing film 312, A first functional film 314, and a resist film 318, as shown in FIG. Here, the multilayer reflective film 304, the capping film 306, and the absorbing film 312 including nickel (Ni) or nickel tantalum (NiTa) are configured in the same manner as in the first embodiment described above.

제1기능성막(314)은 하부에 배치되는 흡수막(412)의 패터닝을 위한 식각마스크로 역할하기 위하여 흡수막(312)과 식각 특성이 상이한 물질로 구성된다. 기능성막(314)은 흡수막(312)과 대비하여 1 : 10 이상의 식각선택비를 가지며, 바람직하게 1 : 20 이상의 식각 선택비를 갖는다. 즉, 제1기능성막(314)은 흡수막(312)이 질화니켈(NiN), 질화니켈탄탈(NiTaN), 산화질화니켈(NiON) 또는 산화질화니켈탄탈(NiTaON)로 구성되어 염소(Cl)계 가스로 식각 가능하므로, 제1기능성막(314)은 불소(F)계 가스로 식각 가능한 물질로 구성될 수 있다. 이를 위해, 제1기능성막(314)은 크롬(Cr), 탄탈륨(Ta), 실리콘(Si), 루테늄(Ru), 티타늄(Ti), 몰리브데늄(Mo), 텅스텐(W), 몰리브데늄실리사이드(MoSi) 중 선택되는 1종 이상의 물질을 포함하여 이루어지거나 또는 상기 1종 이상의 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 선택되는 1종 이상의 물질을 더 포함하여 이루어진다. 이때, 기능성막(314)은 금속 대비 경원소의 비율이 100at% : 0at% ∼ 20at% : 80at%인 조성비를 갖는다.The first functional film 314 is composed of a material having an etching property different from that of the absorption layer 312 to serve as an etching mask for patterning the absorption layer 412 disposed below. The functional film 314 has an etch selectivity ratio of at least 1:10, and preferably an etch selectivity of at least 1:20, as compared to the absorbing film 312. That is, the first functional film 314 may be formed by forming the first functional film 314 such that the absorption film 312 is composed of nickel nitride (NiN), nickel tantalum nitride (NiTaN), nickel oxide nitride (NiON), or nickel oxide tantalum (NiTaON) The first functional film 314 may be formed of a material which can be etched by a fluorine (F) -based gas. For this, the first functional film 314 may be formed of one selected from the group consisting of Cr, tantalum, silicon, ruthenium, titanium, molybdenum, tungsten, (N), carbon (C), boron (B), and hydrogen (H) to at least one material selected from the group consisting of silicon At least one of the materials. At this time, the functional film 314 has a composition ratio of 100 atomic% to 0 atomic% to 20 atomic%: 80 atomic% of the metal-to-metal atom ratio.

제1기능성막(314)은 단층 또는 2층 이상의 다층 구조로 형성되고, 단층으로 형성되는 경우, 스퍼터링 시 막의 성분비를 연속적으로 변경하는 연속막 또는 막의 성분비가 일정한 단일막의 형태를 가질 수 있으며, 비결정질 구조를 갖는다.When the first functional film 314 is formed as a single layer or a multilayer structure of two or more layers and has a single layer, the first functional film 314 may have a continuous film or a single film having a constant composition ratio of the film continuously changing the composition ratio of the film during sputtering, Structure.

제1기능성막(314)은 레지스트막(318)의 박막화를 위하여 두께가 얇고 식각 속도가 빨라야 하며, 이를 위해, 기능성막(314)은 1㎚ ∼ 10㎚의 두께를 가지고, 바람직하게, 3㎚ ∼ 5㎚의 두께를 갖는다.The first functional film 314 must be thin and etched at a high speed in order to thin the resist film 318. For this purpose, the functional film 314 has a thickness of 1 nm to 10 nm, preferably 3 nm To 5 nm.

제1기능성막(314)은 포토마스크의 제조 공정 중 제거되며, 경우에 따라, 반사방지층 역할의 강화가 필요한 경우 잔류할 수 있다.The first functional film 314 is removed during the manufacturing process of the photomask, and in some cases, an enhancement of the antireflection layer role may remain if necessary.

도 5는 본 발명의 제3실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.5 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a third embodiment of the present invention.

도 5를 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(400)는 투명 기판(402), 투명 기판(402) 상에 순차적으로 적층된 다층 반사막(404), 캡핑막(406), 흡수층(408)과 제2기능성막(416)을 포함하는 흡수막(412) 및 레지스트막(418)을 구비한다. 여기서, 다층 반사막(404), 캡핑막(406) 및 흡수층(408)은 상술한 제 1 실시예에서와 동일하게 구성된다. 5, a blank mask 400 for extreme ultraviolet rays according to the present invention includes a transparent substrate 402, a multilayer reflective film 404 sequentially stacked on the transparent substrate 402, a capping film 406, 408 and a second functional film 416 and a resist film 418. The second functional film 416 is formed of a resist film 418, Here, the multilayer reflective film 404, the capping film 406, and the absorbing layer 408 are configured in the same manner as in the first embodiment described above.

제2기능성막(416)은 흡수층(408)의 식각 마스크로 사용됨과 아울러 흡수층(408)의 패터닝 후 흡수층(408) 패턴 상에 잔류하여 반사방지층으로 역할한다. 따라서, 흡수층(408)은 주로 광 흡수율을 높이고 박막화를 위하여 낮은 산소(O) 함유량을 가지며, 이에 따라, 질화니켈(NiN) 또는 질화니켈탄탈(NiTaN) 중 하나로 이루어진다.The second functional film 416 is used as an etching mask of the absorption layer 408 and remains on the pattern of the absorption layer 408 after patterning of the absorption layer 408 to serve as an antireflection layer. Accordingly, the absorbing layer 408 has a low oxygen (O) content mainly for increasing the light absorptivity and thinning, and thus consists of one of nickel nitride (NiN) or nickel tin nitride (NiTaN).

흡수층(408)은 20㎚ ∼ 50㎚의 두께를 가지며, 표면 TIR의 절대값으로 60㎚ 이하의 값을 갖고, 바람직하게, 40㎚ 이하의 값을 갖는다. 흡수층(408)의 표면 거칠기는 0.2㎚RMS 이하의 값을 가지며, 바람직하게, 0.1㎚RMS 이하의 값을 갖는다.The absorption layer 408 has a thickness of 20 nm to 50 nm and has an absolute value of surface TIR of 60 nm or less and preferably 40 nm or less. The surface roughness of the absorbing layer 408 has a value of 0.2 nm RMS or less and preferably 0.1 nm RMS or less.

제2기능성막(416)은 식각 마스크의 역할을 수행하기 위하여 흡수층(408)에 대해 1 : 10 이상의 식각 선택비를 갖는다. 즉, 제2기능성막(416)은 흡수층(408)이 질화니켈(NiN) 또는 질화니켈탄탈(NiTaN)로 구성되어 염소(Cl)계 가스로 식각 가능하므로, 불소(F)계 가스로 식각 가능한 물질로 구성된다. 이를 위해, 제2기능성막(416)은 크롬(Cr), 탄탈륨(Ta), 실리콘(Si), 루테늄(Ru), 티타늄(Ti), 몰리브데늄(Mo), 텅스텐(W), 몰리브데늄실리사이드(MoSi) 중 선택되는 1종 이상의 물질을 포함하여 이루어지거나 또는 상기 1종 이상의 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 선택되는 1종 이상의 물질을 더 포함하여 이루어진다. 이때, 제2기능성막(314)은 금속 대비 경원소의 비율이 100at% : 0at% ∼ 20at% : 80at%인 조성비를 갖는다.The second functional film 416 has an etch selectivity to the absorber layer 408 of at least 1:10 to serve as an etch mask. That is, since the second functional film 416 can be etched with chlorine (Cl) based gas composed of nickel nitride (NiN) or nickel tantalum (NiTaN), the absorption layer 408 can be etched with a fluorine Material. For this, the second functional film 416 may be formed of at least one selected from the group consisting of Cr, tantalum, silicon, ruthenium, titanium, molybdenum, tungsten, (N), carbon (C), boron (B), and hydrogen (H) to at least one material selected from the group consisting of silicon At least one of the materials. In this case, the second functional film 314 has a composition ratio of 100 atomic% to 0at% to 20 atomic%: 80 atomic% of the metal to silicon atom ratio.

제2기능성막(416)은 레지스트막(418)의 박막화를 위하여 식각 속도가 빠르고 두께가 얇을수록 좋지만, 반사방지 기능을 위하여 일정 이상의 두께가 필요하다. 이를 위해, 제2기능성막(416)은 5㎚ ∼ 20㎚의 두께를 가지고, 바람직하게, 10㎚ ∼ 15㎚의 두께를 갖는다.The second functional film 416 is required to have a high etch rate and a small thickness for the purpose of thinning the resist film 418, but a thickness of more than a certain thickness is required for the antireflection function. To this end, the second functional film 416 has a thickness of 5 nm to 20 nm, preferably 10 nm to 15 nm.

흡수층(408)과 제2기능성막(416)이 적층된 흡수막(412)은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 가지며, 바람직하게, 5% 이하의 반사율, 더욱 바람직하게, 1% 이하의 반사율을 갖는다. 또한, 흡수막(412)은 193㎚의 검사용 파장에 대하여 30% 미만의 반사율을 가지며, 바람직하게, 25% 이하의 반사율을 갖는다.The absorption film 412 in which the absorption layer 408 and the second functional film 416 are laminated has a reflectance of less than 10% with respect to 13.5 nm exposure light for extreme ultraviolet light, preferably a reflectance of 5% or less, , And has a reflectance of 1% or less. Further, the absorption film 412 has a reflectance of less than 30% with respect to the inspection wavelength of 193 nm, and preferably has a reflectance of 25% or less.

레지스트막(418)은 화학증폭형 레지스트가 사용되며, 레지스트막(418)은 기능성막의 두께를 고려하여 150㎚ 이하의 두께를 갖고, 바람직하게, 100㎚ 이하, 더욱 바람직하게, 80㎚ 이하의 두께를 갖는다.A chemically amplified resist is used as the resist film 418. The thickness of the resist film 418 is 150 nm or less considering the thickness of the functional film, preferably 100 nm or less, more preferably 80 nm or less .

도 6은 본 발명의 제4실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.6 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a fourth embodiment of the present invention.

도 6을 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(400)는 투명 기판(402), 투명 기판(402) 상에 순차적으로 적층된 다층 반사막(404), 캡핑막(406), 흡수층(408)과 제2기능성막(416)으로 이루어진 흡수막(412), 제3기능성막(420) 및 레지스트막(418)을 구비한다. 여기서, 다층 반사막(404), 캡핑막(406), 흡수층(408)은 상술한 제1실시예에서와 동일하며, 제2기능성막(416)은 상술한 제3실시예에서와 동일하게 식각마스크 및 반사방지층의 기능을 갖도록 구성된다. 6, a blank mask 400 for extreme ultraviolet rays according to the present invention includes a transparent substrate 402, a multilayer reflective film 404 sequentially laminated on the transparent substrate 402, a capping film 406, The third functional film 420, and the resist film 418, which are formed of the first functional film 408 and the second functional film 416, respectively. Here, the multilayer reflective film 404, the capping film 406, and the absorbing layer 408 are the same as those in the above-described first embodiment, and the second functional film 416 is the same as in the above- And an antireflection layer.

제3기능성막(420)은 제2기능성막(416)의 식각 마스크로 역할하며, 이를 위해, 제3기능성막(420)은 제2기능성막(416)에 대한 1 : 10 이상의 식각 선택비를 갖는 물질로 이루어진다. 즉, 제3기능성막(420)은 제2기능성막(416)의 식각 가스인 불소(F)계 가스에는 식각 내성이 뛰어나면서 염소(Cl)계 가스로 식각 가능한 물질을 포함하는 것이 바람직하다. 따라서, 제3기능성막(420)은, 예를 들어, 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 등의 경원소를 포함하는 크롬(Cr)화합물로 구성된다.The third functional film 420 serves as an etch mask for the second functional film 416. To this end, the third functional film 420 has an etch selectivity of at least 1:10 for the second functional film 416 . That is, the third functional film 420 preferably includes a fluorine (F) -based gas, which is an etching gas of the second functional film 416, that is excellent in etching resistance and can be etched by a chlorine (Cl) -based gas. Therefore, the third functional film 420 may be formed of chromium (Cr) containing a light element such as oxygen (O), nitrogen (N), carbon (C), boron (B) Compounds.

제3기능성막(420)은 단층 또는 2층 이상의 다층 구조로 형성되고, 단층으로 형성되는 경우, 스퍼터링 시 막의 성분비를 연속적으로 변경하는 연속막 또는 막의 성분비가 일정한 단일막의 형태를 가질 수 있으며, 비결정질 구조를 갖는다.When the third functional film 420 is formed as a single layer or a multilayer structure of two or more layers and is formed as a single layer, the third functional film 420 may have a continuous film or a single film having a constant composition ratio of the film continuously changing the composition ratio of the film during sputtering, Structure.

제3기능성막(420)은 레지스트막(418)의 박막화를 위하여 식각 속도가 빨라야 하며, 이를 위해, 제3기능성막(420)은 1㎚ ∼ 10㎚의 두께를 가지고, 바람직하게, 3㎚ ∼ 5㎚의 두께를 갖는다.The third functional film 420 has a thickness of 1 nm to 10 nm and preferably has a thickness of 3 nm to 10 nm. And has a thickness of 5 nm.

제3기능성막(420)은 포토마스크의 제조 공정 중 제거되며, 경우에 따라, 제2기능성막(416)의 반사방지 역할의 강화가 필요한 경우 잔류할 수 있다.The third functional film 420 may be removed during the manufacturing process of the photomask, and in some cases, if an enhancement of the antireflection role of the second functional film 416 is required, it may remain.

레지스트막(418)은 화학증폭형 레지스트(CAR: Chemically Amplified Resist)가 사용되며, 레지스트막(418)은 150㎚ 이하의 두께를 갖고, 바람직하게, 100㎚ 이하, 더욱 바람직하게, 60㎚ 이하의 두께를 갖는다.A chemically amplified resist (CAR: Chemically Amplified Resist) is used as the resist film 418. The resist film 418 has a thickness of 150 nm or less, preferably 100 nm or less, more preferably 60 nm or less Thickness.

도 7은 본 발명의 제5실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.7 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a fifth embodiment of the present invention.

도 7을 참조하면, 본 발명에 따른 극자외선용 블랭크 마스크(300)는 캡핑막(306) 및 흡수막(308) 사이에 구비된 버퍼막(307)을 더 구비할 수 있다. 버퍼막(307)은 흡수막(308) 패턴을 형성하는 드라이 에칭 공정에서 다층 반사막(304)이 손상되는 것을 방지하는 역할을 한다. 또한, 극자외선용 포토마스크의 제작 과정에서 흡수막 패턴에 흑 결함 또는 백 결함이 발생한 경우에 수행되는 리페어 공정에서 다층 반사막(304)을 보호하는 역할을 한다.Referring to FIG. 7, the blank mask 300 for EUV radiation according to the present invention may further include a buffer film 307 provided between the capping film 306 and the absorption film 308. The buffer film 307 serves to prevent the multilayer reflective film 304 from being damaged in the dry etching process for forming the absorption film 308 pattern. In addition, it protects the multilayer reflective film 304 in a repair process performed when a black defect or a white defect occurs in an absorbent film pattern in the process of manufacturing a photomask for extreme ultraviolet rays.

버퍼막(307)은 흡수막(308)과 식각 선택비를 갖는 물질로 이루어지며, 예를들어, 크롬(Cr)계 화합물로 구성되고, 버퍼막(307)은 집속 이온 빔(FIB)을 이용한 흡수체막 패턴의 수정을 행하는 경우에는 20㎚ ∼ 60㎚의 두께를 가지고, 집속 이온 빔을 이용하지 않는 경우(전자선과 비여기 상태의 불소계 가스(Xe2F 등)를 이용하는 결함 수정(EB 수정)을 이용하는 경우)에는, 5㎚ ∼ 15㎚의 두께를 갖는 것이 바람직하다.The buffer film 307 is made of a material having an etch selectivity with the absorption film 308 and is made of, for example, a chromium (Cr) compound, and the buffer film 307 is formed using a focused ion beam (EB correction) using a fluorine-based gas (Xe 2 F or the like) with an electron beam and a non-excited state when the focused ion beam is not used Is used), it is preferable to have a thickness of 5 nm to 15 nm.

본 발명의 극자외선용 블랭크 마스크(300)에 버퍼막(307)이 형성되지 않는 경우, 캡핑막(306)에 버퍼막(307)의 기능을 부가할 수 있다.The function of the buffer film 307 can be added to the capping film 306 when the buffer film 307 is not formed in the extreme ultraviolet ray mask 300 of the present invention.

도 8은 본 발명의 제6실시예에 따른 극자외선용 블랭크 마스크를 도시한 단면도이다.8 is a cross-sectional view showing a blank mask for extreme ultraviolet rays according to a sixth embodiment of the present invention.

도 8을 참조하면, 본 발명에 따른 극자외선 블랭크 마스크(300)는 투명 판(302)의 후면에 구비된 도전막(309)을 더 구비할 수 있다. 도전막(309)은 LTEM 기판의 후면에 선택적으로 형성 가능하며, LTEM 기판 상에 다층 반사막(304), 캡핑막(306), 흡수막(308)을 형성한 후 기판의 후면에 형성하거나, 또는, LTEM 기판 상에 다층 반사막(304), 캡핑막(306), 흡수막(308)을 형성하기 전에 기판의 후면에 도전막을 우선적으로 형성할 수 있다. 도전막(309)은 극자외선용 블랭크 마스크와 정전척(Electronic-Chuck)의 결합을 도와주는 역할을 하고, 정전 척과의 밀착성 향상을 위해 낮은 면 저항을 갖는다. 도전막(309)은 정전 척과 극자외선용 블랭크 마스크의 밀착성을 향상시켜 정전 척과 도전막의 마찰에 의해 도전막에 의한 파티클이 발생하는 것을 방지하도록 역할한다. 따라서, 도전막은 100Ω/□ 이하의 면 저항값을 가지며, 바람직하게, 50Ω/□ 이하, 더욱 바람직하게는 20Ω/□ 이하의 저항값을 갖는다.Referring to FIG. 8, the EUV blank mask 300 according to the present invention may further include a conductive film 309 provided on the rear surface of the transparent plate 302. The conductive film 309 can be selectively formed on the back surface of the LTEM substrate and may be formed on the back surface of the substrate after the multilayer reflective film 304, the capping film 306, and the absorption film 308 are formed on the LTEM substrate, The conductive film can be preferentially formed on the rear surface of the substrate before forming the multilayer reflective film 304, the capping film 306, and the absorbing film 308 on the LTEM substrate. The conductive film 309 serves to assist the bonding between the blank mask for extreme ultraviolet rays and the electro-chuck, and has a low surface resistance for improving the adhesion with the electrostatic chuck. The conductive film 309 improves the adhesion between the electrostatic chuck and the extreme ultraviolet ray blank mask and serves to prevent particles from being generated by the conductive film due to friction between the electrostatic chuck and the conductive film. Therefore, the conductive film has a surface resistance value of 100? /? Or less, and preferably has a resistance value of 50? /? Or less, more preferably 20? /? Or less.

도전막(309)은 티타늄(Ti), 몰리브덴(Mo), 탄탈늄(Ta), 바나듐(V), 코발트(Co), 니켈(Ni), 지르코늄(Zr), 니오븀(Nb), 팔라듐(Pd), 아연(Zn), 크롬(Cr), 알루미늄(Al), 망간(Mn), 카드뮴(Cd), 마그네슘(Mg), 리튬(Li), 셀레늄(Se), 구리(Cu), 하프늄(Hf), 텅스텐(W), 실리콘(Si) 중 선택되는 1종 이상의 금속 물질을 포함하여 이루어지거나 또는 상기 금속 물질에 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 물질을 더 포함하여 이루어진다.The conductive film 309 is formed of a material selected from the group consisting of titanium (Ti), molybdenum (Mo), tantalum (Ta), vanadium (V), cobalt (Co), nickel (Ni), zirconium (Zr), niobium ), Zinc (Zn), chromium (Cr), aluminum (Al), manganese (Mn), cadmium (Cd), magnesium (Mg), lithium (Li), selenium (N), carbon (C), and boron (B) in the metal material, or one or more metal elements selected from the group consisting of oxygen (O), nitrogen Or more.

도전막(309)은 70㎚ 이하의 두께를 가지며, 단층의 단일막, 단층의 연속막 또는 다층막의 형태로 구성할 수 있다. 도전막(309)은 193㎚ 내지 257㎚ 파장에서 30% 이하의 반사율을 갖는다. 도전막(309)은, 예를 들어, 크롬(Cr)을 주성분으로 하여 형성할 수 있고, 도전막(309)이 2층의 다층막으로 구성되는 경우, 하부층은 크롬(Cr) 및 질소(N)를 포함하고, 상부층은 크롬(Cr), 질소(N) 및 산소(O)를 포함하여 이루어질 수 있다. 이때, 도전막(309)은 크롬(Cr) 및 경원소(산소(O), 질소(N), 탄소(C), 붕소(B)의 합)가 8 : 2 ∼ 2 : 8의 조성비를 갖는다.The conductive film 309 has a thickness of 70 nm or less and can be formed as a single film of single layer, a continuous film of a single layer, or a multilayer film. The conductive film 309 has a reflectance of 30% or less at a wavelength of 193 nm to 257 nm. For example, the conductive film 309 may be formed of chromium (Cr) as a main component. When the conductive film 309 is formed of a multilayer film of two layers, the lower layer may include chromium (Cr) and nitrogen (N) And the upper layer may include chromium (Cr), nitrogen (N), and oxygen (O). At this time, the conductive film 309 has a composition ratio of chromium (Cr) and light element (sum of oxygen (O), nitrogen (N), carbon (C), and boron (B)) of 8: 2 to 2: .

아울러, 도시하지는 않았지만, 본 발명에 따른 극자외선용 블랭크 마스크는 버퍼막, 도전막, 실리콘을 포함한 고분자화합물을 모두 포함하거나 선택적으로 포함하여 구성할 수 있다. In addition, although not shown, the extreme ultraviolet blank mask according to the present invention may include or include a buffer film, a conductive film, and a polymer including silicon.

그리고, 다층반사막, 캡핑막, 흡수막, 기능성막, 버퍼막 및 도전막들은 선택적으로 열처리할 수 있으며, 열처리 공정은 급속 열처리 장치(Rapid Thermal Process; RTP), 진공 핫-플레이트 열처리(Vacuum Hot-Plate Bake), 플라즈마 (Plasma) 및 퍼니스(Furnace) 중 1종 이상의 방법으로 수행 가능하다.In addition, the multilayer reflective film, the capping film, the absorbing film, the functional film, the buffer film and the conductive films can be selectively heat-treated, and the heat treatment process can be performed using a rapid thermal process (RTP), a vacuum hot- Plate Bake, Plasma, and Furnace.

이하에서는 본 발명의 실시예에 따른 극자외선용 블랭크 마스크에 대하여 상세히 설명하도록 한다.Hereinafter, a blank mask for extreme ultraviolet rays according to an embodiment of the present invention will be described in detail.

(실시예)(Example)

니켈(nickel( NiNi )을 포함하는 ) 흡수막이Absorbent film 형성된  Formed 극자외선용For ultraviolet rays 블랭크마스크 평가 Blank mask evaluation

극자외선용 블랭크 마스크의 제조를 위하여, 기판은 6 inch x 6 inch x 0.25 inch의 크기를 가지고, 평탄도(TIR값)가 60㎚ 이하로 제어되며, SiO2-TiO 성분으로 이루어진 LTEM(Low Thermal Expansion Material) 기판을 준비하였다.For the manufacture of a pole UV blank mask, the substrate 6 inch x 6 inch x 0.25 inch with the size of the flatness (TIR value) is controlled to less than 60㎚, SiO 2 -TiO component consisting LTEM (Low Thermal Expansion Material substrate was prepared.

상기 LTEM 기판의 후면에는 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 크롬(Cr)을 주성분으로 하는 도전막(Conductive layer)을 형성하였다. 상기 도전막은 질화크롬(CrN; 하부층)과 산화질화크롬(CrON; 상부층)의 2층 구조로 형성하였다. 상기 상·하층의 도전막은 모두 크롬(Cr) 타겟을 이용하여 형성하고, 하부층의 도전막은 공정 가스로 Ar : N2 = 5sccm : 5sccm 주입하고, 공정 파워 1.4㎾를 사용하여 42㎚의 두께를 갖는 질화크롬(CrN) 막으로 형성하였다. 상부층의 도전막은 공정 가스로 Ar : N2 : NO = 7sccm : 7sccm : 7sccm 주입하고, 공정 파워는 1.4㎾를 사용하여 24㎚의 두께를 갖는 산화질화크롬(CrON) 막으로 형성하였다. 최종적으로 도전막은 66㎚의 두께로 형성되었고, 형성된 도전막의 면저항을 4-Point Probe를 이용하여 측정한 결과 16.5Ω/□의 면저항값을 나타내어 정전척과의 결합(E-Chucking)에 문제가 없음을 확인하였다.On the rear surface of the LTEM substrate, a conductive layer made of chromium (Cr) as a main component was formed using a DC magnetron reactive sputtering facility. The conductive film was formed into a two-layer structure of chromium nitride (CrN; lower layer) and chromium oxynitride (CrON (upper layer)). The upper and the lower layer conductive film in both the chromium (Cr) formed with the target and the conductive film of the lower layer process gas Ar: N 2 = 5sccm: using 5sccm injection, and power 1.4㎾ process has a thickness of 42㎚ And a chromium nitride (CrN) film. The upper conductive film was formed by implanting Ar: N 2 : NO = 7 sccm: 7 sccm: 7 sccm as a process gas and a process power of 1.4 kW to form a chromium oxynitride (CrON) film having a thickness of 24 nm. Finally, the conductive film was formed to a thickness of 66 nm, and the sheet resistance of the formed conductive film was measured using a 4-point probe, and it showed a sheet resistance value of 16.5? / ?. Thus, there was no problem in E-chucking with the electrostatic chuck Respectively.

상기 LTEM 기판의 전면부에 이온 빔 증착-저밀도결함(Ion Beam Deposition-Low Defect Density: 이하, 'IBD-LDD'라고 함) 장비를 이용하여 몰리브데늄(Mo) 4.8㎚, 실리콘(Si) 2.2㎚의 두께로 40층을 교대로 성막하여 다층 반사막을 형성하였다. 상기 다층 반사막의 반사율울 EUV Reflectometer를 이용하여 측정하였고, 측정 결과 13.5㎚의 파장에서 67.8%의 반사율을 나타내었으며, 193㎚의 파장에서 64.66%의 반사율을 나타내었다. 그리고, AFM(Atomic Force Microscopy) 장비를 이용하여 상기 다층 반사막의 표면 거칠기(Surface Roughness)를 측정하였고, 측정 결과 0.12㎚RMS의 표면 거칠기를 나타내어 EUV 노광광이 다층 반사막에서 반사 시 표면 거칠기에 의한 난반사가 적게 일어나는 것을 알 수 있었다. 또한, Ultra-Flat 장비를 이용하여 다층 반사막 142㎟ 영역의 평탄도를 측정한 결과 54㎚의 TIR(Total Indicated Reading) 값을 나타내어 반사막에 의한 패턴 위치 왜곡이 적은 것을 알 수 있었다.Molybdenum (Mo) of 4.8 nm, silicon (Si) of 2.2 .mu.m was deposited on the front surface of the LTEM substrate using an ion beam deposition-low defect density (hereinafter referred to as IBD-LDD) 40 layers were alternately formed to form a multilayer reflective film. The reflectance of the multilayer reflective film was measured using an EUV reflectometer. As a result, the reflectance was 67.8% at a wavelength of 13.5 nm and 64.66% at a wavelength of 193 nm. The surface roughness of the multilayer reflective film was measured using an AFM (Atomic Force Microscopy) apparatus. The surface roughness of the multilayer reflective film was measured to be 0.12 nmRMS. As a result, the EUV exposure light was reflected by the surface roughness Of the total number of patients. In addition, the flatness of the 142 mm 2 area of the multilayer reflective film was measured using the Ultra-Flat equipment, and it was found that the TIR (Total Indicated Reading) value of 54 nm was obtained and the pattern position distortion caused by the reflective film was small.

상기 다층 반사막 상에 IBD-LDD 장비를 이용하여 루테늄(Ru)을 2.5㎚의 두께로 적층하여 캡핑막을 형성하였다. 상기 캡핑막의 형성 후, 다층 반사막에서와 동일하게 반사율을 측정한 결과 13.5㎚의 파장에서 65.8%의 반사율을 나타내어 다층 반사막의 반사율 수치였던 67.8%와 대비하여 반사율 변화가 거의 없음을 확인하였다. 그리고, 193㎚의 파장에서 반사율을 측정한 결과, 55.43%의 반사율을 나타내었다. 또한, 표면 거칠기 및 평탄도를 동일하게 측정한 결과, 표면 거칠기 값은 0.13㎚RMS를 나타내어 다층 반사막과 비교하여 거의 변화가 없었으며, TIR값 또한 54㎚로 변화가 없었음을 확인하였다.On the multilayer reflective film, ruthenium (Ru) was deposited to a thickness of 2.5 nm using IBD-LDD equipment to form a capping film. After the formation of the capping film, the reflectance was measured in the same manner as in the case of the multilayer reflective film. As a result, it was confirmed that the reflectance was 65.8% at a wavelength of 13.5 nm and that the reflectance was almost unchanged compared with 67.8% which was the reflectance value of the multilayer reflective film. As a result of measuring the reflectance at a wavelength of 193 nm, the reflectance was 55.43%. The surface roughness and flatness were measured in the same manner. As a result, the surface roughness value was 0.13 nmRMS, which showed almost no change compared to the multilayered reflective film, and the TIR value was also found to be 54 nm.

상기 캡핑막 상에 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 흡수층 및 반사방지층으로 이루어진 2층 구조의 니켈(Ni) 흡수막을 성막하였다. 2층의 흡수막 모두 니켈(Ni) 타겟을 이용하였으며, 하부의 흡수층은 공정 가스로 Ar : N2 = 8sccm : 2sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 30㎚ 두께의 질화니켈(NiN) 층으로 형성하였다. 이때, 상기 하부 흡수층의 반사율은 13.5㎚ 노광 파장에서 1.6%를 나타내었다. A Ni (Ni) absorption layer having a two-layer structure composed of an absorption layer and an antireflection layer was formed on the capping layer using a DC magnetron reactive sputtering equipment. Absorption of a two-layer film was used both for the nickel (Ni) target, the lower absorbent layer in the process gas Ar: N 2 = 8sccm: 2sccm injection and process power of 30㎚ thickness nitridation using 1.0㎾ nickel (NiN) Layer. At this time, the reflectance of the lower absorber layer was 1.6% at an exposure wavelength of 13.5 nm.

상부의 반사방지층은 공정가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 12㎚ 두께의 산화질화니켈(NiON) 층으로 형성하였다. 상기 흡수층에서와 마찬가지로 13.5㎚의 노광 파장에서 대하여 반사율을 측정하였고 측정 결과 0.9%의 반사율을 나타내었으며, 193㎚의 파장에서는 22.3%의 반사율을 나타내어 검사파장에서의 반사율 또한 우수한 것으로 나타났다. The upper antireflective layer was injected with Ar: N 2 : NO = 5 sccm: 5 sccm: 3 sccm as the process gas, and a process power of 1.0 kW was used to form a 12 nm thick nickel oxyhydroxide (NiON) layer. As in the case of the absorbing layer, the reflectance was measured at an exposure wavelength of 13.5 nm. As a result, the reflectance was 0.9% and the reflectance was 22.3% at a wavelength of 193 nm.

상기 니켈(Ni)을 포함하는 흡수막의 평탄도를 Ultra-Flat 장비를 이용하여 측정한 결과 70㎚의 TIR값을 나타내었다. 캡핑막 형성 시의 TIR값과 대비하여 TIR값 변화량이 16㎚로 다소 높지만, 이를 박막응력으로 환산하였을 경우 박막응력은 150MPa 정도의 수치를 갖는 것으로 문제가 없음을 확인하였다. The flatness of the Ni-containing absorbent layer was measured using an Ultra-Flat instrument, and the TIR value of 70 nm was obtained. The variation of the TIR value was slightly higher than 16 nm when compared with the TIR value at the time of forming the capping layer. However, when the thin film stress was converted into the thin film stress, it was confirmed that the thin film stress had a value of about 150 MPa.

또한, AES 장비를 이용하여 흡수막의 깊이에 따른 조성비를 분석한 결과 상부 반사방지층은 니켈(Ni) : 경원소(O,N)의 조성이 4 : 6의 비율을 나타내었으며, 하부 흡수층은 니켈(Ni) : 경원소(N)의 조성이 8 : 2의 비율을 나타내었다. As a result of analyzing the composition ratio according to the depth of the absorbent film using the AES equipment, the upper antireflection layer showed a ratio of nickel (Ni): light element (O, N) of 4: 6, Ni): light element (N) showed a ratio of 8: 2.

니켈(nickel( NiNi ) 및 탄탈() And tantalum TaTa )을 포함하는 ) 흡수막이Absorbent film 형성된  Formed 극자외선용For ultraviolet rays 블랭크마스크 평가 Blank mask evaluation

상술한 니켈(Ni)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크 평가와 동일하게 니켈(Ni)과 탄탈(Ta)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크를 평가하였다. 여기서, 흡수막을 제외한 하부 막들은 상술한 니켈(Ni)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크와 동일하다. The blank mask for extreme ultraviolet rays on which an absorbing film containing nickel (Ni) as the main component was formed was evaluated in the same manner as in the evaluation of the blank mask for extreme ultraviolet rays, in which an absorbing film composed mainly of nickel (Ni) and tantalum (Ta) was formed. Here, the lower films except for the absorbing film are the same as those for the extreme ultraviolet ray on which the above-described absorbing film composed mainly of nickel (Ni) is formed.

상기 흡수막은 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 니켈탄탈(NiTa)을 포함하는 2층 구조로 형성하였다. 2층의 흡수막 모두 니켈탄탈(NiTa) 타겟(조성비 Ni : Ta = 90at% : 10at%, 70at% : 30at%, 50at% : 50at%, 10at% : 90at%)을 이용하여 형성하였다. 이때, 하부의 흡수층은 공정 가스로 Ar : N2 = 8sccm : 2sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 31㎚ 두께의 질화니켈탄탈(NiTaN) 층으로 형성하였다. 상부의 반사방지층은 공정 가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 14㎚ 두께의 산화질화니켈탄탈(NiTaON) 층을 형성하였다. The absorbing film was formed into a two-layer structure including nickel tantalum (NiTa) using a DC magnetron reactive sputtering facility. (Ni: Ta = 90 at%: 10 at%, 70 at%: 30 at%, 50 at%: 50 at%, and 10 at%: 90 at%) of a nickel tantalum (NiTa) target. At this time, the lower absorption layer was injected with Ar: N 2 = 8 sccm: 2 sccm as the process gas, and the process power was 1.0 kW to form a 31 nm thick nickel tantalum nitride (NiTaN) layer. The upper antireflective layer was injected with Ar: N 2 : NO = 5 sccm: 5 sccm: 3 sccm as a process gas, and a process power of 1.0 kW was used to form a 14 nm thick layer of nickel tantalum nitride (NiTaON).

EUV Reflecto-meter를 이용하여 각 흡수막의 반사율을 측정하였고, 측정 결과 13.5㎚의 노광 파장에서 상기 흡수막들은 0.9% ∼ 1.0%의 반사율을 나타내었으며, 193㎚의 검사파장에서 19.5% ∼ 21.2%의 반사율을 나타내었다. The reflectance of each absorbing film was measured using an EUV Reflecto-meter. As a result, the absorbing films exhibited a reflectance of 0.9% to 1.0% at an exposure wavelength of 13.5 nm and a reflectance of 19.5% to 21.2% at an inspection wavelength of 193 nm Reflectance.

탄탈(tantalum( TaTa )을 주성분으로 하는 ) As a main component 흡수막이Absorbent film 형성된  Formed 극자외선용For ultraviolet rays 블랭크마스크 비교 Blank mask comparison

비교예로 탄탈(Ta)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크를 제조하여 상술한 니켈(Ni) 또는 니켈탄탈(NiTa)을 주성분으로 하는 흡수막이 형성된 블랭크 마스크와 특성을 비교하였다. 여기서, 흡수막을 제외한 하부 막들은 상술한 니켈(Ni)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크와 동일하다. As a comparative example, a blank mask for extreme ultraviolet rays on which an absorbing film composed mainly of tantalum (Ta) was formed was manufactured and characteristics were compared with a blank mask having an absorbing film composed of nickel (Ni) or nickel tantalum (NiTa) Here, the lower films except for the absorbing film are the same as those for the extreme ultraviolet ray on which the above-described absorbing film composed mainly of nickel (Ni) is formed.

상기 흡수막은 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 탄탈(Ta)을 포함하는 2층 구조로 형성하였다. 2층의 흡수막 모두 탄탈(Ta) 타겟을 이용하였으며, 하부의 흡수층은 공정가스로 Ar : N2 = 8sccm : 2sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 질화탄탈(TaN) 층으로 형성하였고, 13.5㎚의 노광파장에서 반사율이 1.5%인 부분에서 두께를 측정한 결과, 상기 흡수층은 55㎚의 두께를 나타내었다. 상부의 반사방지층은 공정가스로 Ar : N2 : NO = 5sccm : 5sccm : 3sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 산화질화탄탈(TaON) 층으로 형성하였고, 13.5㎚의 노광 파장에서 반사율이 1.0%인 부분에서 두께가 15㎚로서, 전체 흡수막은 70㎚의 두께를 가져 본 발명에 비하여 두꺼운 것을 확인할 수 있었다.The absorbing film was formed into a two-layer structure including tantalum (Ta) using a DC magnetron reactive sputtering equipment. The tantalum (Ta) target was used for all of the two absorbing layers, and the lower absorbing layer was formed by injecting Ar: N 2 = 8 sccm: 2 sccm as the process gas and a process power of 1.0 kW as the tantalum nitride (TaN) layer , And the thickness was measured at a portion where the reflectance was 1.5% at an exposure wavelength of 13.5 nm. As a result, the absorption layer showed a thickness of 55 nm. The upper antireflective layer was formed by implanting Ar: N 2 : NO = 5 sccm: 5 sccm: 3 sccm as a process gas, a process power of 1.0 kW as a tantalum oxynitride (TaON) layer, and a reflectance at an exposure wavelength of 13.5 nm 1.0%, and the entire absorbent film had a thickness of 70 nm, which was larger than that of the present invention.

아래 표 1은 상술한 니켈(Ni) 및 니켈탄탈(NiTa)과 탄탈(Ta)을 포함하는 흡수막의 금속 대비 경원소의 조성에 따른 막의 조성비, 두께 및 반사율을 각각 도시한 표이다. Table 1 below shows the composition ratios, thicknesses, and reflectivities of the films according to the composition of the hard earth relative to the metals of the absorbent films containing nickel (Ni) and nickel tantalum (NiTa) and tantalum (Ta).


Target
(조성비)
Target
(Composition ratio)
막의 조성비
(금속 : 경원소)
Composition ratio of membrane
(Metal: light element)
흡수막
두께
(nm)
Absorption membrane
thickness
(nm)
반사율
(%)
reflectivity
(%)
흡수층Absorbing layer 반사방지층Antireflection layer @13.5nm@ 13.5 nm @193nm@ 193 nm 실시예 1Example 1 NiNi 8 : 28: 2 4 : 64: 6 4242 0.90.9 22.322.3 실시예 2Example 2 NiTa
(9:1)
NiTa
(9: 1)
6 : 2 : 26: 2: 2 5 : 1 : 45: 1: 4 4545 1.01.0 21.221.2
실시예 3Example 3 NiTa
(7:3)
NiTa
(7: 3)
5 : 3 : 25: 3: 2 4 : 1.5 : 4.54: 1.5: 4.5 5454 0.90.9 20.920.9
실시예 4Example 4 NiTa
(5:5)
NiTa
(5: 5)
4.3 : 3.5 : 2.24.3: 3.5: 2.2 3.5 : 2 : 4.53.5: 2: 4.5 6060 1.01.0 19.519.5
실시예 5Example 5 NiTa
(1:9)
NiTa
(1: 9)
1.5 : 7 : 1.51.5: 7: 1.5 0.9 : 5.1 : 40.9: 5.1: 4 6565 1.01.0 20.220.2
비교예Comparative Example TaTa 8 : 28: 2 3 : 73: 7 7070 1.01.0 20.220.2

표 1을 참조하면, 종래 탄탈(Ta)을 이용하여 흡수막을 형성한 비교예에 비하여, 실시예 1 ∼ 5에서와 같이, 니켈(Ni) 또는 니켈탄탈(NiTa)을 이용하여 흡수막을 성막하는 경우, 13.5nm 및 검사파장인 193nm의 광에 대하여 동일한 반사율을 만족하기 위한 흡수막의 두께가 얇은 것을 알 수 있다.Referring to Table 1, when an absorbing film is formed using nickel (Ni) or nickel tantalum (NiTa) as in Examples 1 to 5, compared with the comparative example in which an absorbing film is formed using tantalum (Ta) , 13.5 nm, and 193 nm as the inspection wavelength, the thickness of the absorbing film for satisfying the same reflectance is thin.

제1기능성막이The first functional film 구비된  Equipped 극자외선용For ultraviolet rays 블랭크마스크의 제조 및 평가 Preparation and Evaluation of Blank Mask

상술한 극자외선용 블랭크마스크와 동일하게 LTEM 기판에 도전막, 다층 반사막, 캡핑막 및 니켈(Ni)을 주성분으로 하는 흡수막 등을 형성한 후, 흡수막 상에 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 제1기능성막을 형성하였다. 상기 제1기능성막은 붕소(B)가 첨가(Doping)된 실리콘(Si) 타겟(조성비 Si : B = 98 : 2 ∼ 80 : 20)을 이용하여, 공정 가스로 Ar : N2 : NO = 5sccm : 3sccm : 2sccm 주입하고, 공정 파워는 0.6㎾를 사용하여 4㎚ 두께의 산화질화실리콘보론(SiBON) 막으로 형성하였다. After forming a conductive film, a multilayer reflective film, a capping film, and an absorbing film mainly composed of nickel (Ni) on the LTEM substrate in the same manner as the above-described EUV mask, a DC magnetron reactive sputtering equipment Thereby forming a first functional film. The first functional film is a boron (B) is added (Doping) A silicon (Si) targets using the (composition ratio Si: 20 B = 98:: 2 ~ 80), the process gas by Ar: N 2: NO = 5sccm : 3 sccm: 2 sccm and a process power of 0.6 kW was used to form a silicon oxynitride boron (SiBON) film having a thickness of 4 nm.

이때, 상기 제1기능성막은 13.5㎚의 노광 파장에서 0.85%의 반사율을 나타내었고, Ultra-Flat 장비를 이용하여 평탄도를 측정한 결과 77㎚의 TIR값을 나타내었으며, AES 장비를 이용하여 조성비를 분석한 결과 Si : B : O : N = 72at% : 3at% : 10at% : 15at%의 비율을 나타내었다.At this time, the first functional film exhibited a reflectance of 0.85% at an exposure wavelength of 13.5 nm, and the flatness was measured using an Ultra-Flat equipment. As a result, a TIR value of 77 nm was obtained. The results showed that Si: B: O: N = 72at%: 3at%: 10at%: 15at%.

이후, 제1기능성막의 레지스트막에 대한 접착력(Adhesion)향상을 위하여 실리콘을 포함한 고분자화합물로 헥사메틸디실란(Hexamethyldisilane)을 도포한 후, 화학증폭형 레지스트막을 80㎚의 두께로 성막하여 극자외선용 블랭크 마스크 제조를 완료하였다.Hexamethyldisilane was applied to the first functional film to improve adhesion to the resist film. Then, a chemically amplified resist film was formed to a thickness of 80 nm, Blank mask fabrication was completed.

제1기능성막을The first functional film 이용한  Used 극자외선용For ultraviolet rays 포토마스크의Photomask 제조 및 평가 Manufacturing and Evaluation

제1기능성막을 식각 마스크로 사용한 극자외선용 블랭크 마스크를 이용하여 극자외선용 포토마스크를 제조 및 평가하였다.An extreme ultraviolet ray photomask was manufactured and evaluated by using a blank mask for extreme ultraviolet ray using the first functional film as an etching mask.

상기 극자외선 블랭크 마스크를 50keV Writing 장비를 이용하여 노광한 후 PEB(Post exposure bake) 및 현상(Develope)을 통하여 레지스트막에 패턴을 형성하였다. 이후 상기 레지스트막 패턴을 이용하여 산화질화실리콘보론(SiBON)으로 구성된 기능성막을 불소(F)계열 가스인 SF6 가스를 포함하는 식각 가스를 이용하여 30초(오버 에칭(over etching)을 고려한 시간)간 식각하였다. 이때 잔류 레지스트막의 두께를 측정한 결과 30㎚의 잔류 레지스트막 두께를 나타내어 식각 마스크로서의 역할을 충분히 했음을 확인하였다. The extreme ultraviolet blank mask was exposed using a 50 keV writing apparatus, and a pattern was formed on the resist film through PEB (post exposure bake) and development (Develope). Then, the functional film composed of silicon nitride boron (SiBON) was etched using the resist film pattern for 30 seconds (over etching considered) using an etching gas containing SF 6 gas as a fluorine (F) Respectively. At this time, the thickness of the remaining resist film was measured. As a result, the remaining resist film thickness was found to be 30 nm, confirming that the resist film had a sufficient role as an etching mask.

이후, 상기 잔류 레지스트막을 제거하고 패턴이 형성된 제1기능성막을 식각 마스크(Etch mask)로 하여 흡수막을 염소(Cl)를 포함하는 식각 가스를 이용하여 식각하였다. 식각 후 제1기능성막의 두께를 측정한 결과 3.0㎚의 두께를 나타내어 식각 선택비가 1 : 50(기능성막 : 흡수막)으로 20 이상의 식각 선택비 값을 갖는 것을 알 수 있었다. Thereafter, the residual resist film was removed, and the first functional film having the pattern formed thereon was etched using an etch gas containing chlorine (Cl) using an etch mask. As a result of measuring the thickness of the first functional film after etching, it was found that the etching selectivity ratio was 1: 50 (functional film: absorbing film) and the etch selectivity ratio was 20 or more.

그런 다음, 제1기능성막을 제거하여 극자외선용 포토마스크의 제조를 완료하였다.Then, the first functional film was removed to complete the manufacture of a photomask for extreme ultraviolet rays.

본 발명에 따른 극자외선용 포토마스크의 해상도(Resolution)를 CD-SEM을 이용하여 측정한 결과, 단독 공간패턴(Iso-space)의 경우 40㎚까지 현상(Define) 되었으며, 임계치수의 선형성(CD Linearity)을 60㎚ ∼ 1000㎚ 범위에서 측정한 결과 단독 라인패턴(Iso-line)에서 2.3㎚, 라인 및 공간패턴(Line & Space)에서 3.0㎚, 단독 공간패턴(Iso space)에서 3.2㎚의 값을 가져 우수한 결과를 나타내었다.As a result of measuring the resolution of the extreme ultraviolet photomask according to the present invention using a CD-SEM, the resolution of the single space pattern (Iso-space) was defined up to 40 nm and the linearity of the critical number (Iso-line), 3.0 nm in line and space patterns (Line & Space), and 3.2 nm in a single space pattern (Iso space) as a result of measurement in a range of 60 nm to 1000 nm And showed excellent results.

기능성막을Functional membrane 구비하지 않은  Unmet 극자외선용For ultraviolet rays 블랭크 마스크 및 이를 이용한  Blank masks and their use 극자외선용For ultraviolet rays 포토마스크의Photomask 제조 및 평가 Manufacturing and Evaluation

비교예로 탄탈(Ta)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크를 제조하여 본 발명에 의한 니켈(Ni) 또는 니켈탄탈(NiTa)을 주성분으로 하는 흡수막과 식각마스크로 역할하는 제1기능성막을 구비한 극자외선용 블랭크 마스크와 특성을 비교하였다.As a comparative example, an extreme ultraviolet ray blank mask on which an absorber film containing tantalum (Ta) as a main component was formed was fabricated, and an absorptive film composed mainly of nickel (Ni) or nickel tantalum (NiTa) The properties of the blank mask for extreme ultraviolet light with a functional film were compared.

탄탈(Ta)을 주성분으로 하는 흡수막이 형성된 극자외선용 블랭크 마스크는 상술한 비교예에서와 같이, 흡수막은 13.5nm 및 193nm의 노광 파장에 대하여 노광 및 검사 조건을 만족하기 위하여 55㎚의 두께의 질화탄탈(TaN) 층으로 흡수층을 형성하였고, 15㎚ 두께의 산화질화탄탈(TaON) 층으로 반사방지층을 형성하여 전체 70㎚의 두께를 갖도록 형성하였다. As in the above-described comparative example, in the case of the extreme ultraviolet ray blank mask in which the absorber film containing tantalum (Ta) as a main component was formed, the absorber film was nitrided to a thickness of 55 nm to satisfy exposure and inspection conditions for exposure wavelengths of 13.5 nm and 193 nm An absorber layer was formed of a tantalum (TaN) layer, and an antireflective layer was formed of a 15 nm thick tantalum oxynitride (TaON) layer to have a total thickness of 70 nm.

이후 화학증폭형 레지스트막을 80㎚의 두께로 코팅한 후 전자 빔(e-beam) writing 장비를 이용하여 노광한 후 PEB 및 현상을 거쳐 레지스트막 패턴을 형성하였다. 이후 상기 레지스트막 패턴을 식각 마스크로 하여 흡수막 중 상부의 산화질화탄탈(TaON)로 구성된 반사방지층을 SF6 가스를 이용하여 식각하고, 질화탄탈(TaN)로 구성된 하부의 흡수층을 Cl2 가스를 이용하여 식각하였다. 이후 잔류 레지스트막의 두께를 측정한 결과 잔류한 레지스트막은 없었고, 흡수막 패턴 중 상부의 일부가 식각되어 손상된 것을 확인할 수 있었다. 이에 따라, 화학증폭형 레지스트막을 120㎚로 코팅하여 동일한 조건으로 흡수막을 식각한 결과, 잔류 레지스트막이 20㎚로 흡수막 패턴의 손상이 없도록 형성할 수 있었다. Thereafter, a chemically amplified resist film was coated to a thickness of 80 nm, exposed to light using an e-beam writing device, and subjected to PEB and development to form a resist film pattern. Since the lower portion of the absorbent layer consisting of the resist film pattern as an etching mask, oxide tantalum nitride (TaON) of the antireflection layer etched using the SF 6 gas, and tantalum nitride (TaN) consisting of a top of the absorbing film with a the Cl 2 gas Respectively. Thereafter, the thickness of the remaining resist film was measured. As a result, there remained no resist film, and it was confirmed that a part of the upper part of the absorbing film pattern was etched and damaged. As a result, the chemically amplified resist film was coated at 120 nm, and the absorbing film was etched under the same conditions. As a result, the remaining resist film was formed to be 20 nm so as not to damage the absorbing film pattern.

이어서, 극자외선용 포토마스크의 해상도를 CD-SEM을 이용하여 측정한 결과, 단독 공간패턴(Iso space)의 경우 60㎚까지 현상되었으며, 임계치수의 선형성(CD Linearity)을 60㎚ ∼ 1000㎚에서 측정한 결과 단독 라인패턴(Iso-line)에서 4.5㎚, 라인 및 공간패턴(Line & Space)에서 7.5㎚, 단독 공간패턴(Iso-space)에서 5.2㎚의 수치를 나타내어 본 발명에 따른 극자외선용 포토마스크에 비하여 좋지 않은 결과를 나타내었다.Subsequently, the resolution of the photomask for extreme ultraviolet rays was measured using a CD-SEM. As a result, it was developed to 60 nm in the case of a single space pattern (Iso space), and the CD linearity of the critical number was measured at 60 nm to 1000 nm As a result of the measurement, a value of 4.5 nm in a single line pattern (Iso-line), 7.5 nm in a line and space pattern (Line & Space), and 5.2 nm in a single space pattern (Iso-space) Which is not good compared with the photomask.

구성 물질에 따른 Depending on the constituent material 제1기능성막의The first functional film 물리적·화학적 특성 평가 Physical and chemical characterization

본 발명에 따른 극자외선용 블랭크 마스크의 제조에 있어서, 제1기능성막을 구성하는 물질에 따른 물리적·화학적 특성을 평가하였다.In the production of the blank mask for EUV according to the present invention, the physical and chemical properties of the material constituting the first functional film were evaluated.

상술한 산화질화실리콘보론(SiBON)으로 구성된 제1기능성막을 구비한 극자외선용 블랭크 마스크의 제조 및 평가와 동일하게 진행되었으며, 상기 제1기능성막은 공정 가스로 Ar : N2 : NO = 6sccm ∼ 10sccm : 4sccm ∼ 6sccm : 0 ∼ 4sccm 주입하고, 공정 파워는 0.6 ∼ 1.0㎾를 사용하여 각각의 기능성막을 형성하였다.And the first functional film composed of the above-described silicon nitride boron (SiBON), and the first functional film was formed as a process gas in which Ar: N 2 : NO = 6 sccm to 10 sccm : 4 sccm to 6 sccm: 0 to 4 sccm, and each functional film was formed using a process power of 0.6 to 1.0 kW.

표 2는 제1기능성막의 구성 물질 및 조성에 따른 두께 및 식각 선택비를 비교한 표이다.Table 2 is a table comparing thickness and etching selectivity according to the constituent materials and composition of the first functional film.

구성 물질Constituent material Target
(조성비)
Target
(Composition ratio)
두께thickness 식각 선택비
(흡수막:하드필름)
Etching selection ratio
(Absorbing film: hard film)
조성비
(금속:경원소)
Composition ratio
(Metal: light element)
식각 가스Etching gas
실시예 6Example 6 SiBNSiBN SiBSiB 4㎚4 nm 45 : 145: 1 Si : B : N = 72 : 3 : 25Si: B: N = 72: 3: 25 SF6 SF 6 실시예 7Example 7 MoSiNMoSiN MoSi
[ 5:95]
MoSi
[5:95]
3㎚3 nm 43 : 143: 1 Mo : Si : N = 4 : 76 : 20Mo: Si: N = 4: 76: 20 SF6 SF 6
실시예 8Example 8 MoSiNMoSiN MoSi
[10:90]
MoSi
[10:90]
3㎚3 nm 40 : 140: 1 Mo:Si:N = 7 : 72 : 21  Mo: Si: N = 7: 72: 21 SF6 SF 6
실시예 9Example 9 TaONTaON TaTa 4㎚4 nm 30 : 130: 1 Ta : O : N = 72 : 16 : 12  Ta: O: N = 72: 16: 12 SF6 SF 6

표 2를 참조하면, 실시예들 모두 흡수막 대비 20 이상의 식각 선택비를 가지며 3㎚ ∼ 4㎚의 두께를 가져 식각마스크로 역할을 충분히 하고 있음을 알 수 있다. 또한, Ultra-Flat 장비를 이용하여 기능성막 각각의 평탄도를 측정한 결과 75㎚ ∼ 80㎚의 TIR값을 나타내어 박막 응력이 큰 영향이 없는 수준임을 확인하였다.Referring to Table 2, it can be seen that all of the embodiments have an etch selectivity ratio of 20 or more as compared to the absorbing film and have a thickness of 3 nm to 4 nm, thereby satisfactorily serving as an etch mask. In addition, the flatness of each functional film was measured using Ultra-Flat equipment. As a result, it was confirmed that the TIR value of 75nm ~ 80nm was not affected by the thin film stress.

식각Etching 마스크 및  Mask and 반사방지층으로With antireflection layer 역할하는  Acting 제2기능성막이The second functional film 구비된  Equipped 극자외선용For ultraviolet rays 블랭크 마스크의 제조 및 평가 Preparation and Evaluation of Blank Mask

본 발명에 따른 극자외선용 블랭크 마스크의 제조에 있어서, 식각 마스크 및 반사방지층으로 역할하는 제2기능성막이 구비된 극자외선용 블랭크 마스크를 제조 및 평가하였다.In the production of a blank mask for extreme ultraviolet rays according to the present invention, a blank mask for extreme ultraviolet rays, which is provided with a second functional film serving as an etching mask and an antireflection layer, was produced and evaluated.

투명 기판, 다층 반사막 및 캡핑막을 준비함에 있어 상술한 산화질화실리콘보론(SiBON)으로 구성된 제1기능성막을 구비한 극자외선용 블랭크 마스크의 제조 및 평가와 동일하게 구성되었으며, 흡수막 중 최상부의 반사방지층을 제외하고 흡수층을 형성하였다.And a first functional film composed of the above-described silicon oxynitride boron (SiBON) in preparation of a transparent substrate, a multilayer reflective film and a capping film, and was constructed in the same manner as in the manufacture and evaluation of an extreme ultraviolet blank mask. The absorbent layer was formed.

상기 흡수막은 DC 마그네트론 반응성 스퍼터링 설비를 이용하였고, 니켈(Ni) 타겟을 사용하였으며, 공정 가스로 Ar : N2 = 8sccm : 2sccm 주입하고, 공정 파워는 1.0㎾를 사용하여 35㎚ 두께의 질화니켈(NiN) 층으로 형성하였다.The absorber was a DC magnetron reactive sputtering system and a nickel (Ni) target was used. Ar: N 2 = 8 sccm: 2 sccm was injected as the process gas and the process power was 1.0 kW. NiN) layer.

이후 흡수막 상에 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 제2기능성막을 형성하였다. 상기 제2기능성막은 공정 가스로 Ar : N2 : NO = 6sccm ∼ 10sccm : 4sccm ∼ 6sccm : 0 ∼ 4sccm 주입하고, 공정 파워는 0.6㎾ ∼ 1.0㎾를 사용하여 각각 기능성막을 형성하였다.A second functional film was then formed on the absorber using a DC magnetron reactive sputtering facility. The second functional film was injected with Ar: N 2 : NO = 6 sccm to 10 sccm: 4 sccm to 6 sccm: 0 to 4 sccm as the process gas, and the functional film was formed using the process power of 0.6 kW to 1.0 kW.

표 3은 제2기능성막의 구성 물질, 조성비 및 두께에 따라 각 파장에서의 반사율을 평가한 표이다.Table 3 is a table for evaluating the reflectance at each wavelength according to the constituent materials, composition ratio, and thickness of the second functional film.

구성
물질
Configuration
matter
Target
(조성비)
Target
(Composition ratio)
두께thickness 반사율
@13.5㎚
reflectivity
@ 13.5 nm
반사율
@193㎚
reflectivity
@ 193 nm
조성비
(금속:경원소)
Composition ratio
(Metal: light element)
식각
가스
Etching
gas
실시예 10Example 10 SiBONSiBON SiBSiB 14r㎚14rnm 1.2%1.2% 18.5%18.5% Si:O:N:B
=42:32:16:2
Si: O: N: B
= 42: 32: 16: 2
SF6 SF 6
실시예 11Example 11 MoSiNMoSiN MoSi
[ 5:95]
MoSi
[5:95]
15㎚15 nm 1.0%1.0% 18.9%18.9% Mo:Si:N
=4:60:36
Mo: Si: N
= 4: 60: 36
SF6 SF 6
실시예 12Example 12 MoSiNMoSiN MoSi
[10:90]
MoSi
[10:90]
14㎚14 nm 1.1%1.1% 19.5%19.5% Mo:Si:N
=8:58:34
Mo: Si: N
= 8: 58: 34
SF6 SF 6
실시예 13Example 13 TaONTaON TaTa 15㎚15 nm 1.0%1.0% 21.0%21.0% Ta:O:N
= 52:24:24
Ta: O: N
= 52:24:24
SF6 SF 6

표 3을 참조하면, 제2기능성막은 노광 파장(13.5㎚) 및 검사 파장(193㎚)에서 각각 1.0% ∼ 1.2%, 18.5% ∼ 21.0%의 반사율을 나타내어 상술한 흡수막 중 반사방지층이 형성되었던 경우와 광학적 특성이 유사함을 확인하였다.Referring to Table 3, the second functional film exhibited a reflectance of 1.0% to 1.2% and 18.5% to 21.0% at the exposure wavelength (13.5 nm) and the inspection wavelength (193 nm) And the optical properties were similar.

따라서, 광학적 특성을 유지하면서 흡수막과의 식각 선택비 또한 유지할 수 있어 우수한 결과를 나타내었다.Thus, the etch selectivity with the absorber film can be maintained while maintaining the optical properties, which is excellent.

제3기능성막을The third functional film 식각Etching 마스크로 사용한  Used as a mask 극자외선용For ultraviolet rays 블랭크 마스크의 제조 및 평가 Preparation and Evaluation of Blank Mask

본 발명에 따른 극자외선용 블랭크 마스크의 제조에 있어서, 흡수층의 패터닝을 위한 식각 마스크 및 반사방지층의 역할을 하는 제2기능성막과 제2기능성막을 패터닝하기 위한 식각마스크로 사용되는 제3기능성막이 구비된 극자외선용 블랭크 마스크를 제조 및 평가하였다. In manufacturing the extreme ultraviolet blank mask according to the present invention, a second functional film serving as an etching mask and an antireflection layer for patterning the absorber layer, and a third functional film used as an etching mask for patterning the second functional film And a blank mask for extreme ultra-violet ray was produced and evaluated.

상기 제2기능성막은 상술한 실시예에서와 동일하게 형성하였으며, 상기 제3기능성막은 DC 마그네트론 반응성 스퍼터링 설비를 이용하여 형성되었고, 크롬(Cr) 타겟을 사용하며, 공정 가스로 Ar = 8sccm 주입하고, 공정 파워는 0.7㎾를 사용하여 4㎚ 두께로 형성하였다. 이후, 급속 진공 열처리(Vacuum RTP) 장비를 사용하여 350℃에서 20분 동안 표면 처리를 실시한 후 화학증폭형 레지스트막을 80㎚ 두께로 형성하였다.The third functional film was formed using a DC magnetron reactive sputtering equipment, and a chromium (Cr) target was used. Ar = 8 sccm was injected into the process gas as the process gas, and the second functional film was formed in the same manner as in the above- The process power was formed to a thickness of 4 nm using 0.7 kW. Thereafter, a surface treatment was performed at 350 ° C for 20 minutes using a rapid vacuum heat treatment (Vacuum RTP) equipment, and a chemically amplified resist film was formed to a thickness of 80 nm.

이어서, 상기 제조된 극자외선 블랭크 마스크를 50keV Writing 장비를 이용하여 노광한 후 PEB(Post exposure bake) 및 현상(Develope)을 통하여 레지스트막에 패턴을 형성하였다. 이후 상기 레지스트막 패턴을 이용하여 크롬(Cr)으로 구성된 기능성막 제3기능성막을 염소(Cl)를 포함하는 식각 가스를 이용하여 150초(오버 에칭(over etching)을 고려한 시간)간 식각하였다. 이때 잔류 레지스트막의 두께를 측정한 결과 23㎚의 잔류 레지스트막 두께를 나타내어 식각 마스크로서의 역할을 충분히 했음을 확인하였다. 이후, 상기 잔류 레지스트막을 제거하고 패턴이 형성된 기능성막 제3기능성막을 식각 마스크(Etch mask)로 하여 기능성막 제2기능성막을 불소(F)계열 가스인 SF6 가스를 포함하는 식각 가스를 이용하여 식각하였다. 또한, 질화니켈(NiN)으로 구성된 흡수층을 염소(Cl)를 포함하는 식각 가스를 이용하여 식각하였으며, 이때 크롬(Cr)으로 구성된 기능성막 제3기능성막 또한 제거되어 최종 흡수막 패턴을 형성하였다.Subsequently, the prepared extreme ultraviolet blank mask was exposed using a 50 keV writing apparatus, and a pattern was formed on the resist film through PEB (post exposure bake) and development (Develope). Then, using the resist film pattern, the third functional film composed of chromium (Cr) was etched for 150 seconds (over etching time) using an etching gas containing chlorine (Cl). At this time, the thickness of the remaining resist film was measured. As a result, the remaining resist film thickness was found to be 23 nm, confirming that the resist film had a sufficient role as an etching mask. Then, the removal of the residual resist film pattern is formed and the film forming capabilities The third functional film is an etching mask (mask Etch) in the functional film forming a second functional film is fluorine (F) series gas SF 6 And etched using an etching gas containing a gas. Further, the absorber layer composed of nickel nitride (NiN) was etched using an etching gas containing chlorine (Cl), and the third functional film composed of chromium (Cr) was also removed to form a final absorber film pattern.

본 발명에 따른 극자외선용 포토마스크의 해상도(Resolution)를 CD-SEM을 이용하여 측정한 결과, 단독 공간패턴(Iso-space)의 경우 40㎚까지 현상(Define) 되었으며, 임계치수의 선형성(CD Linearity)을 60㎚ ∼ 1000㎚ 범위에서 측정한 결과 단독 라인패턴(Iso-line)에서 1.8㎚, 라인 및 공간패턴(Line & Space)에서 2.5㎚, 단독 공간패턴(Iso space)에서 2.8㎚의 값을 가져 우수한 결과를 나타내었다.As a result of measuring the resolution of the photomask for extreme ultraviolet ray according to the present invention using a CD-SEM, the result was defined to 40 nm in the case of a single space pattern (Iso-space), and the linearity of the critical number (Iso-line), 2.5 nm in line and space pattern (Line & Space), and 2.8 nm in a single space pattern (Iso space) as a result of measurement in a range of 60 nm to 1000 nm And showed excellent results.

이상에서 본 발명을 가장 바람직한 실시예를 이용하여 설명하였지만, 본 발명의 기술적 범위는, 상기 실시예에 기재된 범위에 한정되지 않는다. 상기 실시예에 다양한 변경 또는 개량을 가하는 것이 가능하다는 것은 해당 기술분야의 일반적인 기술자라면 용이하게 알 수 있을 것이다. 그와 같은 변경 또는 개량을 가한 형태도 본 발명의 기술적 범위에 포함될 수 있다는 것이 특허 청구 범위의 기재로부터 분명하다.While the present invention has been described with reference to the preferred embodiments thereof, the technical scope of the present invention is not limited to the range described in the above embodiments. It will be readily apparent to those skilled in the art that various changes and modifications can be made to the embodiments described above. It is apparent from the description of the claims that the form of such modification or improvement can be included in the technical scope of the present invention.

102: 투명 기판 104: 반사막
106: 흡수막 106a: 흡수막 패턴
300, 400: 극자외선용 블랭크 마스크
302, 402: 투명 기판 304, 404: 반사막
306, 406: 캡핑막 307: 버퍼막
308, 408: 흡수층 310: 반사방지층
312, 412: 흡수막 314, 414, 416: 기능성막
317: 도전막 318, 418: 레지스트막
102: transparent substrate 104: reflective film
106: absorbing film 106a: absorbing film pattern
300, 400: Blank mask for ultraviolet rays
302, 402: transparent substrate 304, 404: reflective film
306, 406: capping film 307: buffer film
308, 408: Absorbing layer 310: Antireflection layer
312, 412: absorbing film 314, 414, 416: functional film
317: conductive films 318 and 418: resist film

Claims (20)

투명 기판 상에 다층 반사막, 캡핑막, 흡수막 및 레지스트막이 순차적으로 적층된 극자외선용 블랭크 마스크에 있어서,
상기 흡수막은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 하나를 포함하여 구성되는 극자외선용 블랭크 마스크.
A blank mask for extreme ultraviolet light, comprising a transparent substrate, a multilayer reflective film, a capping film, an absorbing film and a resist film sequentially laminated,
Wherein the absorbent film comprises at least one of nickel (Ni) and nickel tantalum (NiTa).
투명 기판 상에 반사막, 캡핑막, 흡수막, 제1기능성막 및 레지스트막이 순차적으로 적층된 극자외선 블랭크 마스크에 있어서,
상기 흡수막은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 이루어지며,
상기 제1기능성막은 상기 흡수막의 패터닝을 위한 식각마스크로 기능하는 극자외선 블랭크 마스크.
An extreme ultraviolet blank mask in which a reflective film, a capping film, an absorbing film, a first functional film, and a resist film are sequentially laminated on a transparent substrate,
Wherein the absorption layer comprises at least one of nickel (Ni) and nickel tantalum (NiTa)
Wherein the first functional film functions as an etch mask for patterning the absorber film.
투명 기판 상에 반사막, 캡핑막, 흡수층과 제2기능성막으로 구성된 흡수막 및 레지스트막이 순차적으로 적층된 극자외선 블랭크 마스크에 있어서,
상기 흡수층은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 구성되며,
상기 제2기능성막은 상기 흡수층의 패터닝을 위한 식각마스크 및 상기 흡수층의 상부에 잔류하여 반사방지층으로 기능하는 극자외선 블랭크 마스크.
An extreme ultraviolet blank mask in which a reflective film, a capping film, an absorbing film composed of an absorbing layer and a second functional film, and a resist film are sequentially laminated on a transparent substrate,
Wherein the absorbing layer comprises at least one of nickel (Ni) and nickel tantalum (NiTa)
The second functional film functions as an etching mask for patterning the absorber layer and as an antireflection layer remaining on the top of the absorber layer.
투명 기판 상에 반사막, 캡핑막, 흡수층과 제2기능성막으로 구성된 흡수막, 제3기능성막 및 레지스트막이 순차적으로 적층된 극자외선 블랭크 마스크에 있어서,
상기 흡수층은 니켈(Ni) 및 니켈탄탈(NiTa) 중 적어도 어느 하나를 포함하여 구성되며,
상기 제2기능성막은 상기 흡수층의 패터닝을 위한 식각마스크 및 상기 흡수층의 상부에 잔류하여 반사방지층으로 기능하며,
상기 제3기능성막은 상기 제2기능성막을 패터닝하기 위한 식각마스크로 기능하는 극자외선 블랭크 마스크.
An extreme ultraviolet blank mask in which a reflective film, a capping film, an absorptive layer, an absorptive film composed of a second functional film, a third functional film and a resist film are sequentially laminated on a transparent substrate,
Wherein the absorbing layer comprises at least one of nickel (Ni) and nickel tantalum (NiTa)
The second functional film functions as an etching mask for patterning the absorber layer and an antireflection layer remaining on the absorber layer,
And the third functional film functions as an etching mask for patterning the second functional film.
제 1항 내지 제 4항 중 어느 한 항에 있어서,
상기 흡수막은 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 경원소 물질을 더 포함하며, 상기 니켈(Ni) 또는 니켈탄탈(NiTa) 금속 대비 경원소의 조성비는 99at% : 1at% ∼ 20at% : 80at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
Wherein the absorption layer further comprises at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C) and boron (B) Is 99at%: 1at% to 20at%: 80at%.
제 3항 또는 제 4항에 있어서,
상기 흡수층은 산소(O), 질소(N), 탄소(C), 붕소(B) 중 1종 이상의 경원소 물질을 더 포함하며, 상기 니켈(Ni) 또는 니켈탄탈(NiTa) 금속 대비 경원소의 조성비는 99at% : 1at% ∼ 20at% : 80at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 3 or 4,
Wherein the absorption layer further comprises at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C) and boron (B) Is 99at%: 1at% to 20at%: 80at%.
제 3항 또는 제 4항에 있어서,
상기 흡수층이 니켈탄탈(NiTa)을 포함하여 형성된 경우, 니켈탄탈(NiTa) 타겟의 조성비는 Ni : Ta = 5at% ∼ 95at% : 95at% ∼ 5at%의 조성비를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 3 or 4,
Wherein the composition ratio of the nickel tantalum (NiTa) target has a composition ratio of Ni: Ta = 5 at% to 95 at%: 95 at% to 5 at% when the absorption layer is formed to include nickel tantalum (NiTa) Mask.
제 3항에 있어서,
상기 흡수막 및 제2기능성막의 적층 두께는 30㎚ ∼ 70㎚의 범위인 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method of claim 3,
Wherein the thickness of the laminated layer of the absorbing film and the second functional film is in the range of 30 nm to 70 nm.
제 2항에 있어서
상기 제1기능성막은 크롬(Cr), 탄탈(Ta), 몰리브데늄(Mo), 실리콘(Si) 중 적어도 1종 이상의 물질로 이루어지거나 상기 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 적어도 1종 이상의 경원소 물질을 더 포함하여 이루어지며, 상기 물질 대비 경원소의 조성비는 100at% : 0at% ∼ 20at% : 80at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 2, wherein
The first functional film may be formed of at least one material selected from the group consisting of Cr (Cr), Ta (Ta), Molybdenum (Mo), and Si (Si) Wherein the composition ratio of the light source to the material is 100 at%: 0 at% to 20 at%: 80 at%, and at least one light source material selected from the group consisting of boron (C), boron (B) Blank mask for extreme ultraviolet rays.
제 3항 또는 제 4항에 있어서
상기 제2기능성막은 크롬(Cr), 탄탈(Ta), 몰리브데늄(Mo), 실리콘(Si) 중 적어도 1종 이상의 물질로 이루어지거나 상기 물질에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 적어도 1종 이상의 경원소 물질을 더 포함하여 이루어지며, 상기 물질 대비 경원소의 조성비는 100at% : 0at% ∼ 20at% : 80at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.
4. The method according to claim 3 or 4, wherein
Wherein the second functional film is made of at least one material selected from the group consisting of Cr (Cr), Ta (Ta), Molybdenum (Mo), and Si (Si) Wherein the composition ratio of the light source to the material is 100 at%: 0 at% to 20 at%: 80 at%, and at least one light source material selected from the group consisting of boron (C), boron (B) Blank mask for extreme ultraviolet rays.
제 2항에 있어서,
상기 제1기능성막은 1㎚ ∼ 10㎚의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
3. The method of claim 2,
Wherein the first functional film has a thickness of 1 nm to 10 nm.
제 4항에 있어서,
상기 제3기능성막은 1㎚ ∼ 10㎚의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method of claim 4,
Wherein the third functional film has a thickness of 1 nm to 10 nm.
제 3항 또는 제 4항에 있어서,
상기 제2기능성막은 5㎚ ∼ 20㎚의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
The method according to claim 3 or 4,
Wherein the second functional film has a thickness of 5 nm to 20 nm.
제 1항 내지 제 4항 중 어느 한 항에 있어서,
상기 흡수막은 13.5㎚의 극자외선용 노광광에 대하여 10% 미만의 반사율을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
Wherein the absorbing film has a reflectance of less than 10% with respect to 13.5 nm exposure light for extreme ultraviolet rays.
제 1항 내지 제 4항 중 어느 한 항에 있어서,
상기 흡수막은 193㎚의 검사 파장에 대하여 30% 미만의 반사율을 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
Wherein the absorbing film has a reflectance of less than 30% with respect to an inspection wavelength of 193 nm.
제 4항에 있어서,
상기 제3기능성막은 크롬(Cr)으로 이루어지거나 상기 크롬(Cr)에 산소(O), 질소(N), 탄소(C), 붕소(B), 수소(H) 중 1종 이상의 경원소 물질을 더 포함하여 이루어지며, 상기 물질 대비 경원소의 조성비는 100at% : 0at% ∼ 20at% : 80at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method of claim 4,
Wherein the third functional film is made of chromium or at least one light element material selected from the group consisting of oxygen (O), nitrogen (N), carbon (C), boron (B) Wherein the composition ratio of the light source to the material is 100 at%: 0 at% to 20 at%: 80 at%.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 캡핑막 및 상기 흡수막 사이에 버퍼막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
Further comprising a buffer film between the capping film and the absorbing film.
제 1항 내지 제 4항 중 어느 한 항에 있어서,
상기 투명 기판의 후면에 구비된 도전막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
And a conductive film provided on a rear surface of the transparent substrate.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 레지스트막과 상기 레지스트막의 하부에 배치되는 막 사이에 실리콘을 포함한 고분자화합물을 더 포함하는 극자외선용 블랭크 마스크.
5. The method according to any one of claims 1 to 4,
Further comprising a polymer compound containing silicon between the resist film and a film disposed under the resist film.
제 1 항 내지 제 4 항 중 어느 한 항에 기재된 극자외선용 블랭크 마스크에 패턴을 형성하여 얻어진 포토 마스크.A photomask obtained by forming a pattern on the blank mask for extreme ultraviolet light according to any one of claims 1 to 4.
KR1020140026715A 2013-11-15 2014-03-06 Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same KR101567057B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW103110205A TWI579639B (en) 2013-11-15 2014-03-19 Blankmask for extreme ultra-violet lithography and photomask using the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020130138710 2013-11-15
KR20130138710 2013-11-15
KR1020140001987 2014-01-07
KR20140001987 2014-01-07

Publications (2)

Publication Number Publication Date
KR20150056435A true KR20150056435A (en) 2015-05-26
KR101567057B1 KR101567057B1 (en) 2015-11-09

Family

ID=53391749

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140026715A KR101567057B1 (en) 2013-11-15 2014-03-06 Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same

Country Status (2)

Country Link
KR (1) KR101567057B1 (en)
TW (1) TWI579639B (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018013616A (en) * 2016-07-21 2018-01-25 凸版印刷株式会社 Reflection type mask and manufacturing method of reflection type mask
KR20190059326A (en) * 2016-10-21 2019-05-30 호야 가부시키가이샤 A reflective mask blank, a method of manufacturing a reflective mask, and a method of manufacturing a semiconductor device
JP2019527382A (en) * 2016-07-27 2019-09-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Extreme ultraviolet mask blank having an alloy absorber and method for producing the same
WO2020236889A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
CN112782931A (en) * 2019-11-05 2021-05-11 思而施技术株式会社 Photomask and blank for extreme ultraviolet lithography
US11022876B2 (en) 2016-07-27 2021-06-01 Applied Materials, Inc. Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
KR20210089362A (en) * 2020-01-08 2021-07-16 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for manufacturing the same
US20210302826A1 (en) * 2020-03-27 2021-09-30 Applied Materials, Inc. Extreme Ultraviolet Mask Absorber Materials
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
US11209727B2 (en) 2018-10-26 2021-12-28 Applied Materials, Inc. Ta—Cu alloy material for extreme ultraviolet mask absorber
JP2022505688A (en) * 2018-10-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド Extreme UV mask with back coating
US11249389B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249388B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220030115A (en) * 2020-09-02 2022-03-10 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for manufacturing the same
US11275302B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber materials
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11300872B2 (en) 2019-05-22 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11537040B2 (en) 2020-01-27 2022-12-27 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11556053B2 (en) 2020-01-27 2023-01-17 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11640109B2 (en) 2020-01-27 2023-05-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11675263B2 (en) 2020-07-13 2023-06-13 Applied Materials, Inc. Extreme ultraviolet mask absorber materials

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI623805B (en) * 2015-08-17 2018-05-11 S&S技術股份有限公司 Blankmask for extreme ultra-violet lithography and photomask using the same
EP3454119B1 (en) 2017-09-09 2023-12-27 IMEC vzw Euv absorbing alloys
US10802393B2 (en) 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
JP7313166B2 (en) * 2019-03-18 2023-07-24 Hoya株式会社 Mask blank, method for manufacturing transfer mask, and method for manufacturing semiconductor device
US11294271B2 (en) 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
TWI427334B (en) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Reflective optical element for euv lithography devices
KR20110050427A (en) * 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Reflective mask blank for euv lithography and reflective mask for euv lithography
JP5381441B2 (en) 2009-07-16 2014-01-08 旭硝子株式会社 Method for manufacturing a reflective mask blank for EUV lithography
WO2013046627A1 (en) * 2011-09-28 2013-04-04 凸版印刷株式会社 Mask blank for reflection-type exposure, and mask for reflection-type exposure
JP6460617B2 (en) * 2012-02-10 2019-01-30 Hoya株式会社 Reflective mask blank, reflective mask manufacturing method, and reflective mask blank manufacturing method
US8877409B2 (en) * 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018013616A (en) * 2016-07-21 2018-01-25 凸版印刷株式会社 Reflection type mask and manufacturing method of reflection type mask
US11022876B2 (en) 2016-07-27 2021-06-01 Applied Materials, Inc. Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
JP2019527382A (en) * 2016-07-27 2019-09-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Extreme ultraviolet mask blank having an alloy absorber and method for producing the same
US11754917B2 (en) 2016-07-27 2023-09-12 Applied Materials, Inc. Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
US10877368B2 (en) 2016-07-27 2020-12-29 Applied Materials, Inc. Extreme ultraviolet mask blank with alloy absorber and method of manufacture
KR20190059326A (en) * 2016-10-21 2019-05-30 호야 가부시키가이샤 A reflective mask blank, a method of manufacturing a reflective mask, and a method of manufacturing a semiconductor device
JP2022505688A (en) * 2018-10-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド Extreme UV mask with back coating
US11209727B2 (en) 2018-10-26 2021-12-28 Applied Materials, Inc. Ta—Cu alloy material for extreme ultraviolet mask absorber
US11194244B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Extreme ultraviolet mask absorber and processes for manufacture
US11249389B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11249388B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11300872B2 (en) 2019-05-22 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
WO2020236889A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11275302B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber materials
US11275304B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
CN112782931A (en) * 2019-11-05 2021-05-11 思而施技术株式会社 Photomask and blank for extreme ultraviolet lithography
US11815801B2 (en) 2020-01-08 2023-11-14 S & S Tech Co., Ltd. Reflective type blankmask and photomask for EUV
KR20210089362A (en) * 2020-01-08 2021-07-16 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for manufacturing the same
JP2021110952A (en) * 2020-01-08 2021-08-02 エスアンドエス テック カンパニー リミテッド Reflective type blankmask and photomask for extreme ultraviolet
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11640109B2 (en) 2020-01-27 2023-05-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11537040B2 (en) 2020-01-27 2022-12-27 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US11556053B2 (en) 2020-01-27 2023-01-17 Applied Materials, Inc. Extreme ultraviolet mask blank hard mask materials
US20210302826A1 (en) * 2020-03-27 2021-09-30 Applied Materials, Inc. Extreme Ultraviolet Mask Absorber Materials
US11860533B2 (en) * 2020-03-27 2024-01-02 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11675263B2 (en) 2020-07-13 2023-06-13 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220030115A (en) * 2020-09-02 2022-03-10 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for manufacturing the same
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials

Also Published As

Publication number Publication date
TWI579639B (en) 2017-04-21
KR101567057B1 (en) 2015-11-09
TW201518855A (en) 2015-05-16

Similar Documents

Publication Publication Date Title
KR101567057B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR101772943B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR101829604B1 (en) Photomask for Extreme Ultra-Violet Lithography and Method for manufacturing the same
KR101625382B1 (en) Reflective Type EUV Blankmask, Photomask and Its Manufacturing Method
TWI620977B (en) Blankmask for extreme ultra-violet lithography and photomask using the same
KR100906026B1 (en) Reflective photomask blank, reflective photomask, and method for manufacturing semiconductor device using same
KR101485754B1 (en) Blank mask for euv and photomask manufactured thereof
KR101506888B1 (en) Blankmask and photomask
US20220342293A1 (en) Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
KR101579852B1 (en) Blankmask for extreme ultra-violet lithography and photomask using the same
KR20160016098A (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
TWI518445B (en) A reflective mask substrate and a method of manufacturing the same, and a reflection type mask
JP5009590B2 (en) Mask blank manufacturing method and mask manufacturing method
KR102511751B1 (en) Blankmask and Photomask for Extreme Ultra-Violet Lithography
WO2020256064A1 (en) Reflective mask blank, reflective mask, and methods for manufacturing reflective mask and semiconductor device
KR101615890B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR102653352B1 (en) Multilayer reflective film-attached substrate, reflective mask blank and reflective mask, and manufacturing method of semiconductor device
JP2012159855A (en) Mask blank manufacturing method and mask manufacturing method
US20210318607A1 (en) Reflective mask blank, method of manufacturing thereof, and reflective mask
KR20210048418A (en) Half-tone attenuated phase shift blankmask and photomask for EUV lithography
KR20190129661A (en) Blankmask and Photomask for Extreme Ultra-Violet Lithography and method for fabricating of the same
WO2022065421A1 (en) Reflective mask blank, reflective mask, and method for manufacturing semiconductor device
KR20210022479A (en) Blankmask for EUV, and Photomask manufactured with the same
KR20220121401A (en) Phase Shift Blankmask and Photomask for EUV lithography
KR20220121399A (en) Phase Shift Blankmask and Photomask for EUV lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181101

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191104

Year of fee payment: 5